WorldWideScience

Sample records for plasma processing tools

  1. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  2. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  3. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  4. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  5. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  6. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  7. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  8. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  9. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  10. High Temperature Plasmas Theory and Mathematical Tools for Laser and Fusion Plasmas

    CERN Document Server

    Spatschek, Karl-Heinz

    2012-01-01

    Filling the gap for a treatment of the subject as an advanced course in theoretical physics with a huge potential for future applications, this monograph discusses aspects of these applications and provides theoretical methods and tools for their investigation. Throughout this coherent and up-to-date work the main emphasis is on classical plasmas at high-temperatures, drawing on the experienced author's specialist background. As such, it covers the key areas of magnetic fusion plasma, laser-plasma-interaction and astrophysical plasmas, while also including nonlinear waves and phenomena.

  11. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  12. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  13. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  14. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  15. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    Science.gov (United States)

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  16. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  17. Plasma nitriding and simultaneous tempering of VF 800AT tool steel; Nitretacao por plasma com revenimento simultaneo do aco ferramenta VF 800AT

    Energy Technology Data Exchange (ETDEWEB)

    Prass, Andre Ricardo; Fontana, Luis Cesar; Recco, Abel Andre Candido, E-mail: prass.andrericardo@gmail.com, E-mail: luis.fontana@udesc.br, E-mail: abel.recco@udesc.br [Universidade do Estado de Santa Catarina (UDESC), Joinville, SC (Brazil)

    2017-04-15

    Plasma nitriding of tool steels improves the surface hardness due to formation of diffusion zone and/or compound layer. The process parameters such as temperature, gas composition and dwell time, allow to control the layer thickness, the microstructure, the crystalline phases and the type of layer (for example white layer or diffusion zone). This paper discusses an alternative procedure for the heat treatment of tempering and surface treatment, both in plasma or combining conventional heat treatment with subsequent plasma nitriding. Carrying out both treatments in plasma could enable reduction in manufacturing costs, lower energy consumption and less time for tools manufacturing. Samples of VF800AT steel were treated and characterized (at surface and core of samples) through the following technique: X-ray diffraction, optical microscopy, scanning electron microscopy, micro-hardness profile and Rockwell C measurement. Temperature measurements during the plasma treatment, show that arise thermal gradient between the surface and the core of the samples. In this work, it was observed that the surface was up to 7% hotter than the core of sample, during the plasma treatment with temperature of magnitude about 5 x 10{sup 2} °C. This thermal gradient seems inherent to the plasma process, so that it can produce different microstructure, hardness and crystalline phases between core and edge of samples. However, when two tempering operations are prior carried out in a muffle furnace and the third tempering treatment is subsequently carried out simultaneously with the plasma nitriding, it is observed that the microstructure, the crystalline phases, hardness and micro hardness (in both, edge and core) are similar to treatments done in conventional mode cycle (in muffle furnace) with subsequent plasma nitriding. (author)

  18. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  19. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  20. Transport processes in plasmas

    International Nuclear Information System (INIS)

    Balescu, R.

    1988-01-01

    This part is devoted to the classical transport theory in plasmas. Ch. 1 is a chapter of 'pure' hamiltonian mechanics and starts with the study of the motion of an individual charged particle in the presence of an electromagnetic field. Ch. 2 introduces the tools of statistical mechanics for the study of large collections of charged particles. A kinetic theory is derived as a basic tool for transport theory. In ch. 3 the hydro-dynamic - or plasmadynamic - balance equations are derived. The macroscopic dynamical equations have the structure of an infinite hierarchy. This introduces the necessity of construction of a transport theory, by which te infinite set of equations can be reduced to a finite, closed set. This can only be done by a detailed analysis of the kinetic equation under well defined conditions. The tools for such nan analysis are developed in ch. 4. In ch. 5 the transport equations, relating the unknown fluxes of matter, momentum, energy and electricity to the hydrodynamic variables, are derived and discussed. In ch. 6 the results are incorporated into the wider framework of non-equilibrium thermodynamics by connecting the transport processes to the central concept of entropy production. In ch. 7 the results of transport theory are put back into the equations of plasmadynamics

  1. Manufacturing technology development of plasma/ion nitriding for improvement of hardness of machine components and tools

    International Nuclear Information System (INIS)

    Suprapto; Tjipto Sujitno; Saminto

    2015-01-01

    The manufacturing technology development of plasma/ion nitriding to improve of hardness of machine components and tools has been done. The development of this technology aims to improve device performance plasma nitriding double chamber and conducted with the addition of thermal radiation shield. Testing was done by testing for preheating operation (start-up), test operation for conditions nitriding and test for nitriding process. The results show that: the plasma nitriding device can be operated for nitriding process at the temperature of about 500 °C for 6 hours, using the thermal radiation shield obtained outside wall temperature of about 65 °C and shorten start-up time to about 60 minutes. The use of thermal radiation shield can also improve the efficiency of the electric power supply and increase the operating temperature for nitriding process. Test for nitriding obtained increase of hardness 1.33 times for the original camshaft (genuine parts) and 1.8 times for the imitation camshaft (imitation parts), the results are compared with after the tempering process at a temperature of 600 °C. For sample SS 304 was 2.45 times compared with before nitrided These results indicate that the development of manufacturing technology of plasma/ion nitriding to increase hardness of machine components and tools have been successfully able to increase the hardness, although still need to be optimized. Besides that, these devices can be developed to use for the process of carburizing and carbonitriding. (author)

  2. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  3. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  4. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  5. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  6. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  7. Process Optimization of EDM Cutting Process on Tool Steel using Zinc Coated Electrode

    Directory of Open Access Journals (Sweden)

    Hanizam H.

    2017-01-01

    Full Text Available In WEDM machining process, surface finish quality depends on intensity and duration of spark plasma. Electrode wire diameter has significant effect on the spark intensity and yet the studies on this matter still less. Therefore, the main objectives of this studies are to compare the different diameters of zinc coated and uncoated brass electrode on H13 tool steel surface roughness. The experiments were conducted on Sodick VZ300L WEDM and work piece material of tool steel AISI H13 block. Electrode of zinc coated brass with diameters of 0.1 mm, 0.2 mm, 0.25 mm and uncoated brass 0.2 mm were used. The surface roughness of cutting was measured using the SUR-FTEST SJ-410 Mitutoyo, surface roughness tester. The results suggest that better surface roughness quality can be achieved through smaller electrode wire diameter. The zinc coated improves flushing ability and sparks intensity resulting in better surface finish of H13 tool steel. New alloys and coating materials shall be experimented to optimized the process further.

  8. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  9. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  10. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  11. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  12. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  13. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  14. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  15. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  16. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  17. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  18. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  19. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  20. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  1. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  2. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  3. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  4. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  5. Visualization tool for three-dimensional plasma velocity distributions (ISEE_3D) as a plug-in for SPEDAS

    Science.gov (United States)

    Keika, Kunihiro; Miyoshi, Yoshizumi; Machida, Shinobu; Ieda, Akimasa; Seki, Kanako; Hori, Tomoaki; Miyashita, Yukinaga; Shoji, Masafumi; Shinohara, Iku; Angelopoulos, Vassilis; Lewis, Jim W.; Flores, Aaron

    2017-12-01

    This paper introduces ISEE_3D, an interactive visualization tool for three-dimensional plasma velocity distribution functions, developed by the Institute for Space-Earth Environmental Research, Nagoya University, Japan. The tool provides a variety of methods to visualize the distribution function of space plasma: scatter, volume, and isosurface modes. The tool also has a wide range of functions, such as displaying magnetic field vectors and two-dimensional slices of distributions to facilitate extensive analysis. The coordinate transformation to the magnetic field coordinates is also implemented in the tool. The source codes of the tool are written as scripts of a widely used data analysis software language, Interactive Data Language, which has been widespread in the field of space physics and solar physics. The current version of the tool can be used for data files of the plasma distribution function from the Geotail satellite mission, which are publicly accessible through the Data Archives and Transmission System of the Institute of Space and Astronautical Science (ISAS)/Japan Aerospace Exploration Agency (JAXA). The tool is also available in the Space Physics Environment Data Analysis Software to visualize plasma data from the Magnetospheric Multiscale and the Time History of Events and Macroscale Interactions during Substorms missions. The tool is planned to be applied to data from other missions, such as Arase (ERG) and Van Allen Probes after replacing or adding data loading plug-ins. This visualization tool helps scientists understand the dynamics of space plasma better, particularly in the regions where the magnetohydrodynamic approximation is not valid, for example, the Earth's inner magnetosphere, magnetopause, bow shock, and plasma sheet.

  6. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  7. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  8. The x-ray laser as a tool for imaging plasmas

    International Nuclear Information System (INIS)

    Libby, S.B.; Da Silva, L.B.; Barbee, T.W. Jr.

    1995-07-01

    The x-ray laser is now being used at LLNL as a tool for measuring the behaviors of hot dense plasmas. In particular, we have used the 155 Angstrom yttrium laser to study transient plasmas by both radiography and moire deflectrometry. These techniques have been used to probe long scale length plasmas at electron densities exceeding 10 22 cm -3 . Recent advances in multilayer technology have made it possible to directly image ion densities in directly driven thin foils to an accuracy of 1--2 μm. In addition, we have constructed an x-ray laser Mach-Zehnder interferometer using multilayer beam-splitters. This interferometer yields direct 2D projections of electron densities in plasmas with micron spatial resolution. In addition, this interferometer can be used to measure spectral line shapes to high accuracy. Among the subject plasmas under study are laser irradiated planar targets, gold hohlraums, and x-ray lasers themselves

  9. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  10. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  11. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  12. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  13. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  14. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  15. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  16. XSC plasma control: Tool development for the session leader

    International Nuclear Information System (INIS)

    Ambrosino, G.; Albanese, R.; Ariola, M.; Cenedese, A.; Crisanti, F.; Tommasi, G. De; Mattei, M.; Piccolo, F.; Pironti, A.; Sartori, F.; Villone, F.

    2005-01-01

    A new model-based shape controller (XSC, i.e., eXtreme Shape Controller) able to operate with high elongation and triangularity plasmas has been designed and implemented at JET in 2003. The use of the XSC needs a number of steps, which at present are not automated and therefore imply the involvement of several experts. To help the session leader in preparing an experiment, a number of software tools are needed. The paper describes the SW tools that are currently in the developing phase, and describes the new framework for the preparation of a JET experiment

  17. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  18. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  19. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  20. Charge Splitting In Situ Recorder (CSIR) for Real-Time Examination of Plasma Charging Effect in FinFET BEOL Processes

    Science.gov (United States)

    Tsai, Yi-Pei; Hsieh, Ting-Huan; Lin, Chrong Jung; King, Ya-Chin

    2017-09-01

    A novel device for monitoring plasma-induced damage in the back-end-of-line (BEOL) process with charge splitting capability is first-time proposed and demonstrated. This novel charge splitting in situ recorder (CSIR) can independently trace the amount and polarity of plasma charging effects during the manufacturing process of advanced fin field-effect transistor (FinFET) circuits. Not only does it reveal the real-time and in situ plasma charging levels on the antennas, but it also separates positive and negative charging effect and provides two independent readings. As CMOS technologies push for finer metal lines in the future, the new charge separation scheme provides a powerful tool for BEOL process optimization and further device reliability improvements.

  1. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  2. Plasma cleaning techniques and future applications in environmentally conscious manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1995-07-01

    Plasmas have frequently been used in industry as a last step surface preparation technique in an otherwise predominantly wet-etch process. The limiting factor in the usefulness of plasma cleaning techniques has been the rate at which organic materials are removed. Recent research in the field of plasma chemistry has provided some understanding of plasma processes. By controlling plasma conditions and gas mixtures, ultra-fast plasma cleaning and etching is possible. With enhanced organic removal rates, plasma processes become more desirable as an environmentally sound alternative to traditional solvent or acid dominated process, not only as a cleaning tool, but also as a patterning and machining tool. In this paper, innovations in plasma processes are discussed including enhanced plasma etch rates via plasma environment control and aggressive gas mixtures. Applications that have not been possible with the limited usefulness of past plasma processes are now approaching the realm of possibility. Some of these possible applications will be discussed along with their impact to environmentally conscious manufacturing.

  3. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  4. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  5. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  6. Influence of micro- and macro-processes on the high-order harmonic generation in laser-produced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ganeev, R. A., E-mail: rashid-ganeev@mail.ru [Ophthalmology and Advanced Laser Medical Center, Saitama Medical University, 38 Morohongo, Moroyama-machi, Iruma-gun, Saitama 350-0495 (Japan); Physical Department, Voronezh State University, Voronezh 394006 (Russian Federation)

    2016-03-21

    We compare the resonance-induced enhancement of single harmonic and the quasi-phase-matching-induced enhancement of the group of harmonics during propagation of the tunable mid-infrared femtosecond pulses through the perforated laser-produced indium plasma. We show that the enhancement of harmonics using the macro-process of quasi-phase-matching is comparable with the one using micro-process of resonantly enhanced harmonic. These studies show that joint implementation of the two methods of the increase of harmonic yield could be a useful tool for generation of strong short-wavelength radiation in different spectral regions. We compare these effects in indium, as well as in other plasmas.

  7. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  8. Cold atmospheric plasma as a potential tool for multiple myeloma treatment

    Science.gov (United States)

    Cui, Qingjie; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Yang, Yanjie; Feng, Miaojuan; Liang, Rong; Chen, Hailan; Ye, Kai; Kong, Michael G.

    2018-01-01

    Multiple myeloma (MM) is a fatal and incurable hematological malignancy thus new therapy need to be developed. Cold atmospheric plasma, a new technology that could generate various active species, could efficiently induce various tumor cells apoptosis. More details about the interaction of plasma and tumor cells need to be addressed before the application of gas plasma in clinical cancer treatment. In this study, we demonstrate that He+O2 plasma could efficiently induce myeloma cell apoptosis through the activation of CD95 and downstream caspase cascades. Extracellular and intracellular reactive oxygen species (ROS) accumulation is essential for CD95-mediated cell apoptosis in response to plasma treatment. Furthermore, p53 is shown to be a key transcription factor in activating CD95 and caspase cascades. More importantly, we demonstrate that CD95 expression is higher in tumor cells than in normal cells in both MM cell lines and MM clinical samples, which suggests that CD95 could be a favorable target for plasma treatment as it could selectively inactivate myeloma tumor cells. Our results illustrate the molecular details of plasma induced myeloma cell apoptosis and it shows that gas plasma could be a potential tool for myeloma therapy in the future. PMID:29719586

  9. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  10. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  11. Diagnostics of microdischarge-integrated plasma sources for display and materials processing

    International Nuclear Information System (INIS)

    Tachibana, K; Kishimoto, Y; Kawai, S; Sakaguchi, T; Sakai, O

    2005-01-01

    Two different types of microdischarge-integrated plasma sources have been operated at around the atmospheric pressure range. The discharge characteristics were diagnosed by optical emission spectroscopy (OES), laser absorption spectroscopy (LAS) and microwave transmission (MT) techniques. The dynamic spatiotemporal behaviour of excited atoms was analysed using OES and LAS and the temporal behaviour of the electron density was estimated using the MT method. In Ar and Xe/Ne gases, waveforms of the MT signal followed the current waveform in the rise period and lasted longer according to the recombination losses. However, in He the waveform followed the density of metastable atoms, reflecting the production of a large amount of electrons by the Penning ionization process with impurities. The estimated peak electron density in those plasma sources is of the order of 10 12 cm -3 , and the metastable atom density can reach 10 13 cm -3 . Thus, it is suggested that these sources can be potentially applied to convenient material processing tools of large area operated stably at atmospheric pressure

  12. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  13. EPR design tools. Integrated data processing tools

    International Nuclear Information System (INIS)

    Kern, R.

    1997-01-01

    In all technical areas, planning and design have been supported by electronic data processing for many years. New data processing tools had to be developed for the European Pressurized Water Reactor (EPR). The work to be performed was split between KWU and Framatome and laid down in the Basic Design contract. The entire plant was reduced to a logical data structure; the circuit diagrams and flowsheets of the systems were drafted, the central data pool was established, the outlines of building structures were defined, the layout of plant components was planned, and the electrical systems were documented. Also building construction engineering was supported by data processing. The tasks laid down in the Basic Design were completed as so-called milestones. Additional data processing tools also based on the central data pool are required for the phases following after the Basic Design phase, i.e Basic Design Optimization; Detailed Design; Management; Construction, and Commissioning. (orig.) [de

  14. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  15. Tools for spectral data analysis of arbitrary emitters in edge plasma

    International Nuclear Information System (INIS)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Felts, B.; Capes, H.; Guirlet, R.; Lotte, P.; Lowry, C.

    2003-01-01

    A line shape code including Stark, Zeeman and Doppler effects has been upgraded to include atomic fine structure effects and the motional Stark effect (MST). Genetic algorithms provide an efficient and robust tool for automated analysis of edge plasma line shapes. Such an algorithm has been used to fit Doppler-broadened Zeeman D α /H α spectra observed in Tore-Supra. Spectra were analyzed from 2 different machine configurations, corresponding to: 1) recycling from the ergodic divertor (ED), with lines of sight tangential to the magnetic field; 2) recycling at the toroidal pump limiter (TPL) with vertical lines of sight perpendicular to the magnetic field. Preliminary results indicate that the plasma above the TPL contains a larger fraction of warm particles than the ED plasma. (A.C.)

  16. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  17. Characterization of nano-crystalline ZrO{sub 2} synthesized via reactive plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Jayakumar, S., E-mail: sjayakumar.physics@gmail.com [Research and Development Centre, Bharathiar University, Coimbatore 641 014 (India); Ananthapadmanabhan, P.V. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Perumal, K. [Department of Physics, Sri Ramakrishna Mission Vidyalaya College of Arts and Science, Coimbatore 641 020 India (India); Thiyagarajan, T.K. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Mishra, S.C. [Department of Metallurgical and Materials Engg, National Institute of Technology, Rourkela 769 008 (India); Su, L.T.; Tok, A.I.Y.; Guo, J. [School of Materials Science and Engg, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639 798 (Singapore)

    2011-07-25

    Highlights: > Direct conversion of micron-sized zirconium hydride powder to nanocrystalline ZrO{sub 2} powder. > The experimental approach uses reactive plasma processing technique. > The product has been characterized by various analytical tools to support the findings. - Abstract: Nano-crystalline ZrO{sub 2} powder has been synthesized via reactive plasma processing. The synthesized ZrO{sub 2} powders were characterized by X-ray diffraction (XRD), Raman spectroscopy, transmission electron microscopy (TEM) and FTIR spectroscopy. The synthesized powder consists of a mixture of tetragonal and monoclinic phases of zirconia. Average crystallite size calculated from the XRD pattern shows that particles with crystallite size 20 nm or less than 20 nm are in tetragonal phase, whereas particles greater than 20 nm are in the monoclinic phase. TEM results show that particles have spherical morphology with maximum percentage of particles distributed in a narrow size from about 15 nm to 30 nm.

  18. Effect of Plasma Nitriding Process Conditions on Corrosion Resistance of 440B Martensitic Stainless Steel

    Directory of Open Access Journals (Sweden)

    Łępicka Magdalena

    2014-09-01

    Full Text Available Martensitic stainless steels are used in a large number of various industrial applications, e.g. molds for plastic injections and glass moldings, automotive components, cutting tools, surgical and dental instruments. The improvement of their tribological and corrosion properties is a problem of high interest especially in medical applications, where patient safety becomes a priority. The paper covers findings from plasma nitrided AISI 440B (PN-EN or DIN X90CrMoV18 stainless steel corrosion resistance studies. Conventionally heat treated and plasma nitrided in N2:H2 reaction gas mixture (50:50, 65:35 and 80:20, respectively in two different temperature ranges (380 or 450°C specimens groups were examined. Microscopic observations and electrochemical corrosion tests were performed using a variety of analytical techniques. As obtained findings show, plasma nitriding of AISI 440B stainless steel, regardless of the process temperature, results in reduction of corrosion current density. Nevertheless, applying thermo-chemical process which requires exceeding temperature of about 400°C is not recommended due to increased risk of steel sensitization to intergranular and stress corrosion. According to the results, material ion nitrided in 450°C underwent leaching corrosion processes, which led to significant disproportion in chemical composition of the corroded and corrosion-free areas. The authors suggest further research into corrosion process of plasma nitrided materials and its degradation products.

  19. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  20. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  1. Numerical study of melted particles crush metallic substrates and the interaction between particles and a plasma beam in the thermal projection process

    International Nuclear Information System (INIS)

    Kriba, Ilhem; Djebaili, A.

    2009-01-01

    Plasma spray processes have been widely used to produce high performance coatings of a wide range of materials (metallic, non-metallic, and ceramics), offering protection from, e.g. wear, extreme temperature, chemical attack and environmental corrosion. To obtain good quality coatings, spray parameters must be carefully selected. Due to the large variety in process parameters, it is difficult to optimize the process for each specific coating and substrate combinations. Furthermore modelling the spray process allows a better understanding of the process sequences during thermal spraying. The simulation of coating formation to estimate the process parameters is an important tool to develop new coating structures with defined properties. In this work, the process of plasma sprayed coating has been analyzed by numerical simulation. Commercial code is used to predict the plasma jet characteristics, plasma-particle interaction, and coating formation. Using this model we can obtain coating microstructure and characteristics which form a foundation for further improvement of an advanced ceramic coating build up model

  2. The tools for evaluating logistics processes

    Directory of Open Access Journals (Sweden)

    Michał Adamczak

    2013-12-01

    Full Text Available Background: The growing importance of business process approach and dynamic management is triggered by market expectations for lead time reductions and the pressure for cost cuts. An efficient process management requires measurement and assessment skills. This article is intended to present the tools used in evaluating processes and the way in which they work together under simulated conditions. Methods: The project's Authors believe that a process can be assessed by measuring its attributes: cost, time and quality. An assessment tool has been developed for each of those attributes. For costs - it could be activity based costing, for time - value stream mapping; for quality - statistical process control. Each tool allows for evaluating one of the attributes, any element in the process hierarchy. The methods presented in the paper have been supplemented with process modelling and simulation. Results: In order to show how process assessment tools are combined with process simulation the Authors show a sample process in three versions (serial, parallel and mixed. A variant simulation (using iGrafx software allows for determining the values of attributes in the entire process based on the data set for its components (activities. In the example under investigation the process variant has no impact on its quality. Process cost and time are affected. Conclusions: The tools for identifying attribute values, in combination with process modelling and simulation, can prove very beneficial when applied in business practice. In the first place they allow for evaluating a process based on the value of the attributes pertaining to its particular activities, which, on the other hand, raises the possibility of process configuration at the design stage. The solution presented in the paper can be developed further with a view to process standardization and best variant recommendation.  

  3. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  4. The Whisper Relaxation Sounder onboard Cluster: A Powerful Tool for Space Plasma Diagnosis around the Earth

    International Nuclear Information System (INIS)

    Trotignon, J.G.; Decreau, P.M.E.; Rauch, J.L.; LeGuirriec, E.; Canu, P.; Darrouzet, F.

    2001-01-01

    The WHISPER relaxation sounder that is onboard the four CLUSTER spacecraft has for main scientific objectives to monitor the natural waves in the 2 kHz - 80 kHz frequency range and, mostly, to determine the total plasma density from the solar wind down to the Earth's plasmasphere. To fulfil these objectives, the WHISPER uses the two long double sphere antennae of the Electric Field and Wave experiment as transmitting and receiving sensors. In its active working mode, the WHISPER works according to principles that have been worked out for topside sounding. A radio wave transmitter sends an almost monochromatic and short wave train. A few milliseconds after, a receiver listens to the surrounding plasma response. Strong and long lasting echoes are actually received whenever the transmitting frequencies coincide with characteristic plasma frequencies. Provided that these echoes, also called resonances, may be identified, the WHISPER relaxation sounder becomes a reliable and powerful tool for plasma diagnosis. When the transmitter is off, the WHISPER behaves like a passive receiver, allowing natural waves to be monitored. The paper aims mainly at the resonance identification process description and the WHISPER capabilities and performance highlighting. (author)

  5. Versatile software for semiautomatic analysis and processing of laser-induced plasma spectra

    International Nuclear Information System (INIS)

    Mateo, M.P.; Nicolas, G.; Pinon, V.; Alvarez, J.C.; Ramil, A.; Yanez, A.

    2005-01-01

    The present article describes the main characteristics and operations of SALIPS (software for the analysis of laser-induced plasma spectra), a computer program designed for use in Spectroscopy. During the last years laser-induced plasma spectroscopy (LIPS) has grown in popularity and different applications have been developed in several fields. However, until now there is no software reported to perform the recognition of the elemental composition of a generic sample from its LIP spectrum, which must be achieved by hand in a tedious comparative process of experimental peaks with emission lines from databases. For this reason, a computer program that includes several tools to provide a semi-automatic identification of the peaks of a LIP spectrum has been developed. The program, written in Microsoft registered Visual Basic registered code, has a user-friendly graphical interface and is a flexible tool that enables to handle, edit, copy and print a quick presentation of the data including automatically the identification results in the graph. SALIPS also provides some physical properties of the elements and includes algorithms for performing the simulation of spectra. The potential of the program is illustrated with some examples

  6. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  7. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  8. Process Damping and Cutting Tool Geometry in Machining

    Science.gov (United States)

    Taylor, C. M.; Sims, N. D.; Turner, S.

    2011-12-01

    Regenerative vibration, or chatter, limits the performance of machining processes. Consequences of chatter include tool wear and poor machined surface finish. Process damping by tool-workpiece contact can reduce chatter effects and improve productivity. Process damping occurs when the flank (also known as the relief face) of the cutting tool makes contact with waves on the workpiece surface, created by chatter motion. Tool edge features can act to increase the damping effect. This paper examines how a tool's edge condition combines with the relief angle to affect process damping. An analytical model of cutting with chatter leads to a two-section curve describing how process damped vibration amplitude changes with surface speed for radiussed tools. The tool edge dominates the process damping effect at the lowest surface speeds, with the flank dominating at higher speeds. A similar curve is then proposed regarding tools with worn edges. Experimental data supports the notion of the two-section curve. A rule of thumb is proposed which could be useful to machine operators, regarding tool wear and process damping. The question is addressed, should a tool of a given geometry, used for a given application, be considered as sharp, radiussed or worn regarding process damping.

  9. Process Damping and Cutting Tool Geometry in Machining

    International Nuclear Information System (INIS)

    Taylor, C M; Sims, N D; Turner, S

    2011-01-01

    Regenerative vibration, or chatter, limits the performance of machining processes. Consequences of chatter include tool wear and poor machined surface finish. Process damping by tool-workpiece contact can reduce chatter effects and improve productivity. Process damping occurs when the flank (also known as the relief face) of the cutting tool makes contact with waves on the workpiece surface, created by chatter motion. Tool edge features can act to increase the damping effect. This paper examines how a tool's edge condition combines with the relief angle to affect process damping. An analytical model of cutting with chatter leads to a two-section curve describing how process damped vibration amplitude changes with surface speed for radiussed tools. The tool edge dominates the process damping effect at the lowest surface speeds, with the flank dominating at higher speeds. A similar curve is then proposed regarding tools with worn edges. Experimental data supports the notion of the two-section curve. A rule of thumb is proposed which could be useful to machine operators, regarding tool wear and process damping. The question is addressed, should a tool of a given geometry, used for a given application, be considered as sharp, radiussed or worn regarding process damping.

  10. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  11. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  12. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  13. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  14. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  15. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  16. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  17. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  18. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  19. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  20. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  1. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  2. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  3. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  4. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  5. Engineering design of plasma generation devices using Elmer finite element simulation methods

    Directory of Open Access Journals (Sweden)

    Daniel Bondarenko

    2017-02-01

    Full Text Available Plasma generation devices are important technology for many engineering disciplines. The process for acquiring experience for designing plasma devices requires practice, time, and the right tools. The practice and time depend on the individual and the access to the right tools can be a limiting factor to achieve experience and to get an idea on the possible risks. The use of Elmer finite element method (FEM software for verifying plasma engineering design is presented as an accessible tool that can help modeling multi-physics and verifying plasma generation devices. Furthermore, Elmer FEM will be suitable for experienced engineer and can be used for determining the risks in a design or a process that use plasma. A physical experiment was conducted to demonstrate new features of plasma generation technology where results are compared with plasma simulation using Elmer FEM.

  6. Rf probe technology for the next generation of technological plasmas

    International Nuclear Information System (INIS)

    Law, V.J.; Kenyon, A.J.; Thornhill, N.F.; Seeds, A.J.; Batty, I.

    2001-01-01

    We describe radio frequency (rf) analysis of technological plasmas at the 13.56 MHz fundamental drive frequency and integer narrow-band harmonics up to n = 9. In particular, we demonstrate the use of harmonic amplitude information as a process end-point diagnostic. Using very high frequency (vhf) techniques, we construct non-invasive ex situ remote-coupled probes: a diplexer, an equal-ratio-arm bridge, and a dual directional coupler used as a single directional device. These probes bolt into the plasma-tool 50 Ω transmission-line between the rf generator and matching network, and hence do not require modification of the plasma tool. The 50 Ω probe environment produces repeatable measurements of the chamber capacitance and narrow-band harmonic amplitude with an end-point detection sensitivity corresponding to a 2 dB change in the harmonic amplitude with the removal of 1 cm 2 of photoresist. The methodology and design of an instrument for the measurement of the plasma-tool frequency response, and the plasma harmonic amplitude and phase response are examined. The instrument allows the monitoring of the plasma phase delay, plasma-tool short- and long-term ageing, and process end-point prediction. (author)

  7. Thomson Parabola Spectrometer: a powerful tool to get on-line plasma information

    International Nuclear Information System (INIS)

    Altana, C.; Schillaci, F.; Anzalone, A.; Cirrone, G.A.P; Tudisco, S.; Lanzalone, G.; Muoio, A.

    2015-01-01

    In this contribution we report the results of an experimental measurement performed with a TPS developed at INFN-LNS within the ELIMED project, by means of a powerful and self-consistent technique as a diagnostic tool for the ionic acceleration study in laser-generated-plasmas. (authors)

  8. Thomson Parabola Spectrometer: a powerful tool to get on-line plasma information

    Energy Technology Data Exchange (ETDEWEB)

    Altana, C. [Laboratori Nazionali del Sud - INFN - Catania (Italy); Universita degli Studi di Catania - Dip.to di Fisica e Astronomia - Catania (Italy); Schillaci, F.; Anzalone, A.; Cirrone, G.A.P; Tudisco, S. [Laboratori Nazionali del Sud - INFN - Catania (Italy); Lanzalone, G. [Laboratori Nazionali del Sud - INFN - Catania (Italy); Univerita Kore di Enna - Enna (Italy); Muoio, A. [Laboratori Nazionali del Sud - INFN - Catania (Italy); Universita degli Studi di Messina - Dip.to di Fisica e di Scienze della Terra - Messina (Italy)

    2015-07-01

    In this contribution we report the results of an experimental measurement performed with a TPS developed at INFN-LNS within the ELIMED project, by means of a powerful and self-consistent technique as a diagnostic tool for the ionic acceleration study in laser-generated-plasmas. (authors)

  9. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  10. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  11. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  12. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  13. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  14. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  15. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  16. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  17. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  18. Process-Based Quality (PBQ) Tools Development

    Energy Technology Data Exchange (ETDEWEB)

    Cummins, J.L.

    2001-12-03

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts.

  19. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  20. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  1. Pre-Processing and Modeling Tools for Bigdata

    Directory of Open Access Journals (Sweden)

    Hashem Hadi

    2016-09-01

    Full Text Available Modeling tools and operators help the user / developer to identify the processing field on the top of the sequence and to send into the computing module only the data related to the requested result. The remaining data is not relevant and it will slow down the processing. The biggest challenge nowadays is to get high quality processing results with a reduced computing time and costs. To do so, we must review the processing sequence, by adding several modeling tools. The existing processing models do not take in consideration this aspect and focus on getting high calculation performances which will increase the computing time and costs. In this paper we provide a study of the main modeling tools for BigData and a new model based on pre-processing.

  2. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  3. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  4. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  5. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  6. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  7. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  8. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  9. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  10. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  11. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  12. Plasma water as a diagnostic tool in the assessment of dehydration in children with acute gastroenteritis.

    Science.gov (United States)

    Plaisier, Annemarie; Maingay-de Groof, Femke; Mast-Harwig, Roechama; Kalkman, Patricia M J; Wulkan, Remi W; Verwers, Renee; Neele, Marjolein; Hop, Wim C J; Groeneweg, Michael

    2010-07-01

    Acute gastroenteritis is common in childhood. The estimation of the degree of dehydration is essential for management of acute gastroenteritis. Plasma water was assessed as a diagnostic tool in children with acute gastroenteritis and dehydration admitted to hospital. In a prospective cohort study, 101 patients presenting at the emergency department with dehydration were included. Clinical assessment, routine laboratory tests, and plasma water measurement were performed. Plasma water was measured as a percentage of water content using dry weight method. During admission, patients were rehydrated in 12 h. Weight gain at the end of the rehydration period and 2 weeks thereafter was used to determine the percentage of weight loss as a gold standard for the severity of dehydration. Clinical assessment of dehydration was not significantly associated with the percentage of weight loss. Blood urea nitrogen (r = 0.3, p = 0.03), base excess (r =-0.31, p = 0.03), and serum bicarbonate (r = 0.32, p = 0.02) were significantly correlated with the percentage of weight loss. Plasma water did not correlate with the percentage of weight loss. On the basis of the presented data, plasma water should not be used as a diagnostic tool in the assessment of dehydration in children with acute gastroenteritis.

  13. 3D cutting tool-wear monitoring in the process

    Energy Technology Data Exchange (ETDEWEB)

    Cerce, Luka; Pusavec, Franci; Kopac Janez [University of Ljubljana, Askerceva (Slovenia)

    2015-09-15

    The tool-wear of cutting tools has a very strong impact on the product quality as well as efficiency of the machining processes. Therefore, it in-the process characterization is crucial. This paper presents an innovative and reliable direct measuring procedure for measuring spatial cutting tool-wear with usage of laser profile sensor. The technique provides possibility for determination of 3D wear profiles, as advantage to currently used 2D techniques. The influence of the orientation of measurement head on the accuracy and the amount of captured reliable data was examined and the optimal setup of the measuring system was defined. Further, a special clamping system was designed to mount the measurement device on the machine tool turret. To test the measurement system, tool-life experiment was performed. Additionally, a new tool-life criterion was developed, including spatial characteristics of the tool-wear. The results showed that novel tool-wear and tool-life diagnostic represent objective and robust estimator of the machining process. Additionally, such automation of tool-wear diagnostics on machine tool provides higher productivity and quality of the machining process.

  14. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  15. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  16. Experimental Researches Tribological Properties of Hard-Alloy Blades With a Vacuum-Plasma Coating in the Chipboards Milling Process

    Directory of Open Access Journals (Sweden)

    Pavel Viktorovič Rudak

    2015-01-01

    Full Text Available While developing effective ion-plasma coatings compositions of woodcutting tools special conditions of its use should be taken into account particularly the force rate acting on the cutting wedge. With the penetration of the blade into the wood material normal pressure and friction appear on the connection surface. For the analysis of the cutting processes the connection surface can be divided into several zones. The cutters with vacuum-plasma coatings based on TiN are characterized by a lower coefficient of friction in comparison to uncoated cutters in real conditions. The developed technique of tribotechnical tool tests of milling woodchip board is of great importance to establish the regularities of chip formation, chips movement and dust in the timber cutting areas as well as wood materials at milling in a wide parameter range of cutting conditions, for the development of methods and devices of chips and dust suppression.

  17. Simulation Tool for Dielectric Barrier Discharge Plasma Actuators at Atmospheric and Sub-Atmospheric Pressures: SBIR Phase I Final Report

    Science.gov (United States)

    Likhanskii, Alexandre

    2012-01-01

    This report is the final report of a SBIR Phase I project. It is identical to the final report submitted, after some proprietary information of administrative nature has been removed. The development of a numerical simulation tool for dielectric barrier discharge (DBD) plasma actuator is reported. The objectives of the project were to analyze and predict DBD operation at wide range of ambient gas pressures. It overcomes the limitations of traditional DBD codes which are limited to low-speed applications and have weak prediction capabilities. The software tool allows DBD actuator analysis and prediction for subsonic to hypersonic flow regime. The simulation tool is based on the VORPAL code developed by Tech-X Corporation. VORPAL's capability of modeling DBD plasma actuator at low pressures (0.1 to 10 torr) using kinetic plasma modeling approach, and at moderate to atmospheric pressures (1 to 10 atm) using hydrodynamic plasma modeling approach, were demonstrated. In addition, results of experiments with pulsed+bias DBD configuration that were performed for validation purposes are reported.

  18. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  19. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  20. Conversion electron Moessbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    International Nuclear Information System (INIS)

    Terwagne, G.; Hutchings, R.

    1994-01-01

    Conversion electron Moessbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI 3 ) at 350 C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ε-Fe 2 N through ε-Fe 3 N to γ'-Fe 4 N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone. (orig.)

  1. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  2. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    technological solution in the early to late 1990s of confining atmospheric plasmas in a small volume of plasma generation (i.e. with a small volume-to-surface ratio) and then extending it towards a downstream sample [7]-[9]. These are among the first low-temperature atmospheric plasmas aimed particularly at the exploitation of their ability to invoke the active and rich reactive chemistry close to ambient temperature. The main applications of these early devices are precision surface modification of low-temperature dielectric materials, for example thin film deposition and etching [7]-[9]. Variations of the early plasma jets include atmospheric plasma sheet jets [10] for the treatment of largely planar objects (e.g. polymeric sheets) as well as large arrays of many plasma jets for the treatment of complex-structured objects (e.g. surgical tools and open human wounds) [11]. As a material processing technology, the sub-100oC atmospheric-pressure plasma jet has benefited over the years from many innovations. Whilst a detailed account and analysis of these is clearly outside the scope of this Editorial, it is worth stating that there are different avenues with which to maintain a moderate electron density at the plasma core so as to keep the gas temperature at the sample point below a ceiling level. Most of the early studies employed excitation at radio frequencies above 10 MHz, at which electrons are largely confined in the plasma generation region, and this limits the current flow to and gas heating in the plume region of the plasma jet. Other techniques of current limitation have since been shown to be effective, including the use of dielectric barriers across a very large frequency range of 1 kHz--50 MHz, sub-microsecond pulses sustained at kHz frequencies, pulse-modulated radio frequencies and dual-frequency excitation [12]-[15]. These and other techniques have considerably advanced the atmospheric-pressure plasma jet technology. The period of some 15 years since the above

  3. In situ plasma diagnostics study of a commercial high-power hollow cathode magnetron deposition tool

    International Nuclear Information System (INIS)

    Meng Liang; Raju, Ramasamy; Flauta, Randolph; Shin, Hyungjoo; Ruzic, David N.; Hayden, Douglas B.

    2010-01-01

    Using a newly designed and built plasma diagnostic system, the plasma parameters were investigated on a commercial 200 mm high-power hollow cathode magnetron (HCM) physical vapor deposition tool using Ta target under argon plasma. A three dimensional (3D) scanning radio frequency (rf)-compensated Langmuir probe was constructed to measure the spatial distribution of the electron temperature (T e ) and electron density (n e ) in the substrate region of the HCM tool at various input powers (2-15 kW) and pressures (10-70 mTorr). The T e was in the range of 1-3 eV, scaling with decreasing power and decreasing pressure. Meanwhile, n e was in the range of 4x10 10 -1x10 12 cm -3 scaling with increasing power and decreasing pressure. As metal deposits on the probe during the probe measurements, a self-cleaning plasma cup was designed and installed in the chamber to clean the tungsten probe tip. However, its effectiveness in recovering the measured plasma parameters was hindered by the metal layer deposited on the insulating probe tube which was accounted for the variation in the plasma measurements. Using a quartz crystal microbalance combined with electrostatic filters, the ionization fraction of the metal flux was measured at various input power of 2-16 kW and pressure of 5-40 mTorr. The metal ionization fraction reduced significantly with the increasing input power and decreasing gas pressure which were attributed to the corresponding variation in the ionization cross section and the residence time of the sputtered atoms in the plasma, respectively. Both the metal neutral and ion flux increased at higher power and lower pressure. The 3D measurements further showed that the ionization fraction decreased when moving up from the substrate to the cathode.

  4. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  5. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  6. Minority Ions Acceleration by ICRH: a tool for investigating Burning Plasma Physics

    International Nuclear Information System (INIS)

    Cardinali, A.; Briguglio, S.; Calabro, G.; Crisanti, F.; Di Troia, C.; Fogaccia, G.; Marinucci, M.; Vlad, G.; Zonca, F.

    2008-01-01

    A thorough numerical analysis of the quasi-linear plasma-ICRH wave interaction has been made and will be presented in order to determine the characteristic fast-ion parameters that are necessary for addressing some of the main ITER burning plasma physics issues, e.g. fast ion transport due to collective mode excitations, cross-scale couplings of micro-turbulence with meso-scale fluctuations due to energetic particles, etc. These investigations refer to the Fusion Advanced Studies Torus (FAST), a conceptual tokamak design operating with deuterium plasmas in a dimensionless parameter range as close as possible to that of ITER and equipped with ICRH as a main heating scheme. The destabilization and saturation of fast ion driven Alfvenic modes below and above the EPM (Energetic Particle Modes) stability threshold are investigated by numerical simulations with the HMGC code, which assumes the anisotropic energetic particle distribution function accelerated by ICRH as input. The results of this study, obtained by integration of many numerical tools, are presented and discussed

  7. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  8. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  9. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  10. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  11. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  12. Surface enhancement of cold work tool steels by friction stir processing with a pinless tool

    Science.gov (United States)

    Costa, M. I.; Verdera, D.; Vieira, M. T.; Rodrigues, D. M.

    2014-03-01

    The microstructure and mechanical properties of enhanced tool steel (AISI D2) surfaces produced using a friction stir welding (FSW) related procedure, called friction stir processing (FSP), are analysed in this work. The surface of the tool steel samples was processed using a WC-Co pinless tool and varying processing conditions. Microstructural analysis revealed that meanwhile the original substrate structure consisted of a heterogeneous distribution of coarse carbides in a ferritic matrix, the transformed surfaces consisted of very small carbides, homogenously distributed in a ferrite- bainite- martensite matrix. The morphology of the surfaces, as well as its mechanical properties, evaluated by hardness and tensile testing, were found to vary with increasing tool rotation speed. Surface hardness was drastically increased, relative to the initial hardness of bulk steel. This was attributed to ferrite and carbide refinement, as well as to martensite formation during solid state processing. At the highest rotation rates, tool sliding during processing deeply compromised the characteristics of the processed surfaces.

  13. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  14. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  15. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  16. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  17. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  18. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  19. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  20. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  3. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  4. Process-Based Quality (PBQ) Tools Development; TOPICAL

    International Nuclear Information System (INIS)

    Cummins, J.L.

    2001-01-01

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts

  5. PAT tools for fermentation processes

    DEFF Research Database (Denmark)

    Gernaey, Krist

    The publication of the Process Analytical Technology (PAT) guidance has been one of the most important milestones for pharmaceutical production during the past ten years. The ideas outlined in the PAT guidance are also applied in other industries, for example the fermentation industry. Process...... knowledge is central in PAT projects. This presentation therefore gives a brief overview of a number of PAT tools for collecting process knowledge on fermentation processes: - On-line sensors, where for example spectroscopic measurements are increasingly applied - Mechanistic models, which can be used...

  6. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  7. Reconstruction of the ion plasma parameters from the current measurements: mathematical tool

    Directory of Open Access Journals (Sweden)

    E. Séran

    Full Text Available Instrument d’Analyse du Plasma (IAP is one of the instruments of the newly prepared ionospheric mission Demeter. This analyser was developed to measure flows of thermal ions at the altitude of ~ 750 km and consists of two parts: (i retarding potential analyser (APR, which is utilised to measure the energy distribution of the ion plasma along the sensor look direction, and (ii velocity direction analyser (ADV, which is used to measure the arrival angle of the ion flow with respect to the analyser axis. The necessity to obtain quick and precise estimates of the ion plasma parameters has prompted us to revise the existing mathematical tool and to investigate different instrumental limitations, such as (i finite angular aperture, (ii grid transparency, (iii potential depression in the space between the grid wires, (iv losses of ions during their passage between the entrance diaphragm and the collector. Simple analytical expressions are found to fit the currents, which are measured by the APR and ADV collectors, and show a very good agreement with the numerical solutions. It was proven that the fitting of the current with the model functions gives a possibility to properly resolve even minor ion concentrations and to find the arrival angles of the ion flow in the multi-species plasma. The discussion is illustrated by an analysis of the instrument response in the ionospheric conditions which are predicted by the International Reference Ionosphere (IRI model.

    Key words. Ionosphere (plasma convection; instruments and techniques – Space plasma physics (experimental and mathematical techniques

  8. Reconstruction of the ion plasma parameters from the current measurements: mathematical tool

    Directory of Open Access Journals (Sweden)

    E. Séran

    2003-05-01

    Full Text Available Instrument d’Analyse du Plasma (IAP is one of the instruments of the newly prepared ionospheric mission Demeter. This analyser was developed to measure flows of thermal ions at the altitude of ~ 750 km and consists of two parts: (i retarding potential analyser (APR, which is utilised to measure the energy distribution of the ion plasma along the sensor look direction, and (ii velocity direction analyser (ADV, which is used to measure the arrival angle of the ion flow with respect to the analyser axis. The necessity to obtain quick and precise estimates of the ion plasma parameters has prompted us to revise the existing mathematical tool and to investigate different instrumental limitations, such as (i finite angular aperture, (ii grid transparency, (iii potential depression in the space between the grid wires, (iv losses of ions during their passage between the entrance diaphragm and the collector. Simple analytical expressions are found to fit the currents, which are measured by the APR and ADV collectors, and show a very good agreement with the numerical solutions. It was proven that the fitting of the current with the model functions gives a possibility to properly resolve even minor ion concentrations and to find the arrival angles of the ion flow in the multi-species plasma. The discussion is illustrated by an analysis of the instrument response in the ionospheric conditions which are predicted by the International Reference Ionosphere (IRI model.Key words. Ionosphere (plasma convection; instruments and techniques – Space plasma physics (experimental and mathematical techniques

  9. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  10. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  11. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  12. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  13. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  14. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  15. Gaussian process regression for tool wear prediction

    Science.gov (United States)

    Kong, Dongdong; Chen, Yongjie; Li, Ning

    2018-05-01

    To realize and accelerate the pace of intelligent manufacturing, this paper presents a novel tool wear assessment technique based on the integrated radial basis function based kernel principal component analysis (KPCA_IRBF) and Gaussian process regression (GPR) for real-timely and accurately monitoring the in-process tool wear parameters (flank wear width). The KPCA_IRBF is a kind of new nonlinear dimension-increment technique and firstly proposed for feature fusion. The tool wear predictive value and the corresponding confidence interval are both provided by utilizing the GPR model. Besides, GPR performs better than artificial neural networks (ANN) and support vector machines (SVM) in prediction accuracy since the Gaussian noises can be modeled quantitatively in the GPR model. However, the existence of noises will affect the stability of the confidence interval seriously. In this work, the proposed KPCA_IRBF technique helps to remove the noises and weaken its negative effects so as to make the confidence interval compressed greatly and more smoothed, which is conducive for monitoring the tool wear accurately. Moreover, the selection of kernel parameter in KPCA_IRBF can be easily carried out in a much larger selectable region in comparison with the conventional KPCA_RBF technique, which helps to improve the efficiency of model construction. Ten sets of cutting tests are conducted to validate the effectiveness of the presented tool wear assessment technique. The experimental results show that the in-process flank wear width of tool inserts can be monitored accurately by utilizing the presented tool wear assessment technique which is robust under a variety of cutting conditions. This study lays the foundation for tool wear monitoring in real industrial settings.

  16. PAT tools for fermentation processes

    DEFF Research Database (Denmark)

    Gernaey, Krist; Bolic, Andrijana; Svanholm, Bent

    2012-01-01

    The publication of the Process Analytical Technology (PAT) guidance has been one of the most important milestones for pharmaceutical production during the past ten years. The ideas outlined in the PAT guidance are also applied in other industries, for example the fermentation industry. Process...... knowledge is central in PAT projects. This manuscript therefore gives a brief overview of a number of PAT tools for collecting process knowledge on fermentation processes: on-line sensors, mechanistic models and small-scale equipment for high-throughput experimentation. The manuscript ends with a short...

  17. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  18. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  19. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  20. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  1. Conversion electron Mössbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    Science.gov (United States)

    Terwagne, G.; Collins, G. A.; Hutchings, R.

    1994-12-01

    Conversion electron Mössbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI3) at 350 °C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ɛ-Fe2N through ɛ-Fe3N to γ'-Fe4N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone.

  2. On-line control of the plasma spraying process by monitoring the temperature, velocity, and trajectory of in-flight particles

    International Nuclear Information System (INIS)

    Moreau, C.; Gougeon, P.; Lamontagne, M.; Lacasse, V.; Vaudreuil, G.; Cielo, P.

    1994-01-01

    This paper describes a new optical sensing device for on-line monitoring of the temperature, velocity and trajectory of in-flight particles during industrial coating production. Thermal radiation emitted by the in-flight particles is collected by a small and robust sensing head that can be attached to the plasma gun providing continuous monitoring of the spray process. The collected radiation is transmitted through optical fibers to a detection cabinet located away from the dusty environment around the operating plasma gun. On-line measurement of the particle velocity, temperature and trajectory can provide an efficient diagnostic tool to maintain optimum spraying conditions leading to a better reproducibility of the coating properties

  3. Model based methods and tools for process systems engineering

    DEFF Research Database (Denmark)

    Gani, Rafiqul

    need to be integrated with work-flows and data-flows for specific product-process synthesis-design problems within a computer-aided framework. The framework therefore should be able to manage knowledge-data, models and the associated methods and tools needed by specific synthesis-design work...... of model based methods and tools within a computer aided framework for product-process synthesis-design will be highlighted.......Process systems engineering (PSE) provides means to solve a wide range of problems in a systematic and efficient manner. This presentation will give a perspective on model based methods and tools needed to solve a wide range of problems in product-process synthesis-design. These methods and tools...

  4. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  5. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  6. Quality improvement tools and processes.

    Science.gov (United States)

    Lau, Catherine Y

    2015-04-01

    The Model for Improvement and the Plan-Do-Study-Act cycle is a popular quality improvement (QI) tool for health care providers to successfully lead QI projects and redesign care processes. This tool has several distinct components that must be addressed in sequence to organize and critically evaluate improvement activities. Unlike other health sciences clinical research, QI projects and research are based on dynamic hypotheses that develop into observable, serial tests of change with continuous collection and feedback of performance data to stakeholders. Copyright © 2015 Elsevier Inc. All rights reserved.

  7. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  8. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  9. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  10. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  11. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  12. A new nebulization device with exchangeable aerosol generation mode as a useful tool to investigate sample introduction processes in inductively coupled plasma atomic emission spectrometry

    International Nuclear Information System (INIS)

    Grotti, Marco; Lagomarsino, Cristina; Frache, Roberto

    2004-01-01

    A new sample introduction device has been designed in order to differentiate between the effects of the aerosol production and its following desolvation on analytical performances of an inductively coupled plasma optical spectrometer. This research tool allows to easily switch between the pneumatic and ultrasonic aerosol generation mode and to use a joint desolvation chamber. In this way, a real comparison between aerosol production systems may be attained and the influence of aerosol generation process on analytical figures clearly distinguished from that of the desolvation process. In this work, the separate effects of the aerosol generation and desolvation processes on analytical sensitivity and tolerance towards matrix effects have been investigated. Concerning sensitivity, it was found that both the processes play an important role in determining emission intensities, being the increase in sensitivity due to desolvation higher than that due to the improved aerosol generation efficiency. Concerning the matrix effects, a predominant role of the desolvation system was found, while the influence of the aerosol generation mode was much less important. For nitric acid, the decreasing effect was mitigated by the presence of a desolvation system, due to partial removal of the acid. On the contrary, the depressive effect of sulfuric acid was enhanced by the presence of a desolvation system, due to degradation of the solvent removal efficiency and to further decrease in the analyte transport rate caused by clustering phenomena. Concerning the interferences due to sodium and calcium, a depressive effect was observed, which is enhanced by desolvation

  13. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  14. Process development and tooling design for intrinsic hybrid composites

    Science.gov (United States)

    Riemer, M.; Müller, R.; Drossel, W. G.; Landgrebe, D.

    2017-09-01

    Hybrid parts, which combine the advantages of different material classes, are moving into the focus of lightweight applications. This development is amplified by their high potential for usage in the field of crash relevant structures. By the current state of the art, hybrid parts are mainly made in separate, subsequent forming and joining processes. By using the concept of an intrinsic hybrid, the shaping of the part and the joining of the different materials are performed in a single process step for shortening the overall processing time and thereby the manufacturing costs. The investigated hybrid part is made from continuous fibre reinforced plastic (FRP), in which a metallic reinforcement structure is integrated. The connection between these layered components is realized by a combination of adhesive bonding and a geometrical form fit. The form fit elements are intrinsically generated during the forming process. This contribution regards the development of the forming process and the design of the forming tool for the single step production of a hybrid part. To this end a forming tool, which combines the thermo-forming and the metal forming process, is developed. The main challenge by designing the tool is the temperature management of the tool elements for the variothermal forming process. The process parameters are determined in basic tests and finite element (FE) simulation studies. On the basis of these investigations a control concept for the steering of the motion axes and the tool temperature is developed. Forming tests are carried out with the developed tool and the manufactured parts are analysed by computer assisted tomography (CT) scans.

  15. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  16. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  17. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  18. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  19. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  20. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  1. Use of Atmospheric-Pressure Plasma Jet for Polymer Surface Modification: An Overview

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-16

    Atmospheric-pressure plasma jets (APPJs) are playing an increasingly important role in materials processing procedures. Plasma treatment is a useful tool to modify surface properties of materials, especially polymers. Plasma reacts with polymer surfaces in numerous ways thus the type of process gas and plasma conditions must be explored for chosen substrates and materials to maximize desired properties. This report discusses plasma treatments and looks further into atmospheric-pressure plasma jets and the effects of gases and plasma conditions. Following the short literature review, a general overview of the future work and research at Los Alamos National Laboratory (LANL) is discussed.

  2. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  3. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  4. Spontaneous disordering of a two-dimensional (2D) plasma crystal

    International Nuclear Information System (INIS)

    Zhdanov, Sergey K; Thoma, Markus H; Morfill, Gregor E

    2011-01-01

    Spontaneous disordering plays an important role in the physics of highly ordered complex plasmas. In this paper, an analytical theory is proposed for the process of 'cold amorphization', which has been observed. This consists of splitting a plasma crystal into sub-domains, followed by disordering. The results of recent simulations and experiments showing such spontaneous disordering have been reviewed and interpreted in this paper. Complex plasmas can serve as a powerful tool providing fundamental insight into this process generically.

  5. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  6. Parallel workflow tools to facilitate human brain MRI post-processing

    Directory of Open Access Journals (Sweden)

    Zaixu eCui

    2015-05-01

    Full Text Available Multi-modal magnetic resonance imaging (MRI techniques are widely applied in human brain studies. To obtain specific brain measures of interest from MRI datasets, a number of complex image post-processing steps are typically required. Parallel workflow tools have recently been developed, concatenating individual processing steps and enabling fully automated processing of raw MRI data to obtain the final results. These workflow tools are also designed to make optimal use of available computational resources and to support the parallel processing of different subjects or of independent processing steps for a single subject. Automated, parallel MRI post-processing tools can greatly facilitate relevant brain investigations and are being increasingly applied. In this review, we briefly summarize these parallel workflow tools and discuss relevant issues.

  7. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  8. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  9. plasmaFoam: An OpenFOAM framework for computational plasma physics and chemistry

    Science.gov (United States)

    Venkattraman, Ayyaswamy; Verma, Abhishek Kumar

    2016-09-01

    As emphasized in the 2012 Roadmap for low temperature plasmas (LTP), scientific computing has emerged as an essential tool for the investigation and prediction of the fundamental physical and chemical processes associated with these systems. While several in-house and commercial codes exist, with each having its own advantages and disadvantages, a common framework that can be developed by researchers from all over the world will likely accelerate the impact of computational studies on advances in low-temperature plasma physics and chemistry. In this regard, we present a finite volume computational toolbox to perform high-fidelity simulations of LTP systems. This framework, primarily based on the OpenFOAM solver suite, allows us to enhance our understanding of multiscale plasma phenomenon by performing massively parallel, three-dimensional simulations on unstructured meshes using well-established high performance computing tools that are widely used in the computational fluid dynamics community. In this talk, we will present preliminary results obtained using the OpenFOAM-based solver suite with benchmark three-dimensional simulations of microplasma devices including both dielectric and plasma regions. We will also discuss the future outlook for the solver suite.

  10. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  11. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  12. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  13. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  14. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  15. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  16. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  17. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  18. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  19. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  20. Plasma under control: Advanced solutions and perspectives for plasma flux management in material treatment and nanosynthesis

    Science.gov (United States)

    Baranov, O.; Bazaka, K.; Kersten, H.; Keidar, M.; Cvelbar, U.; Xu, S.; Levchenko, I.

    2017-12-01

    Given the vast number of strategies used to control the behavior of laboratory and industrially relevant plasmas for material processing and other state-of-the-art applications, a potential user may find themselves overwhelmed with the diversity of physical configurations used to generate and control plasmas. Apparently, a need for clearly defined, physics-based classification of the presently available spectrum of plasma technologies is pressing, and the critically summary of the individual advantages, unique benefits, and challenges against key application criteria is a vital prerequisite for the further progress. To facilitate selection of the technological solutions that provide the best match to the needs of the end user, this work systematically explores plasma setups, focusing on the most significant family of the processes—control of plasma fluxes—which determine the distribution and delivery of mass and energy to the surfaces of materials being processed and synthesized. A novel classification based on the incorporation of substrates into plasma-generating circuitry is also proposed and illustrated by its application to a wide variety of plasma reactors, where the effect of substrate incorporation on the plasma fluxes is emphasized. With the key process and material parameters, such as growth and modification rates, phase transitions, crystallinity, density of lattice defects, and others being linked to plasma and energy fluxes, this review offers direction to physicists, engineers, and materials scientists engaged in the design and development of instrumentation for plasma processing and diagnostics, where the selection of the correct tools is critical for the advancement of emerging and high-performance applications.

  1. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  2. Development of continuous pharmaceutical production processes supported by process systems engineering methods and tools

    DEFF Research Database (Denmark)

    Gernaey, Krist; Cervera Padrell, Albert Emili; Woodley, John

    2012-01-01

    The pharmaceutical industry is undergoing a radical transition towards continuous production processes. Systematic use of process systems engineering (PSE) methods and tools form the key to achieve this transition in a structured and efficient way.......The pharmaceutical industry is undergoing a radical transition towards continuous production processes. Systematic use of process systems engineering (PSE) methods and tools form the key to achieve this transition in a structured and efficient way....

  3. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  4. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  5. Computer simulations of plasma-biomolecule and plasma-tissue interactions for a better insight in plasma medicine

    Science.gov (United States)

    Neyts, Erik C.; Yusupov, Maksudbek; Verlackt, Christof C.; Bogaerts, Annemie

    2014-07-01

    Plasma medicine is a rapidly evolving multidisciplinary field at the intersection of chemistry, biochemistry, physics, biology, medicine and bioengineering. It holds great potential in medical, health care, dentistry, surgical, food treatment and other applications. This multidisciplinary nature and variety of possible applications come along with an inherent and intrinsic complexity. Advancing plasma medicine to the stage that it becomes an everyday tool in its respective fields requires a fundamental understanding of the basic processes, which is lacking so far. However, some major advances have already been made through detailed experiments over the last 15 years. Complementary, computer simulations may provide insight that is difficult—if not impossible—to obtain through experiments. In this review, we aim to provide an overview of the various simulations that have been carried out in the context of plasma medicine so far, or that are relevant for plasma medicine. We focus our attention mostly on atomistic simulations dealing with plasma-biomolecule interactions. We also provide a perspective and tentative list of opportunities for future modelling studies that are likely to further advance the field.

  6. Wave launching as a diagnostic tool to investigate plasma turbulence

    International Nuclear Information System (INIS)

    Tsui, H.Y.W.; Bengtson, R.D.; Li, G.X.; Richards, B.; Uglum, J.; Wootton, A.J.; Uckan, T.

    1994-01-01

    An experimental scheme to extend the investigation of plasma turbulence has been implemented. It involves driving waves into the plasma to modify the statistical properties of the fluctuations; the dynamic balance of the turbulence is perturbed via the injection of waves at selected spectral regions. A conditional sampling technique is used in conjunction with correlation analyses to study the wave launching and the wave-wave coupling processes. Experimental results from TEXT-U tokamak show that the launched waves interact with the intrinsic fluctuations both linearly and nonlinearly. The attainment of driven nonlinearity is necessary for this diagnostic scheme to work. It is also the key to an active modification and control of edge turbulence in tokamaks

  7. Examples for application and diagnostics in plasma-powder interaction

    International Nuclear Information System (INIS)

    Kersten, H; Wiese, R; Thieme, G; Froehlich, M; Kopitov, A; Bojic, D; Scholze, F; Neumann, H; Quaas, M; Wulff, H; Hippler, R

    2003-01-01

    Low-pressure plasmas offer a unique possibility of confinement, control and fine tailoring of particle properties. Hence, dusty plasmas have grown into a vast field and new applications of plasma-processed dust particles are emerging. There is demand for particles with special properties and for particle-seeded composite materials. For example, the stability of luminophore particles could be improved by coating with protective Al 2 O 3 films which are deposited by a PECVD process using a metal-organic precursor gas. Alternatively, the interaction between plasma and injected micro-disperse powder particles can also be used as a diagnostic tool for the study of plasma surface processes. Two examples will be provided: the interaction of micro-sized (SiO 2 ) grains confined in a radiofrequency plasma with an external ion beam as well as the effect of a dc-magnetron discharge on confined particles during deposition have been investigated

  8. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  9. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  10. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  11. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  12. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  13. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  14. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  15. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  16. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  17. Automatized material and radioactivity flow control tool in decommissioning process

    International Nuclear Information System (INIS)

    Rehak, I.; Vasko, M.; Daniska, V.; Schultz, O.

    2009-01-01

    In this presentation the automatized material and radioactivity flow control tool in decommissioning process is discussed. It is concluded that: computer simulation of the decommissioning process is one of the important attributes of computer code Omega; one of the basic tools of computer optimisation of decommissioning waste processing are the tools of integral material and radioactivity flow; all the calculated parameters of materials are stored in each point of calculation process and they can be viewed; computer code Omega represents opened modular system, which can be improved; improvement of the module of optimisation of decommissioning waste processing will be performed in the frame of improvement of material procedures and scenarios.

  18. Range Process Simulation Tool

    Science.gov (United States)

    Phillips, Dave; Haas, William; Barth, Tim; Benjamin, Perakath; Graul, Michael; Bagatourova, Olga

    2005-01-01

    Range Process Simulation Tool (RPST) is a computer program that assists managers in rapidly predicting and quantitatively assessing the operational effects of proposed technological additions to, and/or upgrades of, complex facilities and engineering systems such as the Eastern Test Range. Originally designed for application to space transportation systems, RPST is also suitable for assessing effects of proposed changes in industrial facilities and large organizations. RPST follows a model-based approach that includes finite-capacity schedule analysis and discrete-event process simulation. A component-based, scalable, open architecture makes RPST easily and rapidly tailorable for diverse applications. Specific RPST functions include: (1) definition of analysis objectives and performance metrics; (2) selection of process templates from a processtemplate library; (3) configuration of process models for detailed simulation and schedule analysis; (4) design of operations- analysis experiments; (5) schedule and simulation-based process analysis; and (6) optimization of performance by use of genetic algorithms and simulated annealing. The main benefits afforded by RPST are provision of information that can be used to reduce costs of operation and maintenance, and the capability for affordable, accurate, and reliable prediction and exploration of the consequences of many alternative proposed decisions.

  19. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  20. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  1. Feeder replacement tooling and processes

    International Nuclear Information System (INIS)

    Mallozzi, R.; Goslin, R.; Pink, D.; Askari, A.

    2008-01-01

    Primary heat transport system feeder integrity has become a concern at some CANDU nuclear plants as a result of thinning caused by flow accelerated corrosion (FAC). Feeder inspections are indicating that life-limiting wall thinning can occur in the region between the Grayloc hub weld and second elbow of some outlet feeders. In some cases it has become necessary to replace thinned sections of affected feeders to restore feeder integrity to planned end of life. Atomic Energy of Canada Limited (AECL) and Babcock and Wilcox Canada Ltd. (B and W) have developed a new capability for replacement of single feeders at any location on the reactor face without impacting or interrupting operation of neighbouring feeders. This new capability consists of deploying trained crews with specialized tools and procedures for feeder replacements during planned outages. As may be expected, performing single feeder replacement in the congested working environment of an operational CANDU reactor face involves overcoming many challenges with respect to access to feeders, available clearances for tooling, and tooling operation and performance. This paper describes some of the challenges encountered during single feeder replacements and actions being taken by AECL and B and W to promote continuous improvement of feeder replacement tooling and processes and ensure well-executed outages. (author)

  2. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  3. Tools for Observation: Art and the Scientific Process

    Science.gov (United States)

    Pettit, E. C.; Coryell-Martin, M.; Maisch, K.

    2015-12-01

    Art can support the scientific process during different phases of a scientific discovery. Art can help explain and extend the scientific concepts for the general public; in this way art is a powerful tool for communication. Art can aid the scientist in processing and interpreting the data towards an understanding of the concepts and processes; in this way art is powerful - if often subconscious - tool to inform the process of discovery. Less often acknowledged, art can help engage students and inspire scientists during the initial development of ideas, observations, and questions; in this way art is a powerful tool to develop scientific questions and hypotheses. When we use art as a tool for communication of scientific discoveries, it helps break down barriers and makes science concepts less intimidating and more accessible and understandable for the learner. Scientists themselves use artistic concepts and processes - directly or indirectly - to help deepen their understanding. Teachers are following suit by using art more to stimulate students' creative thinking and problem solving. We show the value of teaching students to use the artistic "way of seeing" to develop their skills in observation, questioning, and critical thinking. In this way, art can be a powerful tool to engage students (from elementary to graduate) in the beginning phase of a scientific discovery, which is catalyzed by inquiry and curiosity. Through qualitative assessment of the Girls on Ice program, we show that many of the specific techniques taught by art teachers are valuable for science students to develop their observation skills. In particular, the concepts of contour drawing, squinting, gesture drawing, inverted drawing, and others can provide valuable training for student scientists. These art techniques encourage students to let go of preconceptions and "see" the world (the "data") in new ways they help students focus on both large-scale patterns and small-scale details.

  4. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  5. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  6. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  7. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  8. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  9. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  10. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  11. REQUIREMENTS PROCESSING TOOLS AND THE BUILDING DESIGNERS MOTIVATION ON USE

    Directory of Open Access Journals (Sweden)

    Camila Pegoraro

    2017-04-01

    Full Text Available The successful development of projects requires, among other conditions, the ability to process requirements. In the construction literature, researchers have figured out that human difficulties was often at the root of Requirements Processing (RP problems throughout the design phases, and that the employment of tools could be a key factor for RP implementation. To check these outcomes and to look at how current practitioners behave in relation to the RP tools, an exploratory case study was conducted with a building design team from a public university. The aim of this paper was to investigate the perception of benefits and the motivation of designers regarding the RP tools. The results indicated that 42% of the participants are highly motivated to use new tools and that they have more interest in tools that deal directly with design activities than in those focused on data. Validation tools aroused interest as the most useful tools for designers. 66,7% of the participants mentioned that the tools can make the design process clearer, and that training and adaptation are crucial to promote acceptance and commitment to RP. The main contribution is the indication of gaps for further research and for tools improvement from the designers’ perspective.

  12. Lasers as a tool for plasma diagnostics

    International Nuclear Information System (INIS)

    Jahoda, F.C.

    1981-01-01

    Lasers can be used as non-perturbative probes to measure many plasma parameters. Plasma refractivity is primarily a function of electron density, and interferometric measurements of phase changes with either pulsed or CW lasers can determine this parameter with spatial or temporal resolution over several orders of magnitude sensitivity by using laser wavelengths from the near uv to the far infrared. Laser scattering from free electrons yields the most fundamental electron temperature measurements in the plasma parameter range where individual scattering events are uncorrelated in phase and ion temperature or plasma wave and turbulence structure in the opposite limit. Laser scattering from bound electrons can be many orders of magnitude larger if the laser is matched to appropriate resonance frequencies and can be used in specialized circumstances for measuring low-ionized impurity or dominant species neutral concentrations and velocities

  13. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  14. Progress on Beam-Plasma Effect Simulations in Muon Ionization Cooling Lattices

    Energy Technology Data Exchange (ETDEWEB)

    Ellison, James [IIT, Chicago; Snopok, Pavel [Fermilab

    2017-05-01

    New computational tools are essential for accurate modeling and simulation of the next generation of muon-based accelerators. One of the crucial physics processes specific to muon accelerators that has not yet been simulated in detail is beam-induced plasma effect in liquid, solid, and gaseous absorbers. We report here on the progress of developing the required simulation tools and applying them to study the properties of plasma and its effects on the beam in muon ionization cooling channels.

  15. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  16. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  17. Extracting gravitational waves induced by plasma turbulence in the early Universe through an averaging process

    International Nuclear Information System (INIS)

    Garrison, David; Ramirez, Christopher

    2017-01-01

    This work is a follow-up to the paper, ‘Numerical relativity as a tool for studying the early Universe’. In this article, we determine if cosmological gravitational waves can be accurately extracted from a dynamical spacetime using an averaging process as opposed to conventional methods of gravitational wave extraction using a complex Weyl scalar. We calculate the normalized energy density, strain and degree of polarization of gravitational waves produced by a simulated turbulent plasma similar to what was believed to have existed shortly after the electroweak scale. This calculation is completed using two numerical codes, one which utilizes full general relativity calculations based on modified BSSN equations while the other utilizes a linearized approximation of general relativity. Our results show that the spectrum of gravitational waves calculated from the nonlinear code using an averaging process is nearly indistinguishable from those calculated from the linear code. This result validates the use of the averaging process for gravitational wave extraction of cosmological systems. (paper)

  18. Improving Tools and Processes in Mechanical Design Collaboration

    Science.gov (United States)

    Briggs, Clark

    2009-01-01

    Cooperative product development projects in the aerospace and defense industry are held hostage to high cost and risk due to poor alignment of collaborative design tools and processes. This impasse can be broken if companies will jointly develop implementation approaches and practices in support of high value working arrangements. The current tools can be used to better advantage in many situations and there is reason for optimism that tool vendors will provide significant support.

  19. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  20. Modeling Constellation Virtual Missions Using the Vdot(Trademark) Process Management Tool

    Science.gov (United States)

    Hardy, Roger; ONeil, Daniel; Sturken, Ian; Nix, Michael; Yanez, Damian

    2011-01-01

    The authors have identified a software tool suite that will support NASA's Virtual Mission (VM) effort. This is accomplished by transforming a spreadsheet database of mission events, task inputs and outputs, timelines, and organizations into process visualization tools and a Vdot process management model that includes embedded analysis software as well as requirements and information related to data manipulation and transfer. This paper describes the progress to date, and the application of the Virtual Mission to not only Constellation but to other architectures, and the pertinence to other aerospace applications. Vdot s intuitive visual interface brings VMs to life by turning static, paper-based processes into active, electronic processes that can be deployed, executed, managed, verified, and continuously improved. A VM can be executed using a computer-based, human-in-the-loop, real-time format, under the direction and control of the NASA VM Manager. Engineers in the various disciplines will not have to be Vdot-proficient but rather can fill out on-line, Excel-type databases with the mission information discussed above. The author s tool suite converts this database into several process visualization tools for review and into Microsoft Project, which can be imported directly into Vdot. Many tools can be embedded directly into Vdot, and when the necessary data/information is received from a preceding task, the analysis can be initiated automatically. Other NASA analysis tools are too complex for this process but Vdot automatically notifies the tool user that the data has been received and analysis can begin. The VM can be simulated from end-to-end using the author s tool suite. The planned approach for the Vdot-based process simulation is to generate the process model from a database; other advantages of this semi-automated approach are the participants can be geographically remote and after refining the process models via the human-in-the-loop simulation, the

  1. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  2. Quartz-crystal microbalance study for characterizing atomic oxygen in plasma ash tools

    International Nuclear Information System (INIS)

    Srivastava, A.K.; Sakthivel, P.

    2001-01-01

    This article discusses the measurement of atomic oxygen (AO) concentrations in an oxygen discharge using a quartz-crystal microbalance (QCM). This is a device that has been previously used for monitoring thin-film deposition, among several other applications. The sensor consists of a silver-coated quartz crystal that oscillates at its specific resonant frequency (typically, at about 6 MHz), which is dependent on the mass of the crystal. When exposed to AO, the silver oxidizes rapidly, resulting in a change in its mass, and a consequent change in this frequency. The frequency change is measured with a counter, and when plotted versus time, it may be fit to a standard diffusion-limited oxide-growth model. This model is then used to determine the specific AO flux to the crystal, and by inference, to the wafer. Initial results of QCM measurements in the FusionGemini Plasma Asher (GPL TM -standard downstream microwave asher) and FusionGemini Enhanced Strip (GES TM -fluorine compatible enhanced strip asher) are presented in this article. The results indicate AO densities of the order of 10 12 cm -3 on the wafer. There is a marked increase in AO concentration with addition of nitrogen into the plasma, and a decrease in AO concentration with increasing pressure at constant flow. Effects of increasing the total plasma volume in the enhanced strip tool on AO production are discussed

  3. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  5. The three-dimensional positioning system at the VINETA.II experiment—a multipurpose tool for in situ plasma diagnostics

    Science.gov (United States)

    Shesterikov, I.; Milojevic, D.; von Stechow, A.; Rahbarnia, K.; Grulke, O.; Klinger, T.

    2017-08-01

    The manipulator systems installed at the VINETA.II magnetic reconnection experiment are essential elements for experimental investigation of local plasma parameters. A novel three-dimensional (3D) probe manipulator has been designed, implemented and successfully operated at VINETA.II. This work presents its design and performance for three-dimensional measurements of VINETA.II plasmas. Its design consists of three vertically stacked independent and mutually perpendicular linear motion stages which allow flexible positioning of diagnostic tools such as electrical and magnetic probes or optical diagnostics within the vacuum vessel. Its design features include a wide spatial coverage, sub-millimeter positioning accuracy and the capability to operate in a harsh environment under the influence of microwaves, radio-frequency waves and direct contact with plasma. Manipulator performance is assessed by measuring a volumetric distribution of plasma parameters by a B-dot probe. A typical discharge of the magnetic reconnection setup in VINETA.II with a pulse time of τ=600 μs is chosen for this purpose. The azimuthal magnetic field distribution measured with the 3D manipulator agrees favorably with measurements obtained by the two-dimensional (2D) manipulator, used at VINETA.II as a standard reference diagnostic tool, thereby demonstrating its reliability and performance. A programmable stepper motor controller (TMCM-1110) that is operated remotely by a PC drives all possible features of the manipulator system.

  6. Additive Manufacturing of Tooling for Refrigeration Cabinet Foaming Processes

    Energy Technology Data Exchange (ETDEWEB)

    Post, Brian K [ORNL; Nuttall, David [ORNL; Cukier, Michael Z [ORNL; Hile, Michael B [ORNL

    2016-07-29

    The primary objective of this project was to leverage the Big Area Additive Manufacturing (BAAM) process and materials into a long term, quick change tooling concept to drastically reduce product lead and development timelines and costs. Current refrigeration foam molds are complicated to manufacture involving casting several aluminum parts in an approximate shape, machining components of the molds and post fitting and shimming of the parts in an articulated fixture. The total process timeline can take over 6 months. The foaming process is slower than required for production, therefore multiple fixtures, 10 to 27, are required per refrigerator model. Molds are particular to a specific product configuration making mixed model assembly challenging for sequencing, mold changes or auto changeover features. The initial goal was to create a tool leveraging the ORNL materials and additive process to build a tool in 4 to 6 weeks or less. A secondary goal was to create common fixture cores and provide lightweight fixture sections that could be revised in a very short time to increase equipment flexibility reduce lead times, lower the barriers to first production trials, and reduce tooling costs.

  7. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  8. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  9. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  10. An Educational Tool for Interactive Parallel and Distributed Processing

    DEFF Research Database (Denmark)

    Pagliarini, Luigi; Lund, Henrik Hautop

    2011-01-01

    In this paper we try to describe how the Modular Interactive Tiles System (MITS) can be a valuable tool for introducing students to interactive parallel and distributed processing programming. This is done by providing an educational hands-on tool that allows a change of representation of the abs......In this paper we try to describe how the Modular Interactive Tiles System (MITS) can be a valuable tool for introducing students to interactive parallel and distributed processing programming. This is done by providing an educational hands-on tool that allows a change of representation...... of the abstract problems related to designing interactive parallel and distributed systems. Indeed, MITS seems to bring a series of goals into the education, such as parallel programming, distributedness, communication protocols, master dependency, software behavioral models, adaptive interactivity, feedback......, connectivity, topology, island modeling, user and multiuser interaction, which can hardly be found in other tools. Finally, we introduce the system of modular interactive tiles as a tool for easy, fast, and flexible hands-on exploration of these issues, and through examples show how to implement interactive...

  11. High-intensity X-rays interaction with matter processes in plasmas, clusters, molecules and solids

    CERN Document Server

    Hau-Riege, Stefan P

    2012-01-01

    Filling the need for a book bridging the effect of matter on X-ray radiation and the interaction of x-rays with plasmas, this monograph provides comprehensive coverage of the topic. As such, it presents and explains such powerful new X-ray sources as X-ray free-electron lasers, as well as short pulse interactions with solids, clusters, molecules, and plasmas, and X-ray matter interactions as a diagnostic tool. Equally useful for researchers and practitioners working in the field.

  12. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  13. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  14. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  15. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  16. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  17. A dataflow analysis tool for parallel processing of algorithms

    Science.gov (United States)

    Jones, Robert L., III

    1993-01-01

    A graph-theoretic design process and software tool is presented for selecting a multiprocessing scheduling solution for a class of computational problems. The problems of interest are those that can be described using a dataflow graph and are intended to be executed repetitively on a set of identical parallel processors. Typical applications include signal processing and control law problems. Graph analysis techniques are introduced and shown to effectively determine performance bounds, scheduling constraints, and resource requirements. The software tool is shown to facilitate the application of the design process to a given problem.

  18. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  19. Micro and nano fabrication tools and processes

    CERN Document Server

    Gatzen, Hans H; Leuthold, Jürg

    2015-01-01

    For Microelectromechanical Systems (MEMS) and Nanoelectromechanical Systems (NEMS) production, each product requires a unique process technology. This book provides a comprehensive insight into the tools necessary for fabricating MEMS/NEMS and the process technologies applied. Besides, it describes enabling technologies which are necessary for a successful production, i.e., wafer planarization and bonding, as well as contamination control.

  20. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  1. Access and scientific exploitation of planetary plasma datasets with the CDPP/AMDA web-based tool

    Science.gov (United States)

    Andre, Nicolas

    2012-07-01

    The field of planetary sciences has greatly expanded in recent years with space missions orbiting around most of the planets of our Solar System. The growing amount and wealth of data available make it difficult for scientists to exploit data coming from many sources that can initially be heterogeneous in their organization, description and format. It is an important objective of the Europlanet-RI (supported by EU within FP7) to add value to space missions by significantly contributing to the effective scientific exploitation of collected data; to enable space researchers to take full advantage of the potential value of data sets. To this end and to enhance the science return from space missions, innovative tools have to be developed and offered to the community. AMDA (Automated Multi-Dataset Analysis, http://cdpp-amda.cesr.fr/) is a web-based facility developed at CDPP Toulouse in France (http://cdpp.cesr.fr) for on line analysis of space physics data (heliosphere, magnetospheres, planetary environments) coming from either its local database or distant ones. AMDA has been recently integrated as a service to the scientific community for the Plasma Physics thematic node of the Europlanet-RI IDIS (Integrated and Distributed Information Service, http://www.europlanet-idis.fi/) activities, in close cooperation with IWF Graz (http://europlanet-plasmanode.oeaw.ac.at/index.php?id=9). We will report the status of our current technical and scientific efforts to integrate in the local database of AMDA various planetary plasma datasets (at Mercury, Venus, Mars, Earth and Moon, Jupiter, Saturn) from heterogeneous sources, including NASA/Planetary Data System (http://ppi.pds.nasa.gov/). We will also present our prototype Virtual Observatory activities to connect the AMDA tool to the IVOA Aladin astrophysical tool to enable pluridisciplinary studies of giant planet auroral emissions. This presentation will be done on behalf of the CDPP Team and Europlanet-RI IDIS plasma node

  2. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  3. ASPECTS REGARDING THE OPTIMUM CUTTING TOOL PATH IN PROCESSING FREE-FORM

    Directory of Open Access Journals (Sweden)

    Florin CHIFAN

    2014-11-01

    Full Text Available This paper describes an approach on tool paths optimization in CAM-type software for milling free forms, with the goal to improve efficiency in processing using CNC machine tools. The methodology proposed in this paper, tackles the problem of mechanical processing in 3 axes using ball nose milling cutters of small diameters, which follows a free form profile. I will consider two cases: the first one considers the ball nose end mill route on a free form with an angle of less than 30°, the second one with a tool path greater than 30°. The main objective of this paper is to determine the optimum angle in order to obtain a better surface roughness, a shorter time of processing and also a higher tool-life, all these by considering all other factors that occurs in the manufacturing process. This will be done by indicating and editing the tool path so that the tools will the minimum entries and exits on the surface of the piece. This will lead to a 10% decrease of the working time.

  4. Representation stigma: Perceptions of tools and processes for design graphics

    Directory of Open Access Journals (Sweden)

    David Barbarash

    2016-12-01

    Full Text Available Practicing designers and design students across multiple fields were surveyed to measure preference and perception of traditional hand and digital tools to determine if common biases for an individual toolset are realized in practice. Significant results were found, primarily with age being a determinant in preference of graphic tools and processes; this finding demonstrates a hard line between generations of designers. Results show that while there are strong opinions in tools and processes, the realities of modern business practice and production gravitate towards digital methods despite a traditional tool preference in more experienced designers. While negative stigmas regarding computers remain, younger generations are more accepting of digital tools and images, which should eventually lead to a paradigm shift in design professions.

  5. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  6. Business Process Variability : A Tool for Declarative Template Design

    NARCIS (Netherlands)

    Bulanov, P.; Groefsema, H.; Aiello, M.

    2012-01-01

    To lower both implementation time and cost, many Business Process Management tools use process templates to implement highly recurring processes. However, in order for such templates to be used, a process has to adhere substantially to the template. Therefore, current practice for processes which

  7. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  9. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  10. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  11. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  12. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  13. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  14. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  15. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  16. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  17. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  18. Use of emission spectroscopy as a tool for optimization of plasma hearth operation for hazardous waste thermal treatment

    International Nuclear Information System (INIS)

    Monts, D.L.; Bauman, L.E.; Lengel, R.K.; Wang, W.; Lin, J.; Cook, R.L.; Shepard, W.S.

    1994-01-01

    Thermal processing of mixed wastes by plasma hearth vitrification requires optimization of and continuous monitoring of plasma hearth operation. A series of investigations utilizing emission spectroscopy has been initiated to characterize the plasma of a 96 kW plasma hearth in order to determine optimum conditions for monitoring and hence controlling plasma hearth performance. The plasma hearth test stand is based upon a 96 kW, transferred arc plasma torch. The torch is mounted in a vacuum vessel through an electrically operated XYZ Gimbal mount. The peak operating power depends on the gas used for the plasma. The operational limits for DC voltage are 180 V to 550 V; and the current is operated at a constant value, selectable in the range from 72 to 200 amps. The plasma arc length can be varied from 2.5 cm to 25 cm, and is dependent on the supply voltage and the process gas used. The arc current and voltage, gas pressure, cooling water flow, and cooling water temperature are monitored and stored by a PC-based data acquisition system. Five optical ports are available for making optical diagnostic measurements

  19. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  20. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  1. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  2. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  3. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  4. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  5. Processes, Performance Drivers and ICT Tools in Human Resources Management

    OpenAIRE

    Oškrdal Václav; Pavlíček Antonín; Jelínková Petra

    2011-01-01

    This article presents an insight to processes, performance drivers and ICT tools in human resources (HR) management area. On the basis of a modern approach to HR management, a set of business processes that are handled by today’s HR managers is defined. Consequently, the concept of ICT-supported performance drivers and their relevance in the area of HR management as well as the relationship between HR business processes, performance drivers and ICT tools are defined. The theoretical outcomes ...

  6. A computer-aided software-tool for sustainable process synthesis-intensification

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan; Babi, Deenesh K.; Bottlaender, Jack

    2017-01-01

    and determine within the design space, the more sustainable processes. In this paper, an integrated computer-aided software-tool that searches the design space for hybrid/intensified more sustainable process options is presented. Embedded within the software architecture are process synthesis...... operations as well as reported hybrid/intensified unit operations is large and can be difficult to manually navigate in order to determine the best process flowsheet for the production of a desired chemical product. Therefore, it is beneficial to utilize computer-aided methods and tools to enumerate, analyze...... constraints while also matching the design targets, they are therefore more sustainable than the base case. The application of the software-tool to the production of biodiesel is presented, highlighting the main features of the computer-aided, multi-stage, multi-scale methods that are able to determine more...

  7. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  8. A software tool for design of process monitoring and analysis systems

    DEFF Research Database (Denmark)

    Singh, Ravendra; Gernaey, Krist; Gani, Rafiqul

    2009-01-01

    A well designed process monitoring and analysis system is necessary to consistently achieve any predefined end product quality. Systematic computer aided methods and tools provide the means to design the necessary process monitoring and analysis systems and/or to validate any existing monitoring...... and analysis system. A software to achieve this has been developed. Two developed supporting tools for the design, a knowledge base (consisting of the process knowledge as well as the knowledge on measurement methods & tools) and a model library (consisting of the process operational models) have been extended...... rigorously and integrated with the user interface, which made the software more generic and applicable to a wide range of problems. The software for the design of a process monitoring and analysis system is presented and illustrated with a tablet manufacturing process example....

  9. Development of Tool Representations in the Dorsal and Ventral Visual Object Processing Pathways

    Science.gov (United States)

    Kersey, Alyssa J.; Clark, Tyia S.; Lussier, Courtney A.; Mahon, Bradford Z.; Cantlon, Jessica F.

    2016-01-01

    Tools represent a special class of objects, because they are processed across both the dorsal and ventral visual object processing pathways. Three core regions are known to be involved in tool processing: the left posterior middle temporal gyrus, the medial fusiform gyrus (bilaterally), and the left inferior parietal lobule. A critical and relatively unexplored issue concerns whether, in development, tool preferences emerge at the same time and to a similar degree across all regions of the tool-processing network. To test this issue, we used functional magnetic resonance imaging to measure the neural amplitude, peak location, and the dispersion of tool-related neural responses in the youngest sample of children tested to date in this domain (ages 4–8 years). We show that children recruit overlapping regions of the adult tool-processing network and also exhibit similar patterns of co-activation across the network to adults. The amplitude and co-activation data show that the core components of the tool-processing network are established by age 4. Our findings on the distributions of peak location and dispersion of activation indicate that the tool network undergoes refinement between ages 4 and 8 years. PMID:26108614

  10. Novel biomaterials: plasma-enabled nanostructures and functions

    International Nuclear Information System (INIS)

    Levchenko, Igor; Ostrikov, Kostya; Keidar, Michael; Cvelbar, Uroš; Mariotti, Davide; Mai-Prochnow, Anne; Fang, Jinghua

    2016-01-01

    Material processing techniques utilizing low-temperature plasmas as the main process tool feature many unique capabilities for the fabrication of various nanostructured materials. As compared with the neutral-gas based techniques and methods, the plasma-based approaches offer higher levels of energy and flux controllability, often leading to higher quality of the fabricated nanomaterials and sometimes to the synthesis of the hierarchical materials with interesting properties. Among others, nanoscale biomaterials attract significant attention due to their special properties towards the biological materials (proteins, enzymes), living cells and tissues. This review briefly examines various approaches based on the use of low-temperature plasma environments to fabricate nanoscale biomaterials exhibiting high biological activity, biological inertness for drug delivery system, and other features of the biomaterials make them highly attractive. In particular, we briefly discuss the plasma-assisted fabrication of gold and silicon nanoparticles for bio-applications; carbon nanoparticles for bioimaging and cancer therapy; carbon nanotube-based platforms for enzyme production and bacteria growth control, and other applications of low-temperature plasmas in the production of biologically-active materials. (topical review)

  11. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  12. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  13. Monte Carlo simulations for plasma physics

    International Nuclear Information System (INIS)

    Okamoto, M.; Murakami, S.; Nakajima, N.; Wang, W.X.

    2000-07-01

    Plasma behaviours are very complicated and the analyses are generally difficult. However, when the collisional processes play an important role in the plasma behaviour, the Monte Carlo method is often employed as a useful tool. For examples, in neutral particle injection heating (NBI heating), electron or ion cyclotron heating, and alpha heating, Coulomb collisions slow down high energetic particles and pitch angle scatter them. These processes are often studied by the Monte Carlo technique and good agreements can be obtained with the experimental results. Recently, Monte Carlo Method has been developed to study fast particle transports associated with heating and generating the radial electric field. Further it is applied to investigating the neoclassical transport in the plasma with steep gradients of density and temperatures which is beyong the conventional neoclassical theory. In this report, we briefly summarize the researches done by the present authors utilizing the Monte Carlo method. (author)

  14. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  15. Integration of life cycle assessment software with tools for economic and sustainability analyses and process simulation for sustainable process design

    DEFF Research Database (Denmark)

    Kalakul, Sawitree; Malakul, Pomthong; Siemanond, Kitipat

    2014-01-01

    The sustainable future of the world challenges engineers to develop chemical process designs that are not only technically and economically feasible but also environmental friendly. Life cycle assessment (LCA) is a tool for identifying and quantifying environmental impacts of the chemical product...... with other process design tools such as sustainable design (SustainPro), economic analysis (ECON) and process simulation. The software framework contains four main tools: Tool-I is for life cycle inventory (LCI) knowledge management that enables easy maintenance and future expansion of the LCI database; Tool...... and/or the process that makes it. It can be used in conjunction with process simulation and economic analysis tools to evaluate the design of any existing and/or new chemical-biochemical process and to propose improvement options in order to arrive at the best design among various alternatives...

  16. Charge exchange recombination spectroscopy as a plasma diagnostic tool

    International Nuclear Information System (INIS)

    Fonck, R.J.

    1984-12-01

    Intensity and line profile measurements of the spectra of light hydrogenic ion which are excited by charge exchange reactions with fast neutral atoms are being widely used as diagnostics for fusion plasma research. This technique, which is referred to as charge exchange recombination spectroscopy, allows measurements of the densities of fully stripped impurity ions and particle transport coefficients with only minor uncertainties arising from atomic processes. The excitation of long wavelength transitions in light ions such as He + , C 5+ , and O 7+ allows relatively easy measurements of ion velocity distributions to determine ion temperatures and plasma rotation velocities. Among its advantages for such measurements are the facts that fiber optic coupling between a remote spectrometer and the immediate reactor environment is possible in many cases. The measurement is localized by the intersection region of a neutral beamline and viewing sightline, and intrinsic ions can be used so that injection of potentially perturbing impurities can be avoided. A particularly challenging application of this technique lies in the diagnosis of alpha particles expected to be produced in the present generation of Q approx. = 1 tokamak experiments

  17. Microstructure and corrosion behaviour of pulsed plasma-nitrided AISI H13 tool steel

    International Nuclear Information System (INIS)

    Basso, Rodrigo L.O.; Pastore, Heloise O.; Schmidt, Vanessa; Baumvol, Israel J.R.; Abarca, Silvia A.C.; Souza, Fernando S. de; Spinelli, Almir; Figueroa, Carlos A.; Giacomelli, Cristiano

    2010-01-01

    The effect of pulsed plasma nitriding temperature and time on the pitting corrosion behaviour of AISI H13 tool steel in 0.9% NaCl solutions was investigated by cyclic polarization. The pitting potential (E pit ) was found to be dependent on the composition, microstructure and morphology of the surface layers, whose properties were determined by X-ray diffraction and scanning electron microscopy techniques. The best corrosion protection was observed for samples nitrided at 480 o C and 520 o C. Under such experimental conditions the E pit -values shifted up to 1.25 V in the positive direction.

  18. Feasibility of using acoustic emission to determine in-process tool wear

    Energy Technology Data Exchange (ETDEWEB)

    Lazarus, L.J.

    1996-04-01

    Acoustic emission (AE) was evaluated for its ability to predict and recognize failure of cutting tools during machining processes when the cutting tool rotates and the workpiece is stationary. AE output was evaluated with a simple algorithm. AE was able to detect drill failure when the transducer was mounted on the workpiece holding fixture. Drill failure was recognized as size was reduced to 0.0003 in. diameter. The ability to predict failure was reduced with drill size, drill material elasticity, and tool coating. AE output for the turning process on a lathe was compared to turning tool insert wear. The turning tool must have sufficient wear to produce a detectable change in AE output to predict insert failure.

  19. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  20. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  1. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  2. Analysis of mechanism of carbide tool wear and control by wear process

    Directory of Open Access Journals (Sweden)

    Pham Hoang Trung

    2017-01-01

    Full Text Available The analysis of physic-mechanical and thermal physic properties of hard alloys depending on their chemical composition is conducted. The correlation of cutting properties and regularities of carbide tool wear with cutting conditions and thermal physic properties of tool material are disclosed. Significant influence on the tool wear of not only mechanical, but, in the first place, thermal physic properties of tool and structural materials is established by the researches of Russian scientists, because in the range of industrial used cutting speeds the cause of tool wear are diffusion processes. The directions of intensity decreasing of tool wear by determining rational processing conditions, the choice of tool materials and wear-resistant coating on tool surface are defined.

  3. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  4. Hybrid Tooling: A Review of Process Chains for Tooling Microfabrication within 4M

    DEFF Research Database (Denmark)

    Azcarate, Sabino; Uriarte, Luis; Bigot, Samuel

    2006-01-01

    is introduced. Several examples of ‘hybrid tooling’ within 4M partners are presented. Considered materials are nickel for electroforming, stainless steel for ECF, and tool steel for the other processes. The paper results provide a global comparison between the previously mentioned processes, the current...... limitations of these technologies concerning feature sizes, surface finish, aspect ratios, etc. have been identified. The main conclusion drawn is the imperative requirement to combine individual processes (‘hybrid tooling’) to produce mould inserts required outside research laboratories....

  5. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  6. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  7. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  8. A Generic Life Cycle Assessment Tool for Chemical-biochemical Processes

    DEFF Research Database (Denmark)

    Kalakul, Sawitree; Malakul, Pomthong; Siemanond, Kitipat

    2013-01-01

    As environmental impacts and resource depletion are serious concerns for the modern society, they also provide the motivation and need to design processes that are not only economically and operationally feasible, but also environmentally friendly. In this respect, life cycle assessment (LCA......) is a tool for quantifying potential environmental impacts throughout the life cycle of the product or process. It can be used in conjunction with an economic tool to evaluate the design of any existing and/or new chemical-biochemical process and create improvement options in order to arrive at the best...

  9. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  10. Equiintensities of RF plasma discharges

    International Nuclear Information System (INIS)

    Vaculik, R.; Brablec, A.; Kapicka, V.; St'astny, F.

    1998-01-01

    The presented diagnostic tool can provide information of temperature distribution in the plasma. The main advantage of the method is relatively simple, low cost and quick procedure. On the other hand the individual isoline corresponds to some mean values for the wide bandwidth of interference filter. However, in real plasma sources is usually difficult to find isolated intensive line which is characterized by excitation temperature. Nevertheless, we believe that application is useful and fulfills other diagnostics methods. The visualisation of temperature field can help to understand conditions in plasmas and processes occurring there. However, the fast procedure (in real time) will be useful only. It has to be done during each experiment and carefully coupled with other diagnostic methods. It means that the device must be the low cost one. (author)

  11. Advanced real-time control systems for magnetically confined fusion plasmas

    International Nuclear Information System (INIS)

    Goncalves, B.; Sousa, J.; Fernandes, H.; Rodrigues, A.P.; Carvalho, B.B.; Neto, A.; Varandas, C.A.F.

    2008-01-01

    Real-time control of magnetically confined plasmas is a critical issue for the safety, operation and high performance scientific exploitation of the experimental devices on regimes beyond the current operation frontiers. The number of parameters and the data volumes used for the plasma properties identification scale normally not only with the machine size but also with the technology improvements, leading to a great complexity of the plant system. A strong computational power and fast communication infrastructure are needed to handle in real-time this information, allowing just-in-time decisions to achieve the fusion critical plasma conditions. These advanced control systems require a tiered infrastructure including the hardware layer, the signal-processing middleware, real-time timing and data transport, the real-time operating system tools and drivers, the framework for code development, simulation, deployment and experiment parameterization and the human real-time plasma condition monitoring and management. This approach is being implemented at CFN by offering a vertical solution for the forthcoming challenges, including ITER, the first experimental fusion reactor. A given set of tools and systems are described on this paper, namely: (i) an ATCA based hardware multiple-input-multiple-output (MIMO) platform, PCI and PCIe acquisition and control modules; (ii) FPGA and DSP parallelized signal processing algorithms; (iii) a signal data and event distribution system over a 2.5/10Gb optical network with sub-microsecond latencies; (iv) RTAI and Linux drivers; and (v) the FireSignal, FusionTalk, SDAS FireCalc application tools. (author)

  12. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  13. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  14. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  15. Simulation study of MHD relaxation and reconnection processes in RFP plasma

    International Nuclear Information System (INIS)

    Kusano, Kanya; Kunimoto, Kaito; Suzuki, Yoshio; Tamano, Teruo; Sato, Tetsuya

    1991-01-01

    The authors have studied several nonlinear processes in RFP plasma through the use of 3D MHD simulations. In particular, they have shed light on: (1) dynamo and self-sustainment in reversed-field pinch (RFP), (2) phase locking process in MHD relaxation, and (3) the heating and acceleration in magnetic reconnection process. First, the contributions of the kink (m = 1) mode (linearly unstable) and of the m = 0 mode (driven by nonlinear coupling) to the dynamo are qualitatively evaluated using a high accuracy simulation. It is found that, if the free energy to drive kink instabilities is as small as that in the actual experimental plasma, the m = 0 modes, driven nonlinearly, play a more important role for the flux generation than the kink modes. Secondly, numerical simulations of the self-sustainment process in a RFP are performed. It is confirmed that the self-sustainment process is a coherent oscillating process composed of the MHD relaxation and the resistive diffusion processes. Toroidal phase locking process of kink modes is numerically observed in simulations of self-reversal and self-sustainment processes. It has characteristics similar to the slinky mode observed in the OHTE experiment. A detailed investigation reveals that nonlinear coupling between the most unstable two kink modes governs the entire dynamics in all kink modes and leads to the phase locking process. They find that reconnection can accelerate plasma over a local Alfven speed. This is a result of the fact that the magnetic field in the downstream area plays a similar role to de Laval nozzle. They also investigate the heating mechanisms in reconnection process. It is revealed that the viscous heating rate is as large as the joule heating rate in the reconnection process. This result implies that the viscous heating in the reconnection process is an important candidate for the mechanism to explain the RFP experiments where the ion temperatures is higher than the electron temperature

  16. Decontamination of a rotating cutting tool during operation by means of atmospheric pressure plasmas

    DEFF Research Database (Denmark)

    Leipold, Frank; Kusano, Yukihiro; Hansen, F.

    2010-01-01

    , is used for the experiments. A rotating knife was inoculated with L. innocua. The surface of the rotating knife was partly exposed to an atmospheric pressure dielectric barrier discharge operated in air, where the knife itself served as a ground electrode. The rotation of the knife ensures a treatment...... of the whole cutting tool. A log 5 reduction of L. innocua is obtained after 340 s of plasma operation. The temperature of the knife after treatment was found to be below 30 °C. The design of the setup allows a decontamination during slicing operation....

  17. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  18. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  19. Modeling of thermal plasma arc technology FY 1994 report

    International Nuclear Information System (INIS)

    Hawkes, G.L.; Nguyen, H.D.; Paik, S.; McKellar, M.G.

    1995-03-01

    The thermal plasma arc process is under consideration to thermally treat hazardous and radioactive waste. A computer model for the thermal plasma arc technology was designed as a tool to aid in the development and use of the plasma arc-Joule beating process. The value of this computer model is to: (a) aid in understanding the plasma arc-Joule beating process as applied to buried waste or exhumed buried waste, (b) help design melter geometry and electrode configuration, (c) calculate the process capability of vitrifying waste (i.e., tons/hour), (d) develop efficient plasma and melter operating conditions to optimize the process and/or reduce safety hazards, (e) calculate chemical reactions during treatment of waste to track chemical composition of off-gas products, and composition of final vitrified waste form and (f) help compare the designs of different plasma-arc facilities. A steady-state model of a two-dimensional axisymmetric transferred plasma arc has been developed and validated. A parametric analysis was performed that studied the effects of arc length, plasma gas composition, and input power on the temperatures and velocity profiles of the slag and plasma gas. A two-dimensional transient thermo-fluid model of the US Bureau of Mines plasma arc melter has been developed. This model includes the growth of a slag pool. The thermo-fluid model is used to predict the temperature and pressure fields within a plasma arc furnace. An analysis was performed to determine the effects of a molten metal pool on the temperature, velocity, and voltage fields within the slag. A robust and accurate model for the chemical equilibrium calculations has been selected to determine chemical composition of final waste form and off-gas based on the temperatures and pressures within the plasma-arc furnace. A chemical database has been selected. The database is based on the materials to be processed in the plasma arc furnaces

  20. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  1. Effect of different machining processes on the tool surface integrity and fatigue life

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Chuan Liang [College of Mechanical and Electrical Engineering, Nanchang University, Nanchang (China); Zhang, Xianglin [School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan (China)

    2016-08-15

    Ultra-precision grinding, wire-cut electro discharge machining and lapping are often used to machine the tools in fine blanking industry. And the surface integrity from these machining processes causes great concerns in the research field. To study the effect of processing surface integrity on the fine blanking tool life, the surface integrity of different tool materials under different processing conditions and its influence on fatigue life were thoroughly analyzed in the present study. The result shows that the surface integrity of different materials was quite different on the same processing condition. For the same tool material, the surface integrity on varying processing conditions was quite different too and deeply influenced the fatigue life.

  2. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  3. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  4. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  5. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  6. Hybrid-PIC Computer Simulation of the Plasma and Erosion Processes in Hall Thrusters

    Science.gov (United States)

    Hofer, Richard R.; Katz, Ira; Mikellides, Ioannis G.; Gamero-Castano, Manuel

    2010-01-01

    HPHall software simulates and tracks the time-dependent evolution of the plasma and erosion processes in the discharge chamber and near-field plume of Hall thrusters. HPHall is an axisymmetric solver that employs a hybrid fluid/particle-in-cell (Hybrid-PIC) numerical approach. HPHall, originally developed by MIT in 1998, was upgraded to HPHall-2 by the Polytechnic University of Madrid in 2006. The Jet Propulsion Laboratory has continued the development of HPHall-2 through upgrades to the physical models employed in the code, and the addition of entirely new ones. Primary among these are the inclusion of a three-region electron mobility model that more accurately depicts the cross-field electron transport, and the development of an erosion sub-model that allows for the tracking of the erosion of the discharge chamber wall. The code is being developed to provide NASA science missions with a predictive tool of Hall thruster performance and lifetime that can be used to validate Hall thrusters for missions.

  7. Formability behavior studies on CP-Al sheets processed through the helical tool path of incremental forming process

    Science.gov (United States)

    Markanday, H.; Nagarajan, D.

    2018-02-01

    Incremental sheet forming (ISF) is a novel die-less sheet metal forming process, which can produce components directly from the CAD geometry using a CNC milling machine at less production time and cost. The formability of the sheet material used is greatly affected by the process parameters involved and tool path adopted, and the present study is aimed to investigate the influence of different process parameter values using the helical tool path strategy on the formability of a commercial pure Al and to achieve maximum formability in the material. ISF experiments for producing an 80 mm diameter axisymmetric dome were carried out on 2 mm thickness commercially pure Al sheets for different tool speeds and feed rates in a CNC milling machine with a 10 mm hemispherical forming tool. The obtained parts were analyzed for springback, amount of thinning and maximum forming depth. The results showed that when the tool speed was increased by keeping the feed rate constant, the forming depth and thinning were also increased. On contrary, when the feed rate was increased by keeping the tool speed constant, the forming depth and thinning were decreased. Springback was found to be higher when the feed rate was increased rather than the tool speed was increased.

  8. Designing a clinical audit tool to measure processes of pregnancy care

    Directory of Open Access Journals (Sweden)

    Wallace EM

    2011-12-01

    Full Text Available Suzanne V Sinni1, Wendy M Cross2, Euan M Wallace1,31Department of Obstetrics and Gynaecology, Monash University and Southern Health, Monash Medical Centre, Clayton, Victoria, 2School of Nursing and Midwifery, Monash University, Clayton, Victoria, 3The Ritchie Centre, Monash Institute of Medical Research, Monash University, Clayton, Victoria, AustraliaAbstract: This paper reports the development of a clinical audit tool as part of a larger project to evaluate a new maternity service, underpinned by a patient safety framework.Aim: The aim of this work is to describe the development of a clinical audit tool that measures the process of pregnancy care, and its application.Background: There are many reports about outcomes of healthcare provision, however there are limited studies examining the process of care. There is also limited evidence linking clinical audit with improvements in care delivery. Pregnancy care was chosen because there are well defined and agreed clinical standards against which to measure the delivery of pregnancy care. A clinical audit using these standards addresses both gaps in the literature.Methods: Standard methodological processes were used to develop the audit tool. Literature informed the processes. Data were collected in 2009–2010 using the tool described in the paper. Reliability testing was completed in September 2011.Results: An audit tool to measure pregnancy care was developed and applied to 354 health records to enable analysis of adherence to organizational expectations of care. Reliability testing of the tool achieved an overall kappa of 0.896.Conclusion: Developing an audit tool based on processes described in the literature is labor intensive and resource dependent, however it results in a robust, reliable, valid tool that can be used in diverse maternity services. Stakeholder participation from the outset ensures ongoing engagement for the duration of a clinically based project spanning several years

  9. Codifference as a practical tool to measure interdependence

    Science.gov (United States)

    Wyłomańska, Agnieszka; Chechkin, Aleksei; Gajda, Janusz; Sokolov, Igor M.

    2015-03-01

    Correlation and spectral analysis represent the standard tools to study interdependence in statistical data. However, for the stochastic processes with heavy-tailed distributions such that the variance diverges, these tools are inadequate. The heavy-tailed processes are ubiquitous in nature and finance. We here discuss codifference as a convenient measure to study statistical interdependence, and we aim to give a short introductory review of its properties. By taking different known stochastic processes as generic examples, we present explicit formulas for their codifferences. We show that for the Gaussian processes codifference is equivalent to covariance. For processes with finite variance these two measures behave similarly with time. For the processes with infinite variance the covariance does not exist, however, the codifference is relevant. We demonstrate the practical importance of the codifference by extracting this function from simulated as well as real data taken from turbulent plasma of fusion device and financial market. We conclude that the codifference serves as a convenient practical tool to study interdependence for stochastic processes with both infinite and finite variances as well.

  10. Tools for studying dry-cured ham processing by using computed tomography.

    Science.gov (United States)

    Santos-Garcés, Eva; Muñoz, Israel; Gou, Pere; Sala, Xavier; Fulladosa, Elena

    2012-01-11

    An accurate knowledge and optimization of dry-cured ham elaboration processes could help to reduce operating costs and maximize product quality. The development of nondestructive tools to characterize chemical parameters such as salt and water contents and a(w) during processing is of special interest. In this paper, predictive models for salt content (R(2) = 0.960 and RMSECV = 0.393), water content (R(2) = 0.912 and RMSECV = 1.751), and a(w) (R(2) = 0.906 and RMSECV = 0.008), which comprise the whole elaboration process, were developed. These predictive models were used to develop analytical tools such as distribution diagrams, line profiles, and regions of interest (ROIs) from the acquired computed tomography (CT) scans. These CT analytical tools provided quantitative information on salt, water, and a(w) in terms of content but also distribution throughout the process. The information obtained was applied to two industrial case studies. The main drawback of the predictive models and CT analytical tools is the disturbance that fat produces in water content and a(w) predictions.

  11. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  12. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  13. Use of Process Improvement Tools in Radiology.

    Science.gov (United States)

    Rawson, James V; Kannan, Amogha; Furman, Melissa

    2016-01-01

    Process improvement techniques are common in manufacturing and industry. Over the past few decades these principles have been slowly introduced in select health care settings. This article reviews the Plan, Do, Study, and Act cycle, Six Sigma, the System of Profound Knowledge, Lean, and the theory of constraints. Specific process improvement tools in health care and radiology are presented in the order the radiologist is likely to encounter them in an improvement project. Copyright © 2015 Mosby, Inc. All rights reserved.

  14. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  15. Contribution to the beam plasma material interactions during material processing with TEA CO2 laser radiation

    Science.gov (United States)

    Jaschek, Rainer; Konrad, Peter E.; Mayerhofer, Roland; Bergmann, Hans W.; Bickel, Peter G.; Kowalewicz, Roland; Kuttenberger, Alfred; Christiansen, Jens

    1995-03-01

    The TEA-CO2-laser (transversely excited atmospheric pressure) is a tool for the pulsed processing of materials with peak power densities up to 1010 W/cm2 and a FWHM of 70 ns. The interaction between the laser beam, the surface of the work piece and the surrounding atmosphere as well as gas pressure and the formation of an induced plasma influences the response of the target. It was found that depending on the power density and the atmosphere the response can take two forms. (1) No target modification due to optical break through of the atmosphere and therefore shielding of the target (air pressure above 10 mbar, depending on the material). (2) Processing of materials (air pressure below 10 mbar, depending on the material) with melting of metallic surfaces (power density above 0.5 109 W/cm2), hole formation (power density of 5 109 W/cm2) and shock hardening (power density of 3.5 1010 W/cm2). All those phenomena are usually linked with the occurrence of laser supported combustion waves and laser supported detonation waves, respectively for which the mechanism is still not completely understood. The present paper shows how short time photography and spatial and temporal resolved spectroscopy can be used to better understand the various processes that occur during laser beam interaction. The spectra of titanium and aluminum are observed and correlated with the modification of the target. If the power density is high enough and the gas pressure above a material and gas composition specific threshold, the plasma radiation shows only spectral lines of the background atmosphere. If the gas pressure is below this threshold, a modification of the target surface (melting, evaporation and solid state transformation) with TEA-CO2- laser pulses is possible and the material specific spectra is observed. In some cases spatial and temporal resolved spectroscopy of a plasma allows the calculation of electron temperatures by comparison of two spectral lines.

  16. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  17. Transport processes in multicomponent plasma

    International Nuclear Information System (INIS)

    Zissis, G.

    2002-01-01

    Full text: This book treats in detail, as indicated in the title, the transport phenomena in multicomponent plasmas. Here, the term 'transport' applies to the study of mass and energy transfer in plasmas due to the interactions between pairs of particles only. Radiation is legitimately omitted; anyway, radiative transfer is another field of study. As the author himself mentions in the introduction, 'the term multicomponent plasma implies a partially or fully ionized mixture of arbitrary number of species of neutral and charged particles satisfying the condition of quasi-neutrality'. In fact, this book treats a large variety of plasmas applying to different systems ranging from low-pressure systems which may be far from local thermodynamic equilibrium (LTE) conditions, to thermal plasmas in LTE or near-LTE states with special attention to two-temperature systems; partially ionized plasmas with low ionization degree for which electron-neutral interactions are predominant, to systems with higher ionization degrees in which charged particle interactions are no more negligible. In addition, for all the above stated situations, the author treats both plasmas which are subjected to an external electromagnetic field and those which are not (homogeneous and inhomogeneous cases). Furthermore, in the last chapters a special discussion concerning molecular plasmas is presented. Taking into account the evolution of plasma modelling in the last few years, the subject is of current interest and the reader will find in the book a large amount of information necessary for a good understanding of transport phenomena in plasmas: for a plasma simulation specialist, this book may be regarded as reference text, which includes all necessary mathematical relations for his work. However, it should not be considered a simple formulary; the reader will also find here an excellent description of the theoretical basis necessary for the derivation of all given expressions. To this point of view

  18. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  19. Observations and Simulations of Formation of Broad Plasma Depletions Through Merging Process

    Science.gov (United States)

    Huang, Chao-Song; Retterer, J. M.; Beaujardiere, O. De La; Roddy, P. A.; Hunton, D.E.; Ballenthin, J. O.; Pfaff, Robert F.

    2012-01-01

    Broad plasma depletions in the equatorial ionosphere near dawn are region in which the plasma density is reduced by 1-3 orders of magnitude over thousands of kilometers in longitude. This phenomenon is observed repeatedly by the Communication/Navigation Outage Forecasting System (C/NOFS) satellite during deep solar minimum. The plasma flow inside the depletion region can be strongly upward. The possible causal mechanism for the formation of broad plasma depletions is that the broad depletions result from merging of multiple equatorial plasma bubbles. The purpose of this study is to demonstrate the feasibility of the merging mechanism with new observations and simulations. We present C/NOFS observations for two cases. A series of plasma bubbles is first detected by C/NOFS over a longitudinal range of 3300-3800 km around midnight. Each of the individual bubbles has a typical width of approx 100 km in longitude, and the upward ion drift velocity inside the bubbles is 200-400 m/s. The plasma bubbles rotate with the Earth to the dawn sector and become broad plasma depletions. The observations clearly show the evolution from multiple plasma bubbles to broad depletions. Large upward plasma flow occurs inside the depletion region over 3800 km in longitude and exists for approx 5 h. We also present the numerical simulations of bubble merging with the physics-based low-latitude ionospheric model. It is found that two separate plasma bubbles join together and form a single, wider bubble. The simulations show that the merging process of plasma bubbles can indeed occur in incompressible ionospheric plasma. The simulation results support the merging mechanism for the formation of broad plasma depletions.

  20. Retro-Techno-Economic Analysis: Using (Bio)Process Systems Engineering Tools to Attain Process Target Values

    DEFF Research Database (Denmark)

    Furlan, Felipe F.; Costa, Caliane B B; Secchi, Argimiro R.

    2016-01-01

    Economic analysis, allied to process systems engineering tools, can provide useful insights about process techno-economic feasibility. More interestingly, rather than being used to evaluate specific process conditions, this techno-economic analysis can be turned upside down to achieve target valu...

  1. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  2. Wear-Induced Changes in FSW Tool Pin Profile: Effect of Process Parameters

    Science.gov (United States)

    Sahlot, Pankaj; Jha, Kaushal; Dey, G. K.; Arora, Amit

    2018-06-01

    Friction stir welding (FSW) of high melting point metallic (HMPM) materials has limited application due to tool wear and relatively short tool life. Tool wear changes the profile of the tool pin and adversely affects weld properties. A quantitative understanding of tool wear and tool pin profile is crucial to develop the process for joining of HMPM materials. Here we present a quantitative wear study of H13 steel tool pin profile for FSW of CuCrZr alloy. The tool pin profile is analyzed at multiple traverse distances for welding with various tool rotational and traverse speeds. The results indicate that measured wear depth is small near the pin root and significantly increases towards the tip. Near the pin tip, wear depth increases with increase in tool rotational speed. However, change in wear depth near the pin root is minimal. Wear depth also increases with decrease in tool traverse speeds. Tool pin wear from the bottom results in pin length reduction, which is greater for higher tool rotational speeds, and longer traverse distances. The pin profile changes due to wear and result in root defect for long traverse distance. This quantitative understanding of tool wear would be helpful to estimate tool wear, optimize process parameters, and tool pin shape during FSW of HMPM materials.

  3. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  4. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  5. Mode-conversion process and overdense-plasma heating in the electron cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Nakajima, S.; Abe, H.

    1988-01-01

    Through a particle-simulation investigation, a new mode-conversion process, through which an incident fast extraordinary mode (fast X mode) is converted into an electron Bernstein mode (B mode) via a (slow extraordinary mode slow X mode), is discovered in plasmas whose maximum density exceeds the cutoff density of the slow X mode. The converted B mode is found to heat the electrons efficiently in an overdense plasma region, when the plasma has the optimum density gradient at the plasma surface

  6. Multi-Accuracy-Level Burning Plasma Simulations

    International Nuclear Information System (INIS)

    Artaud, J. F.; Basiuk, V.; Garcia, J.; Giruzzi, G.; Huynh, P.; Huysmans, G.; Imbeaux, F.; Johner, J.; Scheider, M.

    2007-01-01

    The design of a reactor grade tokamak is based on a hierarchy of tools. We present here three codes that are presently used for the simulations of burning plasmas. At the first level there is a 0-dimensional code that allows to choose a reasonable range of global parameters; in our case the HELIOS code was used for this task. For the second level we have developed a mixed 0-D / 1-D code called METIS that allows to study the main properties of a burning plasma, including profiles and all heat and current sources, but always under the constraint of energy and other empirical scaling laws. METIS is a fast code that permits to perform a large number of runs (a run takes about one minute) and design the main features of a scenario, or validate the results of the 0-D code on a full time evolution. At the top level, we used the full 1D1/2 suite of codes CRONOS that gives access to a detailed study of the plasma profiles evolution. CRONOS can use a variety of modules for source terms and transport coefficients computation with different level of complexity and accuracy: from simple estimators to highly sophisticated physics calculations. Thus it is possible to vary the accuracy of burning plasma simulations, as a trade-off with computation time. A wide range of scenario studies can thus be made with CRONOS and then validated with post-processing tools like MHD stability analysis. We will present in this paper results of this multi-level analysis applied to the ITER hybrid scenario. This specific example will illustrate the importance of having several tools for the study of burning plasma scenarios, especially in a domain that present devices cannot access experimentally. (Author)

  7. Acceleration processes in the magnetospheric plasma: a review

    Energy Technology Data Exchange (ETDEWEB)

    Nishida, A [Tokyo Univ. (Japan). Inst. of Space and Aeronautical Science

    1975-01-01

    Our present knowledge on the acceleration process in the magnetospheric plasma is reviewed and major problems are summarized. Acceleration processes can be classified into three categories. First, acceleration can be made by the reconnection process in the magnetotail. The occurrence of reconnection during substorm expansion phases has been confirmed, but details of the energy conversion mechanism need be clarified. Second, acceleration by the electric potential drop along magnetic field lines has been strongly suggested from observations of precipitating particles. The position and structure of the potential layer, however, have not been clarified, and theoretical understanding of the process is still in the early stage of development. Third, particles can be adiabatically heated as they are driven toward the earth in the course of their convective motion. Spatial structure and dynamical development of the auroral precipitation pattern represent both challenge and clue to the understanding of the magnetospheric acceleration process.

  8. Numerical studies of transport processes in Tokamak plasma

    International Nuclear Information System (INIS)

    Spineanu, F.; Vlad, M.

    1984-09-01

    The paper contains the summary of a set of studies of the transport processes in tokamak plasma, performed with a one-dimensional computer code. The various transport models (which are implemented by the expressions of the transport coefficients) are presented in connection with the regimes of the dynamical development of the discharge. Results of studies concerning the skin effect and the large scale MHD instabilities are also included

  9. Improving design processes through structured reflection : a prototype software tool

    OpenAIRE

    Reymen, I.M.M.J.; Melby, E.

    2001-01-01

    A prototype software tool facilitating the use of a design method supporting structured reflection on design processes is presented. The prototype, called Echo, has been developed to explore the benefits of using a software system to facilitate the use of the design method. Both the prototype software tool and the design method are developed as part of the Ph.D. project of Isabelle Reymen. The goal of the design method is supporting designers with reflection on design processes in a systemati...

  10. DEVELOPMENT AND USE OF COMPUTER-AIDED PROCESS ENGINEERING TOOLS FOR POLLUTION PREVENTION

    Science.gov (United States)

    The use of Computer-Aided Process Engineering (CAPE) and process simulation tools has become established industry practice to predict simulation software, new opportunities are available for the creation of a wide range of ancillary tools that can be used from within multiple sim...

  11. Ionization processes in the Fe 27 region of hot iron plasma in the field of hard gamma radiation

    International Nuclear Information System (INIS)

    Illarionov, A.F.

    1989-01-01

    A highly ionized hot plasma of an iron 26 56 Fe-type heavy element in the field of hard ionizing gamma-ray radiation is considered. The processes of ionization and recombination are discussed for a plasma consisting of the fully ionized Fe 27 and the hydrogen-like Fe 26 ions of iron in the case of large optical depth of the plasma with respect to the photoionization by gamma-ray quanta. The self-ionization process of a hot plasma with the temperature kT ≅ I (I being the ionization potential), due to the production of the own ionizing gamma-ray quanta, by the free-free (ff) and recombination (fb) radiation mechanisms, is investigated. It is noted that in the stationary situation the process of self-ionization of a hot plasma imposes the restriction upon the plasma temperature, kT<1.5 I. It is shown that the ionization of heavy-ion plasma by the impact of thermal electrons is dominating over the processes of ff- and fb-selfionization of plasma only by the large concentration of hydrogen-like iron at the periphery of the region of fully ionized iron Fe 27

  12. Physicians' use of plasma methylmalonic acid as a diagnostic tool

    DEFF Research Database (Denmark)

    Hvas, A M; Vestergaard, H; Gerdes, Lars Ulrik

    2000-01-01

    with a plasma methylmalonic acid measurement above the reference interval. Information on diagnostic decisions was available for 177 patients. MAIN OUTCOME MEASURES: Reasons for requesting plasma methylmalonic acid and the reactions to the finding of elevated plasma methylmalonic acid. RESULTS: An explicit......OBJECTIVES: To investigate physicians' reasons for requesting plasma methylmalonic acid and their reactions to an increased concentration of plasma methylmalonic acid. DESIGN: Study of medical records. SETTING: Three somatic district hospitals in Denmark. SUBJECTS: Medical records of 198 patients...... reason for requesting plasma methylmalonic acid was stated in 57% of 198 examined medical records, known or suspected anaemia being the most frequent reason. No further action was taken in 109 (62%) of the 177 cases available for follow-up. Amongst the remaining 68 patients, the finding of an increased...

  13. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  14. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  15. XII All-Russian conference Diagnostics of high-temperature plasma. Abstracts of reports and conference program

    International Nuclear Information System (INIS)

    2007-01-01

    Abstracts of reports made on the XII All-Russian conference on high-temperature plasma diagnostics are presented. The different methods of hot plasma diagnostics are considered, namely microwave diagnostic methods, laser diagnostic techniques, X-ray plasma diagnostics and corpuscular diagnostic methods. The particular attention is given to wall plasma diagnostics and diagnostics of inertial synthesis systems. Current diagnostic tools and metrological provision for plasma diagnostics are considered. The diagnostic complexes of thermonuclear devices are described. The problems of experimental data processing are discussed [ru

  16. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  17. Spark Plasma Co-Sintering of Mechanically Milled Tool Steel and High Speed Steel Powders.

    Science.gov (United States)

    Pellizzari, Massimo; Fedrizzi, Anna; Zadra, Mario

    2016-06-16

    Hot work tool steel (AISI H13) and high speed steel (AISI M3:2) powders were successfully co-sintered to produce hybrid tool steels that have properties and microstructures that can be modulated for specific applications. To promote co-sintering, which is made difficult by the various densification kinetics of the two steels, the particle sizes and structures were refined by mechanical milling (MM). Near full density samples (>99.5%) showing very fine and homogeneous microstructure were obtained using spark plasma sintering (SPS). The density of the blends (20, 40, 60, 80 wt % H13) was in agreement with the linear rule of mixtures. Their hardness showed a positive deviation, which could be ascribed to the strengthening effect of the secondary particles altering the stress distribution during indentation. A toughening of the M3:2-rich blends could be explained in view of the crack deviation and crack arrest exerted by the H13 particles.

  18. Spark Plasma Co-Sintering of Mechanically Milled Tool Steel and High Speed Steel Powders

    Directory of Open Access Journals (Sweden)

    Massimo Pellizzari

    2016-06-01

    Full Text Available Hot work tool steel (AISI H13 and high speed steel (AISI M3:2 powders were successfully co-sintered to produce hybrid tool steels that have properties and microstructures that can be modulated for specific applications. To promote co-sintering, which is made difficult by the various densification kinetics of the two steels, the particle sizes and structures were refined by mechanical milling (MM. Near full density samples (>99.5% showing very fine and homogeneous microstructure were obtained using spark plasma sintering (SPS. The density of the blends (20, 40, 60, 80 wt % H13 was in agreement with the linear rule of mixtures. Their hardness showed a positive deviation, which could be ascribed to the strengthening effect of the secondary particles altering the stress distribution during indentation. A toughening of the M3:2-rich blends could be explained in view of the crack deviation and crack arrest exerted by the H13 particles.

  19. Development of Process for Plasma Spray:Case Study for Molybdenum

    Czech Academy of Sciences Publication Activity Database

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matějíček, Jiří; Gilmore, D. L.; Neiser, R. A.

    2003-01-01

    Roč. 348, 1-2 (2003), s. 54-66 ISSN 0921-5093 Grant - others:NSF(US) DMR9632570 Institutional research plan: CEZ:AV0Z2043910 Keywords : process maps, plasma spray, thermal spray Subject RIV: JG - Metallurgy Impact factor: 1.365, year: 2003

  20. Review on plasmas in extraordinary media: plasmas in cryogenic conditions and plasmas in supercritical fluids

    Science.gov (United States)

    Stauss, Sven; Muneoka, Hitoshi; Terashima, Kazuo

    2018-02-01

    Plasma science and technology has enabled advances in very diverse fields: micro- and nanotechnology, chemical synthesis, materials fabrication and, more recently, biotechnology and medicine. While many of the currently employed plasma tools and technologies are very advanced, the types of plasmas used in micro- and nanofabrication pose certain limits, for example, in treating heat-sensitive materials in plasma biotechnology and plasma medicine. Moreover, many physical properties of plasmas encountered in nature, and especially outer space, i.e. very-low-temperature plasmas or plasmas that occur in high-density media, are not very well understood. The present review gives a short account of laboratory plasmas generated under ’extreme’ conditions: at cryogenic temperatures and in supercritical fluids. The fundamental characteristics of these cryogenic plasmas and cryoplasmas, and plasmas in supercritical fluids, especially supercritical fluid plasmas, are presented with their main applications. The research on such exotic plasmas is expected to lead to further understanding of plasma physics and, at the same time, enable new applications in various technological fields.

  1. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  2. Particularities and tools of counseling process in further professional education

    OpenAIRE

    Shershun, Kristina

    2016-01-01

    Following bachelor's thesis is devoted to methodological aspects of the career counseling. It deals with the terminological discussion of counseling-related concepts and its areas of application in the context of adult education. The thesis is focused on a counseling process, structure, tools and specifics in career counseling. It provides an analysis of wide range of counseling tools from basic counseling techniques to specific digital and complex means. Practical implementation of the tools...

  3. Spheroidization by Plasma Processing and Characterization of Stainless Steel Powder for 3D Printing

    Science.gov (United States)

    Ji, Lina; Wang, Changzhen; Wu, Wenjie; Tan, Chao; Wang, Guoyu; Duan, Xuan-Ming

    2017-10-01

    Stainless steel 316L (SS 316L) powder was spheroidized by plasma processing to improve its suitability for powder 3D printing. The obtained spheroidized (sphero) powder was characterized in terms of its crystalline phases, elemental composition, morphology, particle size and distribution, light absorption, and flow properties. The elemental composition of the sphero powder met the Chinese standard for SS 316L except for its Si content. The volume fraction of ferrite increased after plasma processing. Furthermore, plasma processing was shown to not only reduce the mean size of the particles in the size range of 10 to 100 μm but also generate particles in the size range of 0.1 to 10 μm. The smaller particles filled the voids among larger particles, increasing the powder density. The light absorption was also increased owing to enhanced internal reflection. Although the basic flow energy decreased after plasma processing, the flow function (FF) value was smaller for the sphero powder, indicating a lower flowability of the sphero powder. However, the density of SS 316L pieces printed with commercial and sphero powders was 98.76 pct and 98.16 pct of the SS 316L bulk density, respectively, indicating the suitability of the sphero powder for 3D printing despite an FF below 10.

  4. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  5. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  6. Study of charged fusion products in laser produced plasmas

    International Nuclear Information System (INIS)

    Rosenblum, M.

    1981-07-01

    Charged reaction products play a central role in inertial confinement fusion. The investigation of the various processes these particles undergo in laser produced plasmas, their influence on the dynamics of the fusion and their utilization as a diagnostic tool are the main subjects of this thesis. (author)

  7. A Gaussian decision-support tool for engineering design process

    NARCIS (Netherlands)

    Rajabali Nejad, Mohammadreza; Spitas, Christos

    2013-01-01

    Decision-making in design is of great importance, resulting in success or failure of a system (Liu et al., 2010; Roozenburg and Eekels, 1995; Spitas, 2011a). This paper describes a robust decision-support tool for engineering design process, which can be used throughout the design process in either

  8. Tool for assessment of process importance at the groundwater/surface water interface.

    Science.gov (United States)

    Palakodeti, Ravi C; LeBoeuf, Eugene J; Clarke, James H

    2009-10-01

    The groundwater/surface water interface (GWSWI) represents an important transition zone between groundwater and surface water environments that potentially changes the nature and flux of contaminants exchanged between the two systems. Identifying dominant and rate-limiting contaminant transformation processes is critically important for estimating contaminant fluxes and compositional changes across the GWSWI. A new, user-friendly, spreadsheet- and Visual Basic-based analytical screening tool that assists in evaluating the dominance of controlling kinetic processes across the GWSWI is presented. Based on contaminant properties, first-order processes that may play a significant role in solute transport/transformation are evaluated in terms of a ratio of process importance (P(i)) that relates the process rate to the rate of fluid transfer. Besides possessing several useful compilations of contaminant and process data, the screening tool also includes 1-D analytical models that assist users in evaluating contaminant transport across the GWSWI. The tool currently applies to 29 organics and 10 inorganics of interest within the context of the GWSWI. Application of the new screening tool is demonstrated through an evaluation of natural attenuation at a site with trichloroethylene and 1,1,2,2-tetrachloroethane contaminated groundwater discharging into wetlands.

  9. Primary and Secondary Selection Tools in an Optometry Admission Process.

    Science.gov (United States)

    Spafford, Marlee M.

    2000-01-01

    A five-year evaluation of the admissions decision process at the University of Waterloo (Ontario) School of Optometry found that when primary tools (i.e., university grades, Optometry Admission Test scores) did not differentiate candidates, there was an increased emphasis on secondary tools (i.e., interview, autobiographic sketch, prerequisite…

  10. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  11. Design of a uranium-dioxide powder spheroidization system by plasma processing

    Science.gov (United States)

    Cavender, Daniel

    The plasma spheroidization system (PSS) is the first process in the development of a tungsten-uranium dioxide (W-UO2) ceramic-metallic (cermet) fuel for nuclear thermal rocket (NTR) propulsion. For the purposes of fissile fuel retention, UO2 spheroids ranging in size from 50 - 100 micrometers (μm) in diameter will be encapsulated in a tungsten shell. The PSS produces spherical particles by melting angular stock particles in an argon-hydrogen plasma jet where they become spherical due to surface tension. Surrogate CeO 2 powder was used in place of UO2 for system and process parameter development. Stock and spheroidized powders were micrographed using optical and scanning electron microscopy and evaluated by statistical methods to characterize and compare the spherocity of pre and post process powders. Particle spherocity was determined by irregularity parameter. Processed powders showed a statistically significant improvement in spherocity, with greater that 60% of the examined particles having an irregularity parameter of equal to or lower than 1.2, compared to stock powder.

  12. Observation of hydrodynamic processes of radiation-ablated plasma in a small hole

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hang; Kuang, Longyu; Jiang, Shaoen, E-mail: jiangshn@vip.sina.com; Ding, Yongkun, E-mail: ding-yk@vip.sina.com [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Song, Tianming; Yang, Jiamin, E-mail: yjm70018@sina.cn; Zhu, Tuo; Lin, Zhiwei; Zheng, Jianhua; Zhang, Haiying; Yu, Ruizhen; Liu, Shenye [Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Hu, Guangyue; Zhao, Bin; Zheng, Jian [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China)

    2015-07-15

    In the hohlraum used in laser indirect-drive inertial confinement fusion experiments, hydrodynamic processes of radiation-ablated high-Z plasma have a great effect on laser injection efficiency, radiation uniformity, and diagnosis of hohlraum radiation field from diagnostic windows (DW). To study plasma filling in the DWs, a laser-irradiated Ti disk was used to generate 2–5 keV narrow energy band X-ray as the intense backlighter source, and laser-produced X-ray in a hohlraum with low-Z foam tamper was used to heat a small hole surrounded by gold wall with 150 μm in diameter and 100 μm deep. The hydrodynamic movement of the gold plasma in the small hole was measured by an X-ray framing camera and the results are analyzed. Quantitative measurement of the plasma areal density distribution and evolution in the small hole can be used to assess the effect of plasma filling on the diagnosis from the DWs.

  13. Measuring attitudes towards the dying process: A systematic review of tools.

    Science.gov (United States)

    Groebe, Bernadette; Strupp, Julia; Eisenmann, Yvonne; Schmidt, Holger; Schlomann, Anna; Rietz, Christian; Voltz, Raymond

    2018-04-01

    At the end of life, anxious attitudes concerning the dying process are common in patients in Palliative Care. Measurement tools can identify vulnerabilities, resources and the need for subsequent treatment to relieve suffering and support well-being. To systematically review available tools measuring attitudes towards dying, their operationalization, the method of measurement and the methodological quality including generalizability to different contexts. Systematic review according to the PRISMA Statement. Methodological quality of tools assessed by standardized review criteria. MEDLINE, PsycINFO, PsyndexTests and the Health and Psychosocial Instruments were searched from their inception to April 2017. A total of 94 identified studies reported the development and/or validation of 44 tools. Of these, 37 were questionnaires and 7 alternative measurement methods (e.g. projective measures). In 34 of 37 questionnaires, the emotional evaluation (e.g. anxiety) towards dying is measured. Dying is operationalized in general items ( n = 20), in several specific aspects of dying ( n = 34) and as dying of others ( n = 14). Methodological quality of tools was reported inconsistently. Nine tools reported good internal consistency. Of 37 tools, 4 were validated in a clinical sample (e.g. terminal cancer; Huntington disease), indicating questionable generalizability to clinical contexts for most tools. Many tools exist to measure attitudes towards the dying process using different endpoints. This overview can serve as decision framework on which tool to apply in which contexts. For clinical application, only few tools were available. Further validation of existing tools and potential alternative methods in various populations is needed.

  14. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  15. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  16. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  17. Mass Production Tools and Process Readiness for Uniform Parts—Injection Molding Application

    DEFF Research Database (Denmark)

    Boorla, Srinivasa Murthy; Eifler, Tobias; Howard, Thomas J.

    2017-01-01

    A mass production always aims to produce uniform performing products. Production tools such as pressing dies, casting dies and injection moulds, play a significant role by producing uniform parts for achieving final products. Tool complexity increases when multiple cavities are present. These tools...... pass through several stages of quality maturation, before starting production, where the tool capability for part uniformity can be assessed, corrected and aligned to mass production variables. This research article describes the process of systematic understanding of the impact of variables...... and of finding opportunities to counter them. Application is assessed over a hypothetical plastic injection mould and found feasible. Proposed process could evaluate the tool capability for producing uniform parts, at its digital design verification and its physical validation....

  18. Processes, Performance Drivers and ICT Tools in Human Resources Management

    Directory of Open Access Journals (Sweden)

    Oškrdal Václav

    2011-06-01

    Full Text Available This article presents an insight to processes, performance drivers and ICT tools in human resources (HR management area. On the basis of a modern approach to HR management, a set of business processes that are handled by today’s HR managers is defined. Consequently, the concept of ICT-supported performance drivers and their relevance in the area of HR management as well as the relationship between HR business processes, performance drivers and ICT tools are defined. The theoretical outcomes are further enhanced with results obtained from a survey among Czech companies. This article was written with kind courtesy of finances provided by VŠE IGA grant „IGA – 32/2010“.

  19. Nonthermal plasma--A tool for decontamination and disinfection.

    Science.gov (United States)

    Scholtz, Vladimir; Pazlarova, Jarmila; Souskova, Hana; Khun, Josef; Julak, Jaroslav

    2015-11-01

    By definition, the nonthermal plasma (NTP) is partially ionized gas where the energy is stored mostly in the free electrons and the overall temperature remains low. NTP is widely used for many years in various applications such as low-temperature plasma chemistry, removal of gaseous pollutants, in gas-discharge lamps or surface modification. However, during the last ten years, NTP usage expanded to new biological areas of application like plasma microorganisms' inactivation, ready-to-eat food preparation, biofilm degradation or in healthcare, where it seems to be important for the treatment of cancer cells and in the initiation of apoptosis, prion inactivation, prevention of nosocomial infections or in the therapy of infected wounds. These areas are presented and documented in this paper as a review of representative publications. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  1. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  2. Micro tooling technologies for polymer micro replication: direct, indirect and hybrid process chains

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard

    2009-01-01

    The increasing employment of micro products, of products containing micro parts and of products with micro-structured surfaces calls for mass fabrication technologies based on replication processes. In many cases, a suitable solution is given by the use of polymer micro products, whose production...... and performance of the corresponding micro mould. Traditional methods of micro tooling, such as various machining processes (e.g. micro milling, micro electrical discharge machining) have already reached their limitations with decreasing dimensions of mould inserts and cavities. To this respect, tooling process...... chains based on combination of micro manufacturing processes (defined as hybrid tooling) have been established in order to obtain further features miniaturization and increased accuracy. In this paper, examples and performance of different hybrid tooling approaches as well as challenges, opportunities...

  3. Parameterization of mechanical process operations taking into consideration a coefficient of variation and tool life

    Directory of Open Access Journals (Sweden)

    A. S. Sgibnev

    2014-01-01

    Full Text Available This article describes the results of studies on methods for improving the reliability of mechanical process operations of hard-to-machine materials. In serial production hard-to-machine materials processing should be characterized by high reliability, in particular, low dispersion tool life.The aim is to analyze the reliability of a part of the technological system, i.e. the tool for mechanical processing of hard-to-machine materials.The paper analyzes the effect of various input parameters of the technological system (processed material, tool material, technological environment, operating parameters (processing modes on the reliability of the technological system. A feature of this work is to obtain quantitative characteristics of reliability for processing just the hard-to-machine materials. It is an important problem-solving because of the high cost of both the materials and the tool.For various tool, processed materials, and process operation conditions the experiments have been conducted, and, when machining, the tool life has been recorded, thus allowing to obtain the coefficient of variation for high production run of tool. Comparison of coefficients of variation resulted in offering the tool material, process environment, and operation conditions to improve the reliability of the technological system for the specific brands of corrosion resistant steels and alloys and tungsten.It is shown that the tool material and technological environment have the biggest influence on the tool life period T and its coefficient of variation varT. It is noted that materials with a complicated composition have the higher life volatility as compared with the resistance simple alloys. It is shown that an increasing cutting speed is reduced after a certain value of the coefficient of variation due to entrainment outgrowth formed on the cutting edge of the tool.The results obtained allow machining production engineers at the enterprises of serial

  4. An educational tool for interactive parallel and distributed processing

    DEFF Research Database (Denmark)

    Pagliarini, Luigi; Lund, Henrik Hautop

    2012-01-01

    In this article we try to describe how the modular interactive tiles system (MITS) can be a valuable tool for introducing students to interactive parallel and distributed processing programming. This is done by providing a handson educational tool that allows a change in the representation...... of abstract problems related to designing interactive parallel and distributed systems. Indeed, the MITS seems to bring a series of goals into education, such as parallel programming, distributedness, communication protocols, master dependency, software behavioral models, adaptive interactivity, feedback......, connectivity, topology, island modeling, and user and multi-user interaction which can rarely be found in other tools. Finally, we introduce the system of modular interactive tiles as a tool for easy, fast, and flexible hands-on exploration of these issues, and through examples we show how to implement...

  5. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  6. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    International Nuclear Information System (INIS)

    Chang, Jane

    2012-01-01

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization

  7. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jane

    2012-07-27

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization.

  8. Formation Process of Non-Neutral Plasmas by Multiple Electron Beams on BX-U

    Science.gov (United States)

    Sanpei, Akio; Himura, Haruhiko; Masamune, Sadao

    An imaging diagnostic system, which is composed of a handmade phosphor screen and a high-speed camera, has been applied to identify the dynamics of multiple electron beams on BX-U. The relaxation process of those toward a non-neutral plasma is experimentally identified. Also, the radial density profile of the plasma is measured as a function of time. Assuming that the plasma is a spheroidal shape, the value of electron density ne is in the range between 2.2 × 106 and 4.4 × 108 cm-3 on BX-U.

  9. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  10. REALIZING BUSINESS PROCESS MANAGEMENT BY HELP OF A PROCESS MAPPING DATABASE TOOL

    CERN Document Server

    Vergili, Ceren

    2016-01-01

    In a typical business sector, processes are the building blocks of the achievement. A considerable percentage of the processes are consisting of business processes. This fact is bringing the fact that business sectors are in need of a management discipline. Business Process Management (BPM) is a discipline that combines modelling, automation, execution, control, measurement, and optimization of process by considering enterprise goals, spanning systems, employees, customers, and partners. CERN’s EN – HE – HM section desires to apply the BPM discipline appropriately for improving their necessary technical, administrative and managerial actions to supply appropriate CERN industrial transport, handling and lifting equipment and to maintain it. For this reason, a Process Mapping Database Tool is created to develop a common understanding about how the section members can visualize their processes, agree on quality standards and on how to improve. It provides a management support by establishing Process Charts...

  11. The PRoM framework : a new era in process mining tool support

    NARCIS (Netherlands)

    Dongen, van B.F.; Alves De Medeiros, A.K.; Verbeek, H.M.W.; Weijters, A.J.M.M.; Aalst, van der W.M.P.; Ciardo, G.; Darondeau, P.

    2005-01-01

    Under the umbrella of buzzwords such as Business Activity Monitoring (BAM) and Business Process Intelligence (BPI) both academic (e.g., EMiT, Little Thumb, InWoLvE, Process Miner, and MinSoN) and commercial tools (e.g., ARIS PPM, HP BPI, and ILOG JViews) have been developed. The goal of these tools

  12. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  13. The software improvement process - tools and rules to encourage quality

    International Nuclear Information System (INIS)

    Sigerud, K.; Baggiolini, V.

    2012-01-01

    The Applications section of the CERN accelerator controls group has decided to apply a systematic approach to quality assurance (QA), the 'Software Improvement Process' - SIP. This process focuses on three areas: the development process itself, suitable QA tools, and how to practically encourage developers to do QA. For each stage of the development process we have agreed on the recommended activities and deliverables, and identified tools to automate and support the task. For example we do more code reviews. As peer reviews are resource intensive, we only do them for complex parts of a product. As a complement, we are using static code checking tools, like FindBugs and Checkstyle. We also encourage unit testing and have agreed on a minimum level of test coverage recommended for all products, measured using Clover. Each of these tools is well integrated with our IDE (Eclipse) and give instant feedback to the developer about the quality of their code. The major challenges of SIP have been to 1) agree on common standards and configurations, for example common code formatting and Javadoc documentation guidelines, and 2) how to encourage the developers to do QA. To address the second point, we have successfully implemented 'SIP days', i.e. one day dedicated to QA work to which the whole group of developers participates, and 'Top/Flop' lists, clearly indicating the best and worst products with regards to SIP guidelines and standards, for example test coverage. This paper presents the SIP initiative in more detail, summarizing our experience since two years and our future plans. (authors)

  14. Life Cycle Assessment Studies of Chemical and Biochemical Processes through the new LCSoft Software-tool

    DEFF Research Database (Denmark)

    Supawanich, Perapong; Malakul, Pomthong; Gani, Rafiqul

    2015-01-01

    requirements have to be evaluated together with environmental and economic aspects. The LCSoft software-tool has been developed to perform LCA as a stand-alone tool as well as integrated with other process design tools such as process simulation, economic analysis (ECON), and sustainable process design...

  15. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  16. High-fidelity plasma codes for burn physics

    Energy Technology Data Exchange (ETDEWEB)

    Cooley, James [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Graziani, Frank [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Marinak, Marty [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Murillo, Michael [Michigan State Univ., East Lansing, MI (United States)

    2016-10-19

    Accurate predictions of equation of state (EOS), ionic and electronic transport properties are of critical importance for high-energy-density plasma science. Transport coefficients inform radiation-hydrodynamic codes and impact diagnostic interpretation, which in turn impacts our understanding of the development of instabilities, the overall energy balance of burning plasmas, and the efficacy of self-heating from charged-particle stopping. Important processes include thermal and electrical conduction, electron-ion coupling, inter-diffusion, ion viscosity, and charged particle stopping. However, uncertainties in these coefficients are not well established. Fundamental plasma science codes, also called high-fidelity plasma codes, are a relatively recent computational tool that augments both experimental data and theoretical foundations of transport coefficients. This paper addresses the current status of HFPC codes and their future development, and the potential impact they play in improving the predictive capability of the multi-physics hydrodynamic codes used in HED design.

  17. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  18. Development of procedure using plasma welding process to produce 125I seeds

    International Nuclear Information System (INIS)

    Feher, Anselmo

    2006-01-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing 125 I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed 125 I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal 125 I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  19. Investigation of Physical Processes Limiting Plasma Density in DIII--D

    Science.gov (United States)

    Maingi, R.

    1996-11-01

    Understanding the physical processes which limit operating density is crucial in achieving peak performance in confined plasmas. Studies from many of the world's tokamaks have indicated the existence(M. Greenwald, et al., Nucl. Fusion 28) (1988) 2199 of an operational density limit (Greenwald limit, n^GW_max) which is proportional to the plasma current and independent of heating power. Several theories have reproduced the current dependence, but the lack of a heating power dependence in the data has presented an enigma. This limit impacts the International Thermonuclear Experimental Reactor (ITER) because the nominal operating density for ITER is 1.5 × n^GW_max. In DIII-D, experiments are being conducted to understand the physical processes which limit operating density in H-mode discharges; these processes include X-point MARFE formation, high core recycling and neutral pressure, resistive MHD stability, and core radiative collapse. These processes affect plasma properties, i.e. edge/scrape-off layer conduction and radiation, edge pressure gradient and plasma current density profile, and core radiation, which in turn restrict the accessible density regime. With divertor pumping and D2 pellet fueling, core neutral pressure is reduced and X-point MARFE formation is effectively eliminated. Injection of the largest-sized pellets does cause transient formation of divertor MARFEs which occasionally migrate to the X-point, but these are rapidly extinguished in pumped discharges in the time between pellets. In contrast to Greenwald et al., it is found that the density relaxation time after pellets is largely independent of the density relative to the Greenwald limit. Fourier analysis of Mirnov oscillations indicates the de-stabilization and growth of rotating, tearing-type modes (m/n= 2/1) when the injected pellets cause large density perturbations, and these modes often reduce energy confinement back to L-mode levels. We are examining the mechanisms for de

  20. USE OF COMPUTER-AIDED PROCESS ENGINEERING TOOL IN POLLUTION PREVENTION

    Science.gov (United States)

    Computer-Aided Process Engineering has become established in industry as a design tool. With the establishment of the CAPE-OPEN software specifications for process simulation environments. CAPE-OPEN provides a set of "middleware" standards that enable software developers to acces...

  1. Low temperature synthesis of silicon quantum dots with plasma chemistry control in dual frequency non-thermal plasmas.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Yin, Yongyi; Han, Jeon Geon; Shiratani, Masaharu

    2016-06-21

    The advanced materials process by non-thermal plasmas with a high plasma density allows the synthesis of small-to-big sized Si quantum dots by combining low-temperature deposition with superior crystalline quality in the background of an amorphous hydrogenated silicon nitride matrix. Here, we make quantum dot thin films in a reactive mixture of ammonia/silane/hydrogen utilizing dual-frequency capacitively coupled plasmas with high atomic hydrogen and nitrogen radical densities. Systematic data analysis using different film and plasma characterization tools reveals that the quantum dots with different sizes exhibit size dependent film properties, which are sensitively dependent on plasma characteristics. These films exhibit intense photoluminescence in the visible range with violet to orange colors and with narrow to broad widths (∼0.3-0.9 eV). The observed luminescence behavior can come from the quantum confinement effect, quasi-direct band-to-band recombination, and variation of atomic hydrogen and nitrogen radicals in the film growth network. The high luminescence yields in the visible range of the spectrum and size-tunable low-temperature synthesis with plasma and radical control make these quantum dot films good candidates for light emitting applications.

  2. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  3. A case study: application of statistical process control tool for determining process capability and sigma level.

    Science.gov (United States)

    Chopra, Vikram; Bairagi, Mukesh; Trivedi, P; Nagar, Mona

    2012-01-01

    Statistical process control is the application of statistical methods to the measurement and analysis of variation process. Various regulatory authorities such as Validation Guidance for Industry (2011), International Conference on Harmonisation ICH Q10 (2009), the Health Canada guidelines (2009), Health Science Authority, Singapore: Guidance for Product Quality Review (2008), and International Organization for Standardization ISO-9000:2005 provide regulatory support for the application of statistical process control for better process control and understanding. In this study risk assessments, normal probability distributions, control charts, and capability charts are employed for selection of critical quality attributes, determination of normal probability distribution, statistical stability, and capability of production processes, respectively. The objective of this study is to determine tablet production process quality in the form of sigma process capability. By interpreting data and graph trends, forecasting of critical quality attributes, sigma process capability, and stability of process were studied. The overall study contributes to an assessment of process at the sigma level with respect to out-of-specification attributes produced. Finally, the study will point to an area where the application of quality improvement and quality risk assessment principles for achievement of six sigma-capable processes is possible. Statistical process control is the most advantageous tool for determination of the quality of any production process. This tool is new for the pharmaceutical tablet production process. In the case of pharmaceutical tablet production processes, the quality control parameters act as quality assessment parameters. Application of risk assessment provides selection of critical quality attributes among quality control parameters. Sequential application of normality distributions, control charts, and capability analyses provides a valid statistical

  4. A method for manufacturing a tool part for an injection molding process, a hot embossing process, a nano-imprint process, or an extrusion process

    DEFF Research Database (Denmark)

    2013-01-01

    The present invention relates to a method for manufacturing a tool part for an injection molding process, a hot embossing process, nano-imprint process or an extrusion process. First, there is provided a master structure (10) with a surface area comprising nanometre-sized protrusions (11...

  5. Plasma amyloid levels within the Alzheimer's process and correlations with central biomarkers.

    Science.gov (United States)

    Hanon, Olivier; Vidal, Jean-Sébastien; Lehmann, Sylvain; Bombois, Stéphanie; Allinquant, Bernadette; Tréluyer, Jean-Marc; Gelé, Patrick; Delmaire, Christine; Blanc, Fredéric; Mangin, Jean-François; Buée, Luc; Touchon, Jacques; Hugon, Jacques; Vellas, Bruno; Galbrun, Evelyne; Benetos, Athanase; Berrut, Gilles; Paillaud, Elèna; Wallon, David; Castelnovo, Giovanni; Volpe-Gillot, Lisette; Paccalin, Marc; Robert, Philippe-Henri; Godefroy, Olivier; Dantoine, Thierry; Camus, Vincent; Belmin, Joël; Vandel, Pierre; Novella, Jean-Luc; Duron, Emmanuelle; Rigaud, Anne-Sophie; Schraen-Maschke, Suzanna; Gabelle, Audrey

    2018-02-17

    Diagnostic relevance of plasma amyloid β (Aβ) for Alzheimer's disease (AD) process yields conflicting results. The objective of the study was to assess plasma levels of Aβ 42 and Aβ 40 in amnestic mild cognitive impairment (MCI), nonamnestic MCI, and AD patients and to investigate relationships between peripheral and central biomarkers. One thousand forty participants (417 amnestic MCI, 122 nonamnestic MCI, and 501 AD) from the Biomarker of AmyLoïd pepTide and AlZheimer's diseAse Risk multicenter prospective study with cognition, plasma, cerebrospinal fluid (CSF), and magnetic resonance imaging assessments were included. Plasma Aβ 1-42 and Aβ 1-40 were lower in AD (36.9 [11.7] and 263 [80] pg/mL) than in amnestic MCI (38.2 [11.9] and 269 [68] pg/mL) than in nonamnestic MCI (39.7 [10.5] and 272 [52] pg/mL), respectively (P = .01 for overall difference between groups for Aβ 1-42 and P = .04 for Aβ 1-40 ). Globally, plasma Aβ 1-42 correlated with age, Mini-Mental State Examination, and APOE ε4 allele. Plasma Aβ 1-42 correlated with all CSF biomarkers in MCI but only with CSF Aβ 42 in AD. Plasma Aβ was associated with cognitive status and CSF biomarkers, suggesting the interest of plasma amyloid biomarkers for diagnosis purpose. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  6. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  7. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  8. Demonstration tools for the facility/land use planning process at Rocky Flats

    International Nuclear Information System (INIS)

    Ryan, K.B.

    1994-01-01

    The new mission for the Rocky Flats Site states, open-quotes Manage waste and materials, clean up and convert the Rocky Flats Site to beneficial use in a manner that is safe, environmentally and socially responsible, physical secure, and cost-effective.close quotes. In addition, community recognition and support is encouraged and expected. To accomplish this ambitious mission of converting to another use and incorporating stakeholder input, many tools must be developed. These tools must be clearly understandable and readily available, with the hope and plan that similar outcomes will be much more apparent if the same or similar tools are applied by all decision markers, both internal and external. Since the task is monumental and extremely complex, establishing and understanding these available tools early in the planning process is important. All decision makers must be identified and the availability of the tools should be shared to eliminate redundancy and expedite the planning process. Most documents utilized for decision making are very technical in nature. Since numerous and varied stakeholders will be involved, these documents must be socialized or open-quotes detechnicalized.close quotes This paper discusses developing internal and universally acceptable demonstration tools for explaining how facilities and land will be analyzed for constraints and opportunities during the planning process

  9. Atomic and molecular processes in fusion plasmas

    International Nuclear Information System (INIS)

    Kato, Daiji; Nakamura, Nobuyuki

    2013-01-01

    One of important issues concerning steady state sustainment of magnetically confined plasmas (MCPs) is distribution of impurity ions in the MCPs and radiation powers by the ions. Since tungsten divertors will be used in ITER, the primary element of heavy impurity ions would be tungsten. Tungsten cannot be fully ionized even in core plasmas of ITER. Line radiations by bound electrons of the tungsten ions following electron impact excitations decrease temperatures of the core plasmas. Thus, it is required to keep tungsten concentration in the core plasmas as small as possible. (J.P.N.)

  10. Plasma processes inside dispenser hollow cathodes

    International Nuclear Information System (INIS)

    Mikellides, Ioannis G.; Katz, Ira; Goebel, Dan M.; Polk, James E.; Jameson, Kristina K.

    2006-01-01

    A two-dimensional fluid model of the plasma and neutral gas inside dispenser orificed hollow cathodes has been developed to quantify plasma processes that ultimately determine the life of the porous emitters inserted in these devices. The model self-consistently accounts for electron emission from the insert as well as for electron and ion flux losses from the plasma. Two cathodes, which are distinctively different in size and operating conditions, have been simulated numerically. It is found that the larger cathode, with outer tube diameter of 1.5 cm and orifice diameter of 0.3 cm, establishes an effective emission zone that spans approximately the full length of the emitter when operated at a discharge current of 25 A and a flow rate of 5.5 sccm. The net heating of the emitter is caused by ions that are produced by ionization of the neutral gas inside the tube and are then accelerated by the sheath along the emitter. The smaller cathode, with an outer diameter of 0.635 cm and an orifice diameter of 0.1 cm, does not exhibit the same operational characteristics. At a flow rate of 4.25 sccm and discharge current of 12 A, the smaller cathode requires 4.5 times the current density near the orifice and operates with more than 6 times the neutral particle density compared to the large cathode. As a result, the plasma particle density is almost one order of magnitude higher compared to the large cathode. The plasma density in this small cathode is high enough such that the Debye length is sufficiently small to allow 'sheath funneling' into the pores of the emitter. By accessing areas deeper into the insert material, it is postulated that the overall emission of electrons is significantly enhanced. The maximum emission current density is found to be about 1 A/mm 2 in the small cathode, which is about one order of magnitude higher than attained in the large cathode. The effective emission zone in the small cathode extends to about 15% of the emitter length only, and the

  11. Reuse of effluent from dyeing process of polyamide fibers modified by double barrier discharge (DBD) plasma

    OpenAIRE

    Oliveira, Fernando Ribeiro; Steffens, F.; Souto, A. Pedro; Zille, Andrea

    2016-01-01

    Published online: 27 Feb 2015 Low-temperature plasma technology becomes more and more attractive compared with traditional wet processes in textile preparation and finishing due to its high efficiency and low environmental impact. The objective of this study was to investigate the influence of dielectric barrier discharge plasma treatment on the trichromic dyeing process of polyamide 6.6 (PA66) and the reuse of the generated effluents for new dyeing processes. Chemical and physical charact...

  12. BProVe: Tool support for business process verification

    DEFF Research Database (Denmark)

    Corradini, Flavio; Fornari, Fabrizio; Polini, Andrea

    2017-01-01

    This demo introduces BProVe, a tool supporting automated verification of Business Process models. BProVe analysis is based on a formal operational semantics defined for the BPMN 2.0 modelling language, and is provided as a freely accessible service that uses open standard formats as input data...

  13. Design and fabrication of a glovebox for the Plasma Hearth Process radioactive bench-scale system

    International Nuclear Information System (INIS)

    Wahlquist, D.R.

    1996-01-01

    This paper presents some of the design considerations and fabrication techniques for building a glovebox for the Plasma Hearth Process (PHP) radioactive bench-scale system. The PHP radioactive bench-scale system uses a plasma torch to process a variety of radioactive materials into a final vitrified waste form. The processed waste will contain plutonium and trace amounts of other radioactive materials. The glovebox used in this system is located directly below the plasma chamber and is called the Hearth Handling Enclosure (HHE). The HHE is designed to maintain a confinement boundary between the processed waste and the operator. Operations that take place inside the HHE include raising and lowering the hearth using a hydraulic lift table, transporting the hearth within the HHE using an overhead monorail and hoist system, sampling and disassembly of the processed waste and hearth, weighing the hearth, rebuilding a hearth, and sampling HEPA filters. The PHP radioactive bench-scale system is located at the TREAT facility at Argonne National Laboratory-West in Idaho Falls, Idaho

  14. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  15. 8th Symposium on elementary processes and chemical reactions in low temperature plasma. Pt. 1 and 2

    International Nuclear Information System (INIS)

    Morvova, M.

    1990-11-01

    The document contains invited papers on low temperature plasma physics and its application. Among them, 9 papers deal with the experimental and theoretical investigation and modelling of elementary plasma processes and particle kinematics in electric discharges of various type and purpose. Each of the following 3 papers presents a survey of some advanced plasma technology, as are laser plasma chemistry, plasma production of diamond-like carbon films and of special fine powders. The ionized Van der Waals clusters, shock waves in interplanetary plasma, and plasma acceleration in electromagnetic plasma launchers are the topics of the remaining three papers. (J.U.)

  16. Beam deviation method as a diagnostic tool for the plasma focus

    International Nuclear Information System (INIS)

    Schmidt, H.; Rueckle, B.

    1978-01-01

    The application of an optical method for density measurements in cylindrical plasmas is described. The angular deviation of a probing light beam sent through the plasma is proportional to the maximum of the density in the plasma column. The deviation does not depend on the plasma dimensions, however, it is influenced to a certain degree by the density profile. The method is successfully applied to the investigation of a dense plasma focus with a time resolution of 2 ns and a spatial resolution (in axial direction) of 2 mm. (orig.) [de

  17. Rapid process development of chromatographic process using direct analysis in real time mass spectrometry as a process analytical technology tool.

    Science.gov (United States)

    Yan, Binjun; Chen, Teng; Xu, Zhilin; Qu, Haibin

    2014-06-01

    The concept of quality by design (QbD) is widely applied in the process development of pharmaceuticals. However, the additional cost and time have caused some resistance about QbD implementation. To show a possible solution, this work proposed a rapid process development method, which used direct analysis in real time mass spectrometry (DART-MS) as a process analytical technology (PAT) tool for studying the chromatographic process of Ginkgo biloba L., as an example. The breakthrough curves were fast determined by DART-MS at-line. A high correlation coefficient of 0.9520 was found between the concentrations of ginkgolide A determined by DART-MS and HPLC. Based on the PAT tool, the impacts of process parameters on the adsorption capacity were discovered rapidly, which showed a decreased adsorption capacity with the increase of the flow rate. This work has shown the feasibility and advantages of integrating PAT into QbD implementation for rapid process development. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Characterization of Mullite-Zirconia Composite Processed by Non-Transferred and Transferred Arc Plasma

    International Nuclear Information System (INIS)

    Yugeswaran, S.; Selvarajan, V.; Lusvarghi, L.; Tok, A. I. Y.; Krishna, D. Siva Rama

    2009-01-01

    The arc plasma melting technique is a simple method to synthesize high temperature reaction composites. In this study, mullite-zirconia composite was synthesized by transferred and non-transferred arc plasma melting, and the results were compared. A mixture of alumina and zircon powders with a mole ratio of 3: 2 were ball milled for four hours and melted for two minutes in the transferred and non-transferred mode of plasma arcs. Argon and air were used as plasma forming gases. The phase and microstructural formation of melted samples were investigated by X-ray diffraction (XRD) and scanning electron microscope (SEM). The microstructure of the composites was found to be affected by the mode of melting. In transferred arc melting, zirconia flowers with uniform lines along with mullite whiskers were obtained. In the case of non-transferred arc plasma melting, mullite whiskers along with star shape zirconia were formed. Differential thermal analysis (DTA) of the synthesized mullite-zirconia composites provided a deeper understanding of the mechanisms of mullite formation during the two different processes. (plasma technology)

  19. The Plasma Hearth Process Technology Development Project

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Wolfe, P.

    1993-01-01

    The US DOE Office of Technology Development (OTD) is currently evaluating the Plasma Hearth Process (PHP) for potential treatment of several DOE waste types. The PHP is a high-temperature vitrification process that has potential application for a wide range of mixed waste types in both the low-level and transuranic mixed waste categories. The PHP is being tested under both the OTD Mixed Waste Integrated Program and the Buried Waste Integrated Demonstration. Initial testing has been completed on several different surrogate waste forms that are representative of some of the DOE mixed waste streams. Destruction of organic material exceeds that of conventional incineration technologies. The vitrified residual has leaching characteristics comparable to glass formulations produced in the high-level waste program. The first phase of the PHP demonstration project has been successfully completed, and the project is currently beginning a comprehensive second phase of development and testing

  20. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  1. Natural language processing tools for computer assisted language learning

    Directory of Open Access Journals (Sweden)

    Vandeventer Faltin, Anne

    2003-01-01

    Full Text Available This paper illustrates the usefulness of natural language processing (NLP tools for computer assisted language learning (CALL through the presentation of three NLP tools integrated within a CALL software for French. These tools are (i a sentence structure viewer; (ii an error diagnosis system; and (iii a conjugation tool. The sentence structure viewer helps language learners grasp the structure of a sentence, by providing lexical and grammatical information. This information is derived from a deep syntactic analysis. Two different outputs are presented. The error diagnosis system is composed of a spell checker, a grammar checker, and a coherence checker. The spell checker makes use of alpha-codes, phonological reinterpretation, and some ad hoc rules to provide correction proposals. The grammar checker employs constraint relaxation and phonological reinterpretation as diagnosis techniques. The coherence checker compares the underlying "semantic" structures of a stored answer and of the learners' input to detect semantic discrepancies. The conjugation tool is a resource with enhanced capabilities when put on an electronic format, enabling searches from inflected and ambiguous verb forms.

  2. Tuning of tool dynamics for increased stability of parallel (simultaneous) turning processes

    Science.gov (United States)

    Ozturk, E.; Comak, A.; Budak, E.

    2016-01-01

    Parallel (simultaneous) turning operations make use of more than one cutting tool acting on a common workpiece offering potential for higher productivity. However, dynamic interaction between the tools and workpiece and resulting chatter vibrations may create quality problems on machined surfaces. In order to determine chatter free cutting process parameters, stability models can be employed. In this paper, stability of parallel turning processes is formulated in frequency and time domain for two different parallel turning cases. Predictions of frequency and time domain methods demonstrated reasonable agreement with each other. In addition, the predicted stability limits are also verified experimentally. Simulation and experimental results show multi regional stability diagrams which can be used to select most favorable set of process parameters for higher stable material removal rates. In addition to parameter selection, developed models can be used to determine the best natural frequency ratio of tools resulting in the highest stable depth of cuts. It is concluded that the most stable operations are obtained when natural frequency of the tools are slightly off each other and worst stability occurs when the natural frequency of the tools are exactly the same.

  3. X-ray hot plasma diagnostics

    International Nuclear Information System (INIS)

    Cojocaru, E.

    1984-11-01

    X-ray plasma emission study is powerful diagnostic tool of hot plasmas. In this review article the main techniques of X-ray plasma emission measurement are shortly presented: X-ray spectrometry using absorbent filters, crystal and grating spectrometers, imaging techniques using pinhole cameras, X-ray microscopes and Fresnel zone plate cameras, X-ray plasma emission calorimetry. Advances in these techniques with examples for different hot plasma devices are also presentes. (author)

  4. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  5. Betatron radiation from a laser-plasma accelerator

    International Nuclear Information System (INIS)

    Schnell, Michael

    2014-01-01

    The presented thesis investigates the processes which lead to the generation of highenergetic X-ray radiation, also known as ''betatron radiation'', by means of a relativistic laser-plasma interaction. The generated betatron radiation has been extensively characterized by measuring its radiated intensity, energy distribution, far-field beam profile, and source size. It was shown for the first time that betatron radiation can be used as a non-invasive diagnostic tool to retrieve very subtle information on the electron acceleration dynamics within the plasma wave. Furthermore, a compact polarimeter setup has been developed in a unique experiment in which the polarization state of the laser-plasma generated betatron radiation was measured in single-shot mode. This lead to a detailed study of the orientation of the electron trajectory within the plasma interaction. By controlling the injection of the electrons into the plasma wave it was demonstrated that one can tune the polarization state of the emitted X-rays. This result is very promising for further applications, particularly for feeding the electrons into an additional conventional accelerator or a permanent magnet based undulator for the production of intense X-ray beams. During this work, the experimental setup for accelerating electrons and generating high-energy X-ray beams was consistently improved: to enhance both its reliability and stability. Subsequently, the betatron radiation was used as a reliable diagnostic tool of the electron dynamics within the plasma. Parallel to the experimental work, 3-Dimensional Particle-In-Cell (3D-PlC) simulations were performed together with colleagues from the University of Duesseldorf. The simulations included the electron acceleration and the X-ray generation processes together with the recoil force acting on an accelerating electron caused by the emitted radiation during which one can also ascertain its polarization state. The simulations proved to be in good agreement

  6. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  7. Development of a real-time simulation tool towards self-consistent scenario of plasma start-up and sustainment on helical fusion reactor FFHR-d1

    Science.gov (United States)

    Goto, T.; Miyazawa, J.; Sakamoto, R.; Suzuki, Y.; Suzuki, C.; Seki, R.; Satake, S.; Huang, B.; Nunami, M.; Yokoyama, M.; Sagara, A.; the FFHR Design Group

    2017-06-01

    This study closely investigates the plasma operation scenario for the LHD-type helical reactor FFHR-d1 in view of MHD equilibrium/stability, neoclassical transport, alpha energy loss and impurity effect. In 1D calculation code that reproduces the typical pellet discharges in LHD experiments, we identify a self-consistent solution of the plasma operation scenario which achieves steady-state sustainment of the burning plasma with a fusion gain of Q ~ 10 was found within the operation regime that has been already confirmed in LHD experiment. The developed calculation tool enables systematic analysis of the operation regime in real time.

  8. Experience with case tools in the design of process-oriented software

    Science.gov (United States)

    Novakov, Ognian; Sicard, Claude-Henri

    1994-12-01

    In Accelerator systems such as the CERN PS complex, process equipment has a life time which may exceed the typical life cycle of its related software. Taking into account the variety of such equipment, it is important to keep the analysis and design of the software in a system-independent form. This paper discusses the experience gathered in using commercial CASE tools for analysis, design and reverse engineering of different process-oriented software modules, with a principal emphasis on maintaining the initial analysis in a standardized form. Such tools have been in existence for several years, but this paper shows that they are not fully adapted to our needs. In particular, the paper stresses the problems of integrating such a tool into an existing data-base-dependent development chain, the lack of real-time simulation tools and of Object-Oriented concepts in existing commercial packages. Finally, the paper gives a broader view of software engineering needs in our particular context.

  9. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  10. Demonstration of Plasma Arc Environmental Technology Applications for the Demilitrization of DOD Stockpiles

    Science.gov (United States)

    Smith, Ed; Dee, P. E.; Zaghloul, Hany; Filius, Krag; Rivers, Tim

    2000-01-01

    Since 1989 the US Army Construction Engineering Research Laboratories (USACERL) have been active participants in the research and development towards establishing Plasma Arc Technology (PAT) as an efficient, economical, and safe hazardous waste immobilization tool. A plasma torch capable of generating high temperatures makes this technology a viable and powerful tool for the thermal destruction of various military industrial waste streams into an innocuous ceramic material no longer requiring hazardous waste landfill disposal. The emerging plasma environmental thermal treatment process has been used to safely and efficiently meet the waste disposal needs for various demilitarized components disposal needs, such as: (1) pyrotechnic smoke assemblies, (2) thermal batteries, (3) proximity fuses, (4) cartridge actuated devices (CADs), and (5) propellant actuated devices (PADs). MSE Technology Applications, Inc., (MSE) has proposed and fabricated a Mobile Plasma Treatment System to be a technology demonstrator for pilotscale mobile plasma waste processing. The system is capable of providing small-scale waste remediation services, and conducting waste stream applicability demonstrations. The Mobile Plasma Treatment System's innovative concept provides the flexibility to treat waste streams at numerous sites and sites with only a limited quantity of waste, yet too hazardous to transport to a regional fixed facility. The system was designed to be operated as skid mounted modules; consisting of a furnace module, controls module, offgas module, and ancillary systems module. All system components have been integrated to be operated from a single control station with both semi-continuous feeding and batch slag-pouring capability.

  11. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  12. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  13. Global parameter optimization of a Mather-type plasma focus in the framework of the Gratton–Vargas two-dimensional snowplow model

    International Nuclear Information System (INIS)

    Auluck, S K H

    2014-01-01

    Dense plasma focus (DPF) is known to produce highly energetic ions, electrons and plasma environment which can be used for breeding short-lived isotopes, plasma nanotechnology and other material processing applications. Commercial utilization of DPF in such areas would need a design tool that can be deployed in an automatic search for the best possible device configuration for a given application. The recently revisited (Auluck 2013 Phys. Plasmas 20 112501) Gratton–Vargas (GV) two-dimensional analytical snowplow model of plasma focus provides a numerical formula for dynamic inductance of a Mather-type plasma focus fitted to thousands of automated computations, which enables the construction of such a design tool. This inductance formula is utilized in the present work to explore global optimization, based on first-principles optimality criteria, in a four-dimensional parameter-subspace of the zero-resistance GV model. The optimization process is shown to reproduce the empirically observed constancy of the drive parameter over eight decades in capacitor bank energy. The optimized geometry of plasma focus normalized to the anode radius is shown to be independent of voltage, while the optimized anode radius is shown to be related to capacitor bank inductance. (paper)

  14. Intracellular effects of atmospheric-pressure plasmas on melanoma cancer cells

    Energy Technology Data Exchange (ETDEWEB)

    Ishaq, M., E-mail: ishaqmusarat@gmail.com [Peter MacCallum Cancer Centre, East Melbourne, VIC 3002 (Australia); Comonwealth Scientific and Industrial Research Organization, Sydney, New South Wales (Australia); Bazaka, K. [Institute for Health and Biomedical Innovation, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia); Ostrikov, K. [Comonwealth Scientific and Industrial Research Organization, Sydney, New South Wales (Australia); Institute for Health and Biomedical Innovation, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia)

    2015-12-15

    Gas discharge plasmas formed at atmospheric pressure and near room temperature have recently been shown as a promising tool for cancer treatment. The mechanism of the plasma action is attributed to generation of reactive oxygen and nitrogen species, electric fields, charges, and photons. The relative importance of different modes of action of atmospheric-pressure plasmas depends on the process parameters and specific treatment objects. Hence, an in-depth understanding of biological mechanisms that underpin plasma-induced death in cancer cells is required to optimise plasma processing conditions. Here, the intracellular factors involved in the observed anti-cancer activity in melanoma Mel007 cells are studied, focusing on the effect of the plasma treatment dose on the expression of tumour suppressor protein TP73. Over-expression of TP73 causes cell growth arrest and/or apoptosis, and hence can potentially be targeted to enhance killing efficacy and selectivity of the plasma treatment. It is shown that the plasma treatment induces dose-dependent up-regulation of TP73 gene expression, resulting in significantly elevated levels of TP73 RNA and protein in plasma-treated melanoma cells. Silencing of TP73 expression by means of RNA interference inhibited the anticancer effects of the plasma, similar to the effect of caspase inhibitor z-VAD or ROS scavenger N-acetyl cysteine. These results confirm the role of TP73 protein in dose-dependent regulation of anticancer activity of atmospheric-pressure plasmas.

  15. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  16. Ejection Performance of Coated Core Pins Intended for Application on High Pressure Die Casting Tools for Aluminium Alloys Processing

    Directory of Open Access Journals (Sweden)

    P. Terek

    2017-09-01

    Full Text Available In high pressure die casting (HPDC process of aluminium alloys cast alloy soldering severely damages tool surfaces. It hampers casting ejection, reduces the casting quality and decreases the overall production efficiency. Thin ceramic PVD (physical vapor deposition coatings applied on tool surfaces successfully reduce these effects. However, their performance is still not recognised for surfaces with various topographies. In this investigation, soldering tendency of Al-Si-Cu alloy toward EN X27CrMoV51 steel, plasma nitrided steel, CrN and TiAlN duplex PVD coatings is evaluated using ejection test. The coatings were prepared to a range of surface roughness and topographies. After the tests sample surfaces were analysed by different microscopy techniques and profilometry. It was found that the ejection performance is independent of the chemical composition of investigated materials. After the ejection, the cast alloy soldering layer was found on surfaces of all tested materials. This built-up layer formed by effects of mechanical soldering, without corrosion reactions. Coated samples displayed a pronounced dependence of ejection force on surface roughness and topography. By decreasing roughness, ejection force increased, which is a consequence of intensified adhesion effects. Presented findings are a novel information important for efficient application of PVD coatings intendent for protection of HPDC tools.

  17. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  18. Dusty plasmas

    International Nuclear Information System (INIS)

    Jones, M.E.; Winske, D.; Keinigs, R.; Lemons, D.

    1996-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The objective of this project has been to develop a fundamental understanding of dusty plasmas at the Laboratory. While dusty plasmas are found in space in galactic clouds, planetary rings, and cometary tails, and as contaminants in plasma enhanced fabrication of microelectronics, many of their properties are only partially understood. Our work has involved both theoretical analysis and self-consistent plasma simulations to understand basic properties of dusty plasmas related to equilibrium, stability, and transport. Such an understanding can improve the control and elimination of plasma dust in industrial applications and may be important in the study of planetary rings and comet dust tails. We have applied our techniques to the study of charging, dynamics, and coagulation of contaminants in plasma processing reactors for industrial etching and deposition processes and to instabilities in planetary rings and other space plasma environments. The work performed in this project has application to plasma kinetics, transport, and other classical elementary processes in plasmas as well as to plasma waves, oscillations, and instabilities

  19. Aspects of plasma arc cutting process in the AISI 321 type stainless steel

    International Nuclear Information System (INIS)

    Souza Barros, I. de.

    1985-01-01

    Some aspects of plasma arc cutting process in the AISI321 stainless steel, used in nuclear industry, are analysed. The maximum values of the velocity of cutting and, the minimum quantity of energy per unit of length necesary for the plasma were determined. The localization of irregularities in the cut surface in function of the velocity of cutting was investigated. The cut surfaces were evaluated by surface roughness, using as measurement parameter, the distance between the sharpest salience and the deepest reentrance of the sample profile. The width of layer from thermal action of the plasma was influenced by the velocity of cutting. (Author) [pt

  20. Manufacture of functional surfaces through combined application of tool manufacturing processes and Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Eriksen, Rasmus Solmer; Arentoft, Mogens; Grønbæk, J.

    2012-01-01

    The tool surface topography is often a key parameter in the tribological performance of modern metal forming tools. A new generation of multifunctional surfaces is achieved by combination of conventional tool manufacturing processes with a novel Robot Assisted Polishing process. This novel surface...

  1. An ontological knowledge based system for selection of process monitoring and analysis tools

    DEFF Research Database (Denmark)

    Singh, Ravendra; Gernaey, Krist; Gani, Rafiqul

    2010-01-01

    monitoring and analysis tools for a wide range of operations has made their selection a difficult, time consuming and challenging task. Therefore, an efficient and systematic knowledge base coupled with an inference system is necessary to support the optimal selection of process monitoring and analysis tools......, satisfying the process and user constraints. A knowledge base consisting of the process knowledge as well as knowledge on measurement methods and tools has been developed. An ontology has been designed for knowledge representation and management. The developed knowledge base has a dual feature. On the one...... procedures has been developed to retrieve the data/information stored in the knowledge base....

  2. Development of process maps for plasma spray: case study for molybdenum

    International Nuclear Information System (INIS)

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matejicek, J.; Gilmore, D.L.; Neiser, R.A.

    2003-01-01

    A schematic representation referred to as 'process maps' examines the role of process variables on the properties of plasma-sprayed coatings. Process maps have been developed for air plasma spraying of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, primary gas flow, auxiliary gas flow, and powder carrier gas flow. In-flight particle temperatures and velocities were measured and diameters estimated in various areas of the spray plume. Empirical models were developed relating the input parameters to the in-flight particle characteristics. Molybdenum splats and coatings were produced at three distinct process conditions identified from the first-order process map experiments. In addition, substrate surface temperature during deposition was treated as a variable. Within the tested range, modulus, hardness and thermal conductivity increases with particle velocity, while oxygen content and porosity decreases. Increasing substrate deposition temperature resulted in dramatic improvement in coating thermal conductivity and modulus, while simultaneously increasing coating oxide content. Indentation reveals improved fracture resistance for the coatings prepared at higher substrate temperature. Residual stress was significantly affected by substrate temperature, although not to a great extent by particle conditions within the investigated parameter range. Coatings prepared at high substrate temperature with high-energy particles suffered considerably less damage in a wear test. The mechanisms behind these changes are discussed within the context relational maps, which have been proposed

  3. Gas chromatography/plasma spectrometry - an important analytical tool for elemental speciation studies

    International Nuclear Information System (INIS)

    Wuilloud, Jorgelina C.A.; Wuilloud, Rodolfo G.; Vonderheide, Anne P.; Caruso, Joseph A.

    2004-01-01

    In this review, a full discussion and update of the state-of-the-art of gas chromatography (GC) coupled to all known plasma spectrometers is presented. A brief introductive discussion of the advantages and disadvantages of GC-plasma interfaces, as well as types of plasmas and mass spectrometers, is given. The plasma-based techniques covered include inductively coupled plasma mass spectrometry (ICP-MS) microwave-induced plasma optical emission spectrometry (MIP-OES), and inductively coupled plasma optical emission spectrometry (ICP-OES). Also, different variants of plasma sources, such as low power plasmas and glow discharge (GD) sources, are described and compared with respect to their capabilities in elemental speciation. Recent advances and alternative mass analyzers (collision/reaction cell; time-of-flight; double-focusing sector field) are also mentioned. Different aspects of the GC-plasma coupling are discussed with particular attention to the applications of these hyphenated techniques to the analysis of elemental species. Additionally, classical and modern sample preparation methods, including extraction and/or preconcentration and derivatization reactions, are presented and evaluated

  4. Numerical simulation of Vlasov equation with parallel tools

    International Nuclear Information System (INIS)

    Peyroux, J.

    2005-11-01

    This project aims to make even more powerful the resolution of Vlasov codes through the various parallelization tools (MPI, OpenMP...). A simplified test case served as a base for constructing the parallel codes for obtaining a data-processing skeleton which, thereafter, could be re-used for increasingly complex models (more than four variables of phase space). This will thus make it possible to treat more realistic situations linked, for example, to the injection of ultra short and ultra intense impulses in inertial fusion plasmas, or the study of the instability of trapped ions now taken as being responsible for the generation of turbulence in tokamak plasmas. (author)

  5. Chemometrics-based process analytical technology (PAT) tools: applications and adaptation in pharmaceutical and biopharmaceutical industries.

    Science.gov (United States)

    Challa, Shruthi; Potumarthi, Ravichandra

    2013-01-01

    Process analytical technology (PAT) is used to monitor and control critical process parameters in raw materials and in-process products to maintain the critical quality attributes and build quality into the product. Process analytical technology can be successfully implemented in pharmaceutical and biopharmaceutical industries not only to impart quality into the products but also to prevent out-of-specifications and improve the productivity. PAT implementation eliminates the drawbacks of traditional methods which involves excessive sampling and facilitates rapid testing through direct sampling without any destruction of sample. However, to successfully adapt PAT tools into pharmaceutical and biopharmaceutical environment, thorough understanding of the process is needed along with mathematical and statistical tools to analyze large multidimensional spectral data generated by PAT tools. Chemometrics is a chemical discipline which incorporates both statistical and mathematical methods to obtain and analyze relevant information from PAT spectral tools. Applications of commonly used PAT tools in combination with appropriate chemometric method along with their advantages and working principle are discussed. Finally, systematic application of PAT tools in biopharmaceutical environment to control critical process parameters for achieving product quality is diagrammatically represented.

  6. Lightweight solar array blanket tooling, laser welding and cover process technology

    Science.gov (United States)

    Dillard, P. A.

    1983-01-01

    A two phase technology investigation was performed to demonstrate effective methods for integrating 50 micrometer thin solar cells into ultralightweight module designs. During the first phase, innovative tooling was developed which allows lightweight blankets to be fabricated in a manufacturing environment with acceptable yields. During the second phase, the tooling was improved and the feasibility of laser processing of lightweight arrays was confirmed. The development of the cell/interconnect registration tool and interconnect bonding by laser welding is described.

  7. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  8. Polarization plasma spectroscopy (PPS) viewed from plasma physics and fusion research

    International Nuclear Information System (INIS)

    Ida, Katsumi

    1998-01-01

    Recently the measurements of poloidal magnetic field become important in plasma physics and nuclear fusion research, since an improved confinement mode associating with a negative magnetic shear has been found. The polarization plasma spectroscopy is recognized to be a useful tool to measure poloidal magnetic field and pitch angle of magnetic field. (author)

  9. Validation of designing tools as part of nuclear pump development process

    International Nuclear Information System (INIS)

    Klemm, T.; Sehr, F.; Spenner, P.; Fritz, J.

    2010-01-01

    Nuclear pumps are characterized by high safety standards, operational reliability as well as long life cycles. For the design process it is of common use to have a down scaled model pump to qualify operating data and simulate exceptional operating conditions. In case of modifications of the pump design compared to existing reactor coolant pumps a model pump is required to develop methods and tools to design the full scale pump. In the presented case it has a geometry scale of 1:2 regarding the full scale pump size. The experimental data of the model pump is basis for validation of methods and tools which are applied in the designing process of the full scale pump. In this paper the selection of qualified tools and the validation process is demonstrated exemplarily on a cooling circuit. The aim is to predict the resulting flow rate. Tools are chosen for different components depending on the benefit to effort ratio. For elementary flow phenomena such as fluid flow in straight pipes or gaps analytic or empirical laws can be used. For more complex flow situations numerical methods are utilized. Main focus is set on the validation process of the applied numerical flow simulation. In this case not only integral data should be compared, it is also necessary to validate local flow structure of numerical flow simulation to avoid systematic errors in CFD Model generation. Due to complex design internal flow measurements are not possible. On that reason simple comparisons of similar flow test cases are used. Results of this study show, that the flow simulation data closely match measured integral pump and test case data. With this validation it is now possible to qualify CFD simulations as a design tool for the full scale pump in similar cooling circuit. (authors)

  10. Wind Energy Deployment Process and Siting Tools (Presentation)

    Energy Technology Data Exchange (ETDEWEB)

    Tegen, S.

    2015-02-01

    Regardless of cost and performance, some wind projects cannot proceed to completion as a result of competing multiple uses or siting considerations. Wind energy siting issues must be better understood and quantified. DOE tasked NREL researchers with depicting the wind energy deployment process and researching development considerations. This presentation provides an overview of these findings and wind siting tools.

  11. PARTICIPANT SUPPORT FOR THE 2010 GORDON RESEARCH CONFERENCE ON PLASMA PROCESSING SCIENCE (JULY 11-16,2010)

    Energy Technology Data Exchange (ETDEWEB)

    Uwe Kortshagen

    2011-06-14

    The 2010 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in low temperature plasma science and will explore the applications of low temperature plasma technology relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy and the production of fuels from renewable feedstocks, plasma-enabled medicine and sterilization, and environmental remediation and waste treatment. The conference will bring together in an informal atmosphere leaders in the field with junior investigators and graduate students. The special format of the Gordon Conferences, with programmed discussion sessions and ample time for informal gatherings in the afternoons and evenings, will provide for a fertile atmosphere of brainstorming and creative thinking among the attendees.

  12. Process Diagnostics and Monitoring Using the Multipole Resonance Probe (MRP)

    Science.gov (United States)

    Harhausen, J.; Awakowicz, P.; Brinkmann, R. P.; Foest, R.; Lapke, M.; Musch, T.; Mussenbrock, T.; Oberrath, J.; Ohl, A.; Rolfes, I.; Schulz, Ch.; Storch, R.; Styrnoll, T.

    2011-10-01

    In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. Funded by the German Ministry for Education and Research (BMBF, Fkz. 13N10462).

  13. On-line Cutting Tool Condition Monitoring in Machining Processes Using Artificial Intelligence

    OpenAIRE

    Vallejo, Antonio J.; Morales-Menéndez, Rub&#;n; Alique, J.R.

    2008-01-01

    This chapter presented new ideas for monitoring and diagnosis of the cutting tool condition with two different algorithms for pattern recognition: HMM, and ANN. The monitoring and diagnosis system was implemented for peripheral milling process in HSM, where several Aluminium alloys and cutting tools were used. The flank wear (VB) was selected as the criterion to evaluate the tool's life and four cutting tool conditions were defined to be recognized: New, half new, half worn, and worn conditio...

  14. Physical features of the wire-array Z-pinch plasmas imploding process

    International Nuclear Information System (INIS)

    Gao Chunming; Feng Kaiming

    2001-01-01

    In the process of research on controlled fusion reactors, scientists found that the Z-pinch plasma can produce very strong X-rays, comparing with other X-ray sources. In researching the process of imploding, the snowplow model and Haines model are introduced and proved. About amassing X-rays, several ways of discharging X-rays are carefully analyzed and the relative theories are proved. In doing simulations, the one dimension model is used in writing codes, the match relationships are calculated and the process of imploding is also simulated. Some useful and reasonable results are obtained

  15. Systematic Development of Miniaturized (Bio)Processes using Process Systems Engineering (PSE) Methods and Tools

    DEFF Research Database (Denmark)

    Krühne, Ulrich; Larsson, Hilde; Heintz, Søren

    2014-01-01

    The focus of this work is on process systems engineering (PSE) methods and tools, and especially on how such PSE methods and tools can be used to accelerate and support systematic bioprocess development at a miniature scale. After a short presentation of the PSE methods and the bioprocess...... development drivers, three case studies are presented. In the first example it is demonstrated how experimental investigations of the bi-enzymatic production of lactobionic acid can be modeled with help of a new mechanistic mathematical model. The reaction was performed at lab scale and the prediction quality...

  16. Characteristics of Ni-based coating layer formed by laser and plasma cladding processes

    International Nuclear Information System (INIS)

    Xu Guojian; Kutsuna, Muneharu; Liu Zhongjie; Zhang Hong

    2006-01-01

    The clad layers of Ni-based alloy were deposited on the SUS316L stainless plates by CO 2 laser and plasma cladding processes. The smooth clad bead was obtained by CO 2 laser cladding process. The phases of clad layer were investigated by an optical microscope, scanning electron microscopy (SEM), X-ray diffractometer (XRD), electron probe microanalysis (EPMA) and energy-dispersive spectrometer (EDS). The microstructures of clad layers belonged to a hypereutectic structure. Primary phases consist of boride CrB and carbide Cr 7 C 3 . The eutectic structure consists of Ni + CrB or Ni + Cr 7 C 3 . Compared with the plasma cladding, the fine microstructures, low dilutions, high Vickers hardness and excellent wear resistance were obtained by CO 2 laser cladding. All that show the laser cladding process has a higher efficiency and good cladding quality

  17. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species.

    Science.gov (United States)

    Arjunan, Krishna Priya; Friedman, Gary; Fridman, Alexander; Clyne, Alisa Morss

    2012-01-07

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), has recently emerged as an efficient tool in medical applications including blood coagulation, sterilization and malignant cell apoptosis. Liquids and porcine aortic endothelial cells were treated with a non-thermal dielectric barrier discharge plasma in vitro. Plasma treatment of phosphate-buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration observed in serum-free medium compared with PBS. Species concentration inside cells peaked 1 h after treatment, followed by a decrease 3 h post treatment. Endothelial cells treated with a plasma dose of 4.2 J cm(-2) had 1.7 times more cells than untreated samples 5 days after plasma treatment. The 4.2 J cm(-2) plasma dose increased two-dimensional migration distance by 40 per cent compared with untreated control, while the number of cells that migrated through a three-dimensional collagen gel increased by 15 per cent. Tube formation was also enhanced by plasma treatment, with tube lengths in plasma-treated samples measuring 2.6 times longer than control samples. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers abrogated these angiogenic effects. These data indicate that plasma enhanced proliferation, migration and tube formation is due to FGF-2 release induced by plasma-produced ROS. Non-thermal plasma may be used as a potential tool for applying ROS in precise doses to enhance vascularization.

  18. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  19. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  20. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  1. The unified description of kinetic and hydrodynamic processes in gases and plasmas

    International Nuclear Information System (INIS)

    Klimontovich, Yu.L.

    1992-01-01

    The unified description of kinetic and hydrodynamic processes in gases and plasmas for all values of the Knudsen number is proposed. The generalized kinetic equation consists of the additional dissipative term and is defined by the diffusion of the distribution function in the coordinate space. This equation is used for the description of nonequilibrium processes in passive and active media. (orig.)

  2. Toward the automated analysis of plasma physics problems

    International Nuclear Information System (INIS)

    Mynick, H.E.

    1989-04-01

    A program (CALC) is described, which carries out nontrivial plasma physics calculations, in a manner intended to emulate the approach of a human theorist. This includes the initial process of gathering the relevant equations from a plasma knowledge base, and then determining how to solve them. Solution of the sets of equations governing physics problems, which in general have a nonuniform,irregular structure, not amenable to solution by standardized algorithmic procedures, is facilitated by an analysis of the structure of the equations and the relations among them. This often permits decompositions of the full problem into subproblems, and other simplifications in form, which renders the resultant subsystems soluble by more standardized tools. CALC's operation is illustrated by a detailed description of its treatment of a sample plasma calculation. 5 refs., 3 figs

  3. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  4. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  5. Effects of Atomization Injection on Nanoparticle Processing in Suspension Plasma Spray

    Directory of Open Access Journals (Sweden)

    Hong-bing Xiong

    2016-05-01

    Full Text Available Liquid atomization is applied in nanostructure dense coating technology to inject suspended nano-size powder materials into a suspension plasma spray (SPS torch. This paper presents the effects of the atomization parameters on the nanoparticle processing. A numerical model was developed to simulate the dynamic behaviors of the suspension droplets, the solid nanoparticles or agglomerates, as well as the interactions between them and the plasma gas. The plasma gas was calculated as compressible, multi-component, turbulent jet flow in Eulerian scheme. The droplets and the solid particles were calculated as discrete Lagrangian entities, being tracked through the spray process. The motion and thermal histories of the particles were given in this paper and their release and melting status were observed. The key parameters of atomization, including droplet size, injection angle and velocity were also analyzed. The study revealed that the nanoparticle processing in SPS preferred small droplets with better atomization and less aggregation from suspension preparation. The injection angle and velocity influenced the nanoparticle release percentage. Small angle and low initial velocity might have more nanoparticles released. Besides, the melting percentage of nanoparticles and agglomerates were studied, and the critical droplet diameter to ensure solid melting was drawn. Results showed that most released nanoparticles were well melted, but the agglomerates might be totally melted, partially melted, or even not melted at all, mainly depending on the agglomerate size. For better coating quality, the suspension droplet size should be limited to a critical droplet diameter, which was inversely proportional to the cubic root of weight content, for given critical agglomerate diameter of being totally melted.

  6. Final Technical Report: Magnetic Reconnection in High-Energy Laser-Produced Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Germaschewski, Kai [Univ. of New Hampshire, Durham, NH (United States); Fox, William [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Bhattacharjee, Amitava [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States)

    2017-04-06

    This report describes the final results from the DOE Grant DE-SC0007168, “Fast Magnetic Reconnection in HED Laser-Produced Plasmas.” The recent generation of laboratory high-energy-density physics facilities has opened significant physics opportunities for experimentally modeling astrophysical plasmas. The goal of this proposal is to use these new tools to study fundamental problems in plasma physics and plasma astrophysics. Fundamental topics in this area involve study of the generation, amplification, and fate of magnetic fields, which are observed to pervade the plasma universe and govern its evolution. This project combined experiments at DOE laser facilities with kinetic plasma simulation to study these processes. The primary original goal of the project was to study magnetic reconnection using a new experimental platform, colliding magnetized laser-produced plasmas. However through a series of fortuitous discoveries, the work broadened out to allow significant advancement on multiple topics in laboratory astrophysics, including magnetic reconnection, Weibel instability, and collisionless shocks.

  7. Analysis of Cryogenic Cycle with Process Modeling Tool: Aspen HYSYS

    Science.gov (United States)

    Joshi, D. M.; Patel, H. K.

    2015-10-01

    Cryogenic engineering deals with the development and improvement of low temperature techniques, processes and equipment. A process simulator such as Aspen HYSYS, for the design, analysis, and optimization of process plants, has features that accommodate the special requirements and therefore can be used to simulate most cryogenic liquefaction and refrigeration processes. Liquefaction is the process of cooling or refrigerating a gas to a temperature below its critical temperature so that liquid can be formed at some suitable pressure which is below the critical pressure. Cryogenic processes require special attention in terms of the integration of various components like heat exchangers, Joule-Thompson Valve, Turbo expander and Compressor. Here, Aspen HYSYS, a process modeling tool, is used to understand the behavior of the complete plant. This paper presents the analysis of an air liquefaction plant based on the Linde cryogenic cycle, performed using the Aspen HYSYS process modeling tool. It covers the technique used to find the optimum values for getting the maximum liquefaction of the plant considering different constraints of other parameters. The analysis result so obtained gives clear idea in deciding various parameter values before implementation of the actual plant in the field. It also gives an idea about the productivity and profitability of the given configuration plant which leads to the design of an efficient productive plant.

  8. Analysis of Cryogenic Cycle with Process Modeling Tool: Aspen HYSYS

    International Nuclear Information System (INIS)

    Joshi, D.M.; Patel, H.K.

    2015-01-01

    Cryogenic engineering deals with the development and improvement of low temperature techniques, processes and equipment. A process simulator such as Aspen HYSYS, for the design, analysis, and optimization of process plants, has features that accommodate the special requirements and therefore can be used to simulate most cryogenic liquefaction and refrigeration processes. Liquefaction is the process of cooling or refrigerating a gas to a temperature below its critical temperature so that liquid can be formed at some suitable pressure which is below the critical pressure. Cryogenic processes require special attention in terms of the integration of various components like heat exchangers, Joule-Thompson Valve, Turbo expander and Compressor. Here, Aspen HYSYS, a process modeling tool, is used to understand the behavior of the complete plant. This paper presents the analysis of an air liquefaction plant based on the Linde cryogenic cycle, performed using the Aspen HYSYS process modeling tool. It covers the technique used to find the optimum values for getting the maximum liquefaction of the plant considering different constraints of other parameters. The analysis result so obtained gives clear idea in deciding various parameter values before implementation of the actual plant in the field. It also gives an idea about the productivity and profitability of the given configuration plant which leads to the design of an efficient productive plant

  9. An isotopic analysis process with optical emission spectrometry on a laser-produced plasma

    International Nuclear Information System (INIS)

    Mauchien, P.; Pietsch, W.; Petit, A.; Briand, A.

    1994-01-01

    The sample that is to be analyzed is irradiated with a laser beam to produce a plasma at the sample surface; the spectrum of the light emitted by the plasma is analyzed and the isotope composition of the sample is derived from the spectrometry. The process is preferentially applied to uranium and plutonium; it is rapid, simpler and cheaper than previous methods, and may be applied to 'in-situ' isotopic analysis in nuclear industry. 2 figs

  10. Synthetic Biology: Tools to Design, Build, and Optimize Cellular Processes

    Science.gov (United States)

    Young, Eric; Alper, Hal

    2010-01-01

    The general central dogma frames the emergent properties of life, which make biology both necessary and difficult to engineer. In a process engineering paradigm, each biological process stream and process unit is heavily influenced by regulatory interactions and interactions with the surrounding environment. Synthetic biology is developing the tools and methods that will increase control over these interactions, eventually resulting in an integrative synthetic biology that will allow ground-up cellular optimization. In this review, we attempt to contextualize the areas of synthetic biology into three tiers: (1) the process units and associated streams of the central dogma, (2) the intrinsic regulatory mechanisms, and (3) the extrinsic physical and chemical environment. Efforts at each of these three tiers attempt to control cellular systems and take advantage of emerging tools and approaches. Ultimately, it will be possible to integrate these approaches and realize the vision of integrative synthetic biology when cells are completely rewired for biotechnological goals. This review will highlight progress towards this goal as well as areas requiring further research. PMID:20150964

  11. Synthetic Biology: Tools to Design, Build, and Optimize Cellular Processes

    Directory of Open Access Journals (Sweden)

    Eric Young

    2010-01-01

    Full Text Available The general central dogma frames the emergent properties of life, which make biology both necessary and difficult to engineer. In a process engineering paradigm, each biological process stream and process unit is heavily influenced by regulatory interactions and interactions with the surrounding environment. Synthetic biology is developing the tools and methods that will increase control over these interactions, eventually resulting in an integrative synthetic biology that will allow ground-up cellular optimization. In this review, we attempt to contextualize the areas of synthetic biology into three tiers: (1 the process units and associated streams of the central dogma, (2 the intrinsic regulatory mechanisms, and (3 the extrinsic physical and chemical environment. Efforts at each of these three tiers attempt to control cellular systems and take advantage of emerging tools and approaches. Ultimately, it will be possible to integrate these approaches and realize the vision of integrative synthetic biology when cells are completely rewired for biotechnological goals. This review will highlight progress towards this goal as well as areas requiring further research.

  12. Synthetic biology: tools to design, build, and optimize cellular processes.

    Science.gov (United States)

    Young, Eric; Alper, Hal

    2010-01-01

    The general central dogma frames the emergent properties of life, which make biology both necessary and difficult to engineer. In a process engineering paradigm, each biological process stream and process unit is heavily influenced by regulatory interactions and interactions with the surrounding environment. Synthetic biology is developing the tools and methods that will increase control over these interactions, eventually resulting in an integrative synthetic biology that will allow ground-up cellular optimization. In this review, we attempt to contextualize the areas of synthetic biology into three tiers: (1) the process units and associated streams of the central dogma, (2) the intrinsic regulatory mechanisms, and (3) the extrinsic physical and chemical environment. Efforts at each of these three tiers attempt to control cellular systems and take advantage of emerging tools and approaches. Ultimately, it will be possible to integrate these approaches and realize the vision of integrative synthetic biology when cells are completely rewired for biotechnological goals. This review will highlight progress towards this goal as well as areas requiring further research.

  13. FT-NIR: A Tool for Process Monitoring and More.

    Science.gov (United States)

    Martoccia, Domenico; Lutz, Holger; Cohen, Yvan; Jerphagnon, Thomas; Jenelten, Urban

    2018-03-30

    With ever-increasing pressure to optimize product quality, to reduce cost and to safely increase production output from existing assets, all combined with regular changes in terms of feedstock and operational targets, process monitoring with traditional instruments reaches its limits. One promising answer to these challenges is in-line, real time process analysis with spectroscopic instruments, and above all Fourier-Transform Near Infrared spectroscopy (FT-NIR). Its potential to afford decreased batch cycle times, higher yields, reduced rework and minimized batch variance is presented and application examples in the field of fine chemicals are given. We demonstrate that FT-NIR can be an efficient tool for improved process monitoring and optimization, effective process design and advanced process control.

  14. P2-37: Processing Affordance Information from Invisible Tool Images

    Directory of Open Access Journals (Sweden)

    Shinho Cho

    2012-10-01

    Full Text Available A recent study showed that viewing manipulable objects such as images of tools induces the neural activation of posterior parietal areas. This neural activation is observed even when visual awareness of the image is visually suppressed. (Fang and He, 2005 Nature Neuroscience 10 1380–1385; Almeida et al, 2010 Psychological Science 21 772–778. However, the specific visuomotor information in tool images that drives the activation of dorsal areas is still unclear. We measured priming effect of invisible tool prime (left or right handed orientation. Observers distinguished the orientation of target tools (appropriate for left vs right handed grip briefly presented following visually suppressed primes (Experiment1. Also we measured the suppression time of tool images whose handle direction was presented in a left- or right-handed orientation. A dynamic noise pattern was presented to one of the observer's eyes at full contrast, while images of tools (left or right handed were simultaneously presented to the left or right visual field of the other eye. The subjects were asked to respond to the appearance of any partial or full part of the test image (Experiment 2. Results showed that (1 observers responded faster in the congruent condition (the same orientation between prime and target and (2 tool images shown in the right visual field took less time to gain dominance against the dynamic noise. These results suggest that even without overt recognition of presented tools, an object's affordance can be registered and processed in the brain. A recent study showed that viewing manipulable objects such as images of tools induces the neural activation of posterior parietal areas. This neural activation is observed even when visual awareness of the image is visually suppressed (Almeida et al., 2010 Psychological Science 21 772–778; Fang and He, 2005 Nature Neuroscience 10 1380–1385. However, the specific visuomotor information in tool images that

  15. Real-Time Smart Tools for Processing Spectroscopy Data, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose novel and real-time smart software tools to process spectroscopy data. Material abundance or compositional maps will be generated for rover guidance,...

  16. Process simulation support in BPM tools: The case of BPMN

    OpenAIRE

    Freitas, António Paulo; Pereira, José Luís Mota

    2015-01-01

    Due to the increasing acceptance of BPM, nowadays BPM tools are extensively used in organizations. Core to BPM are the process modeling languages, of which BPMN is the one that has been receiving most attention these days. Once a business process is described using BPMN, one can use a process simulation approach in order to find its optimized form. In this context, the simulation of business processes, such as those defined in BPMN, appears as an obvious way of improving pro...

  17. Optimization of Dimensional accuracy in plasma arc cutting process employing parametric modelling approach

    Science.gov (United States)

    Naik, Deepak kumar; Maity, K. P.

    2018-03-01

    Plasma arc cutting (PAC) is a high temperature thermal cutting process employed for the cutting of extensively high strength material which are difficult to cut through any other manufacturing process. This process involves high energized plasma arc to cut any conducting material with better dimensional accuracy in lesser time. This research work presents the effect of process parameter on to the dimensional accuracy of PAC process. The input process parameters were selected as arc voltage, standoff distance and cutting speed. A rectangular plate of 304L stainless steel of 10 mm thickness was taken for the experiment as a workpiece. Stainless steel is very extensively used material in manufacturing industries. Linear dimension were measured following Taguchi’s L16 orthogonal array design approach. Three levels were selected to conduct the experiment for each of the process parameter. In all experiments, clockwise cut direction was followed. The result obtained thorough measurement is further analyzed. Analysis of variance (ANOVA) and Analysis of means (ANOM) were performed to evaluate the effect of each process parameter. ANOVA analysis reveals the effect of input process parameter upon leaner dimension in X axis. The results of the work shows that the optimal setting of process parameter values for the leaner dimension on the X axis. The result of the investigations clearly show that the specific range of input process parameter achieved the improved machinability.

  18. Tools for Developing a Quality Management Program: Proactive Tools (Process Mapping, Value Stream Mapping, Fault Tree Analysis, and Failure Mode and Effects Analysis)

    International Nuclear Information System (INIS)

    Rath, Frank

    2008-01-01

    This article examines the concepts of quality management (QM) and quality assurance (QA), as well as the current state of QM and QA practices in radiotherapy. A systematic approach incorporating a series of industrial engineering-based tools is proposed, which can be applied in health care organizations proactively to improve process outcomes, reduce risk and/or improve patient safety, improve through-put, and reduce cost. This tool set includes process mapping and process flowcharting, failure modes and effects analysis (FMEA), value stream mapping, and fault tree analysis (FTA). Many health care organizations do not have experience in applying these tools and therefore do not understand how and when to use them. As a result there are many misconceptions about how to use these tools, and they are often incorrectly applied. This article describes these industrial engineering-based tools and also how to use them, when they should be used (and not used), and the intended purposes for their use. In addition the strengths and weaknesses of each of these tools are described, and examples are given to demonstrate the application of these tools in health care settings

  19. Photostable bipolar fluorescent probe for video tracking plasma membranes related cellular processes.

    Science.gov (United States)

    Zhang, Xinfu; Wang, Chao; Jin, Liji; Han, Zhuo; Xiao, Yi

    2014-08-13

    Plasma membranes can sense the stimulations and transmit the signals from extracellular environment and then make further responses through changes in locations, shapes or morphologies. Common fluorescent membrane markers are not well suited for long time tracking due to their shorter retention time inside plasma membranes and/or their lower photostability. To this end, we develop a new bipolar marker, Mem-SQAC, which can stably insert into plasma membranes of different cells and exhibits a long retention time over 30 min. Mem-SQAC also inherits excellent photostability from the BODIPY dye family. Large two-photon absorption cross sections and long wavelength fluorescence emissions further enhance the competitiveness of Mem-SQAC as a membrane marker. By using Mem-SQAC, significant morphological changes of plasma membranes have been monitored during heavy metal poisoning and drug induced apoptosis of MCF-7 cells; the change tendencies are so distinctly different from each other that they can be used as indicators to distinguish different cell injuries. Further on, the complete processes of endocytosis toward Staphylococcus aureus and Escherichia coli by RAW 264.7 cells have been dynamically tracked. It is discovered that plasma membranes take quite different actions in response to the two bacteria, information unavailable in previous research reports.

  20. Plasma Process Modeling for Integrated Circuits Manufacturing

    OpenAIRE

    M. Meyyappan; T. R. Govindan

    1998-01-01

    A reactor model for plasma-based deposition and etching is presented. Two-dimensional results are discussed in terms of plasma density, ion flux, and ion energy. Approaches to develop rapid CAD-type models are discussed.