WorldWideScience

Sample records for plasma processing system

  1. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  2. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  3. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  4. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  5. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  6. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  7. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  8. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  9. Design and fabrication of a glovebox for the Plasma Hearth Process radioactive bench-scale system

    International Nuclear Information System (INIS)

    Wahlquist, D.R.

    1996-01-01

    This paper presents some of the design considerations and fabrication techniques for building a glovebox for the Plasma Hearth Process (PHP) radioactive bench-scale system. The PHP radioactive bench-scale system uses a plasma torch to process a variety of radioactive materials into a final vitrified waste form. The processed waste will contain plutonium and trace amounts of other radioactive materials. The glovebox used in this system is located directly below the plasma chamber and is called the Hearth Handling Enclosure (HHE). The HHE is designed to maintain a confinement boundary between the processed waste and the operator. Operations that take place inside the HHE include raising and lowering the hearth using a hydraulic lift table, transporting the hearth within the HHE using an overhead monorail and hoist system, sampling and disassembly of the processed waste and hearth, weighing the hearth, rebuilding a hearth, and sampling HEPA filters. The PHP radioactive bench-scale system is located at the TREAT facility at Argonne National Laboratory-West in Idaho Falls, Idaho

  10. Communication systems and the role of plasma processing

    International Nuclear Information System (INIS)

    Boswell, R.; Love, J.

    2000-01-01

    Full text: The term telecommunications now encompasses telephone and television, bringing information to two of our most developed senses. Traditionally, these systems have been analogue and quite well separated, but the advent of broad-band digital communication systems carried by glass fibres has brought about a fusion of sound and image so that simple telephone calls are mixed in with television and the web. A bunch of pulses is just a bunch of pulses. There is a major push to move all vision and sound to the web, requiring a huge increase in bandwidth. A number of areas in the US, especially in the Bay area of California where Silicon Valley and the dot.comms account for an economy of 34 billion dollars in the first quarter of the new millennium, homes with cable or DSL phone links can expect a data rate about 100 times faster than present modems. In Canberra, a 'roll out' by TRANSACT, a spin off company from ACTElectricityWater, expects to offer video on demand, telephone and television via links to private houses of up to 50MB.s -1 , over 1000 times that of our present system. Around the world the perceived need for band width, driven by 5MB.s -1 necessary for quality video, is increasing dramatically and will continue for the next decade. To achieve such high rates, many wavelengths are used to carry the pulsed information down each fibre in a system called Wavelength Division Multiplexing (WDM) and the multiplexers and demultiplexers are optical circuits fabricated in circular or rectangular waveguides. This is only the first step in the process of optical integration of many optical circuits on the same silicon chip. In this talk I will describe how some of these components are manufactured and how plasma processing is playing a pivotal role in the etching and deposition of the buried optical waveguides. As distinct from micro-electronics where plasma processing is well established and a mature technology, in the manufacture of optical circuits, plasmas

  11. Design of a uranium-dioxide powder spheroidization system by plasma processing

    Science.gov (United States)

    Cavender, Daniel

    The plasma spheroidization system (PSS) is the first process in the development of a tungsten-uranium dioxide (W-UO2) ceramic-metallic (cermet) fuel for nuclear thermal rocket (NTR) propulsion. For the purposes of fissile fuel retention, UO2 spheroids ranging in size from 50 - 100 micrometers (μm) in diameter will be encapsulated in a tungsten shell. The PSS produces spherical particles by melting angular stock particles in an argon-hydrogen plasma jet where they become spherical due to surface tension. Surrogate CeO 2 powder was used in place of UO2 for system and process parameter development. Stock and spheroidized powders were micrographed using optical and scanning electron microscopy and evaluated by statistical methods to characterize and compare the spherocity of pre and post process powders. Particle spherocity was determined by irregularity parameter. Processed powders showed a statistically significant improvement in spherocity, with greater that 60% of the examined particles having an irregularity parameter of equal to or lower than 1.2, compared to stock powder.

  12. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  13. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  14. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  15. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  18. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  19. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  20. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  1. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  2. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  3. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  4. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  5. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  6. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  7. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  8. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  9. Modular and efficient ozone systems based on massively parallel chemical processing in microchannel plasma arrays: performance and commercialization

    Science.gov (United States)

    Kim, M.-H.; Cho, J. H.; Park, S.-J.; Eden, J. G.

    2017-08-01

    Plasmachemical systems based on the production of a specific molecule (O3) in literally thousands of microchannel plasmas simultaneously have been demonstrated, developed and engineered over the past seven years, and commercialized. At the heart of this new plasma technology is the plasma chip, a flat aluminum strip fabricated by photolithographic and wet chemical processes and comprising 24-48 channels, micromachined into nanoporous aluminum oxide, with embedded electrodes. By integrating 4-6 chips into a module, the mass output of an ozone microplasma system is scaled linearly with the number of modules operating in parallel. A 115 g/hr (2.7 kg/day) ozone system, for example, is realized by the combined output of 18 modules comprising 72 chips and 1,800 microchannels. The implications of this plasma processing architecture for scaling ozone production capability, and reducing capital and service costs when introducing redundancy into the system, are profound. In contrast to conventional ozone generator technology, microplasma systems operate reliably (albeit with reduced output) in ambient air and humidity levels up to 90%, a characteristic attributable to the water adsorption/desorption properties and electrical breakdown strength of nanoporous alumina. Extensive testing has documented chip and system lifetimes (MTBF) beyond 5,000 hours, and efficiencies >130 g/kWh when oxygen is the feedstock gas. Furthermore, the weight and volume of microplasma systems are a factor of 3-10 lower than those for conventional ozone systems of comparable output. Massively-parallel plasmachemical processing offers functionality, performance, and commercial value beyond that afforded by conventional technology, and is currently in operation in more than 30 countries worldwide.

  10. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  11. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  12. Depleted uranium plasma reduction system study

    International Nuclear Information System (INIS)

    Rekemeyer, P.; Feizollahi, F.; Quapp, W.J.; Brown, B.W.

    1994-12-01

    A system life-cycle cost study was conducted of a preliminary design concept for a plasma reduction process for converting depleted uranium to uranium metal and anhydrous HF. The plasma-based process is expected to offer significant economic and environmental advantages over present technology. Depleted Uranium is currently stored in the form of solid UF 6 , of which approximately 575,000 metric tons is stored at three locations in the U.S. The proposed system is preconceptual in nature, but includes all necessary processing equipment and facilities to perform the process. The study has identified total processing cost of approximately $3.00/kg of UF 6 processed. Based on the results of this study, the development of a laboratory-scale system (1 kg/h throughput of UF6) is warranted. Further scaling of the process to pilot scale will be determined after laboratory testing is complete

  13. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  14. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  15. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  16. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  17. Evaluation of the sensitivity of electro-acoustic measurements for process monitoring and control of an atmospheric pressure plasma jet system

    Energy Technology Data Exchange (ETDEWEB)

    Law, V J [Dublin City University, National Centre of Plasma Science and Technology, Collins Avenue, Glasnevin, Dublin 9, Dublin (Ireland); O' Neill, F T; Dowling, D P, E-mail: vic.law@dcu.ie [School Mechanical and Materials Engineering, University College Dublin, Belfield, Dublin 4 (Ireland)

    2011-06-15

    The development of non-invasive process diagnostic techniques for the control of atmospheric plasmas is a critical issue for the wider adoption of this technology. This paper evaluates the use of a frequency-domain deconvolution of an electro-acoustic emission as a means to monitor and control the plasma formed using an atmospheric pressure plasma jet (APPJ) system. The air plasma system investigated was formed using a PlasmaTreat(TM) OpenAir applicator. Change was observed in the electro-acoustic signal with changes in substrate type (ceramic, steel, polymer). APPJ nozzle to substrate distance and substrate feature size were monitored. The decoding of the electro-acoustic emission yields three subdatasets that are described by three separate emission mechanisms. The three emissions are associated with the power supply fundamental drive frequency and its harmonics, the APPJ nozzle longitudinal mode acoustic emission and its odd overtones, and the acoustic surface reflection that is produced by the impedance mismatch between the discharge and the surface. Incorporating this knowledge into a LabVIEW program facilitated the continuous deconvolution of the electro-acoustic data. This enabled the use of specific frequency band test limits to control the APPJ treatment process which is sensitive to both plasma processing conditions and substrate type and features.

  18. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  19. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  20. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  1. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  2. Computer-controlled system for plasma ion energy auto-analyzer

    International Nuclear Information System (INIS)

    Wu Xianqiu; Chen Junfang; Jiang Zhenmei; Zhong Qinghua; Xiong Yuying; Wu Kaihua

    2003-01-01

    A computer-controlled system for plasma ion energy auto-analyzer was technically studied for rapid and online measurement of plasma ion energy distribution. The system intelligently controls all the equipments via a RS-232 port, a printer port and a home-built circuit. The software designed by LabVIEW G language automatically fulfils all of the tasks such as system initializing, adjustment of scanning-voltage, measurement of weak-current, data processing, graphic export, etc. By using the system, a few minutes are taken to acquire the whole ion energy distribution, which rapidly provide important parameters of plasma process techniques based on semiconductor devices and microelectronics

  3. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  4. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  5. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  6. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  7. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  8. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  9. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  10. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  11. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  12. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  13. Computer simulation of plasma turbulence in open systems

    International Nuclear Information System (INIS)

    Sigov, Yu.S.

    1982-01-01

    A short review of the results of kinetic simulation of collective phenomena in open plasma systems with the variable total energy and number of particles, i.e., the particle and energy fluxes on boundary surfaces and/or their internal sources and channels is given. Three specific problems are considered in different detail for such systems in one-dimensional geometry: the generation and evolution of double layers in a currently unstable plasma; the collisionless relaxation of strongly non-equilibrium electron distributions; the Langmuir collapse and strong electrostatic turbulence in systems with parametric excitation of a plasma by an external pumping wave and with cooling the fast non-Maxwell electrons. In all these cases the non-linearity and a collective character of processes give examples of new dissipative plasma structures that essentially widen our idea about the nature of the plasma turbulence in non-homogeneous open systems. (Auth.)

  14. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  15. Improvement of In-Flight Alumina Spheroidization Process Using a Small Power Argon DC-RF Hybrid Plasma Flow System by Helium Mixture

    Science.gov (United States)

    Takana, Hidemasa; Jang, Juyong; Igawa, Junji; Nakajima, Tomoki; Solonenko, Oleg P.; Nishiyama, Hideya

    2011-03-01

    For the further improvement of in-flight alumina spheroidization process with a low-power direct-current radiofrequency (DC-RF) hybrid plasma flow system, the effect of a small amount of helium gas mixture in argon main gas and also the effect of increasing DC nozzle diameter on powder spheroidization ratio have been experimentally clarified with correlating helium gas mixture percentage, plasma enthalpy, powder in-flight velocity, and temperature. The alumina spheroidization ratio increases by helium gas mixture as a result of enhancement of plasma enthalpy. The highest spheroidization ratio is obtained by 4% mixture of helium in central gas with enlarging nozzle diameter from 3 to 4 mm, even under the constant low input electric power given to a DC-RF hybrid plasma flow system.

  16. ALPS - advanced limiter-divertor plasma-facing systems

    International Nuclear Information System (INIS)

    Allain, J. P.; Bastasz, R.; Brooks, J. N.; Evans, T.; Hassanein, A.; Luckhardt, S.; Maingi, R.; Mattas, R. F.; McCarthy, K.; Mioduszewski, P.; Mogahed, E.; Moir, R.; Molokov, S.; Morely, N.; Nygren, R.; Reed, C.; Rognlien, T.; Ruzic, D.; Sviatoslavsky, I.; Sze, D.; Tillack, M.; Ulrickson, M.; Wade, P. M.; Wong, C.; Wooley, R.

    1999-01-01

    The Advanced Limiter-divertor Plasma-facing Systems (ALPS) program was initiated in order to evaluate the potential for improved performance and lifetime for plasma-facing systems. The main goal of the program is to demonstrate the advantages of advanced limiter/divertor systems over conventional systems in terms of power density capability, component lifetime, and power conversion efficiency, while providing for safe operation and minimizing impurity concerns for the plasma. Most of the work to date has been applied to free surface liquids. A multi-disciplinary team from several institutions has been organized to address the key issues associated with these systems. The main performance goals for advanced limiters and diverters are a peak heat flux of >50 MW/m 2 ,elimination of a lifetime limit for erosion, and the ability to extract useful heat at high power conversion efficiency (approximately40%). The evaluation of various options is being conducted through a combination of laboratory experiments, modeling of key processes, and conceptual design studies. The current emphasis for the work is on the effects of free surface liquids on plasma edge performance

  17. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  18. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  19. Amotosalen: Allogeneic Cellular Immunotherapies system, INTERCEPT Plasma System, INTERCEPT Platelet System, S 59.

    Science.gov (United States)

    2003-01-01

    Adis CommentsCerus Corporation is developing a variety of pathogen-inactivation systems, based on its Helinx technology. Three of the systems include amotosalen [S 59] as the inactivation compound. Amotosalen is a light-activated, DNA-, RNA-crosslinking psoralen compound, which is used to neutralise pathogens. The systems that utilise amotosalen are called the INTERCEPT Platelet System, the INTERCEPT Plasma System and the Allogeneic Cellular Immunotherapies (ACIT) system. The INTERCEPT Platelet System and INTERCEPT Plasma System are two of the systems that make up Cerus' INTERCEPT Blood Systems. The other system is the INTERCEPT Red Blood Cell System, which contains S 303 as the inactivation compound rather than amotosalen. Cerus' Helinx technology is able to prevent replication of DNA or RNA that is present in pathogens but not in the blood components being treated (e.g. platelets and plasma). When added to the blood components, the inactivation agent (in this case amotosalen) crosses the membrane or cell wall of the pathogen. When activated by light, amotosalen binds to the nucleic acid of the pathogen and prevents replication. This process prevents infection. INTERCEPT Platelet System: Cerus developed its INTERCEPT Platelet System, in collaboration with Baxter Healthcare, for use in blood centres. Platelets are an essential component of the coagulation process and may be required by patients undergoing surgery, cancer chemotherapy, transplantation or with bleeding disorders. The system is made up of an illuminator device, a compound absorption device and a processing kit containing amotosalen. In October 2002, the two companies announced that CE Mark approval had been received for the illuminator device for the INTERCEPT trade mark Blood System. Application of this technology to platelets is the first to be approved. As it is a new technology, the system is currently undergoing process validation in accordance with European Blood Bank GMP requirements. This

  20. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  1. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  2. TFTR plasma feedback systems

    International Nuclear Information System (INIS)

    Efthimion, P.; Hawryluk, R.J.; Hojsak, W.; Marsala, R.J.; Mueller, D.; Rauch, W.; Tait, G.D.; Taylor, G.; Thompson, M.

    1985-01-01

    The Tokamak Fusion Test Reactor employs feedback control systems for four plasma parameters, i.e. for plasma current, for plasma major radius, for plasma vertical position, and for plasma density. The plasma current is controlled by adjusting the rate of change of current in the Ohmic Heating (OH) coil system. Plasma current is continuously sensed by a Rogowski coil and its associated electronics; the error between it and a preprogrammed reference plasma current history is operated upon by a ''proportional-plusintegral-plus-derivative'' (PID) control algorithm and combined with various feedforward terms, to generate compensating commands to the phase-controlled thyristor rectifiers which drive current through the OH coils. The plasma position is controlled by adjusting the currents in Equilibrium Field and Horizontal Field coil systems, which respectively determine the vertical and radial external magnetic fields producing J X B forces on the plasma current. The plasma major radius position and vertical position, sensed by ''B /sub theta/ '' and ''B /sub rho/ '' magnetic flux pickup coils with their associated electronics, are controlled toward preprogrammed reference histories by allowing PID and feedforward control algorithms to generate commands to the EF and HF coil power supplies. Plasma density is controlled by adjusting the amount of gas injected into the vacuum vessel. Time-varying gains are used to combine lineaveraged plasma density measurements from a microwave interferometer plasma diagnostic system with vacuum vessel pressure measurements from ion gauges, with various other measurements, and with preprogrammed reference histories, to determine commands to piezoelectric gas injection valves

  3. Plasma heating due to X-B mode conversion in a cylindrical ECR plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, V.K.; Bora, D. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India)

    2004-07-01

    Extra Ordinary (X) mode conversion to Bernstein wave near Upper Hybrid Resonance (UHR) layer plays an important role in plasma heating through cyclotron resonance. Wave generation at UHR and parametric decay at high power has been observed during Electron Cyclotron Resonance (ECR) heating experiments in toroidal magnetic fusion devices. A small linear system with ECR and UHR layer within the system has been used to conduct experiments on X-B conversion and parametric decay process as a function of system parameters. Direct probing in situ is conducted and plasma heating is evidenced by soft x-ray emission measurement. Experiments are performed with hydrogen plasma produced with 160-800 W microwave power at 2.45 GHz of operating frequency at 10{sup -3} mbar pressure. The axial magnetic field required for ECR is such that the resonant surface (B = 875 G) is situated at the geometrical axis of the plasma system. Experimental results will be presented in the paper. (authors)

  4. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  5. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  10. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  11. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  12. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  13. Advancement of In-Flight Alumina Powder Spheroidization Process with Water Droplet Injection Using a Small Power DC-RF Hybrid Plasma Flow System

    Science.gov (United States)

    Jang, Juyong; Takana, Hidemasa; Park, Sangkyu; Nishiyama, Hideya

    2012-09-01

    The correlation between plasma thermofluid characteristics and alumina powder spheroidization processes with water droplet injection using a small power DC-RF hybrid plasma flow system was experimentally clarified. Micro-sized water droplets with a low water flow rate were injected into the tail of thermal plasma flow so as not to disturb the plasma flow directly. Injected water droplets were vaporized in the thermal plasma flow and were transported upstream in the plasma flow to the torch by the backflow. After dissociation of water, the production of hydrogen was detected by the optical emission spectroscopy in the downstream RF plasma flow. The emission area of the DC plasma jet expanded and elongated in the vicinity of the RF coils. Additionally, the emission area of RF plasma flow enlarged and was visible as red emission in the downstream RF plasma flow in the vicinity below the RF coils due to hydrogen production. Therefore, the plasma flow mixed with produced hydrogen increased the plasma enthalpy and the highest spheroidization rate of 97% was obtained at a water flow rate of 15 Sm l/min and an atomizing gas flow rate of 8 S l/min using a small power DC-RF hybrid plasma flow system.

  14. Electromagnetic induction phenomena in plasma systems

    International Nuclear Information System (INIS)

    Karlovitz, B.

    1982-01-01

    The phenomenon of electromagnetic induction is considered in complex high temperature plasma systems. Thermal energy of such fully ionized plasma is really energy of the magnetic vortex fields surrounding the randomly moving ions and electrons. In an expanding plasma stream, moving across the containing magnetic field, random thermal motion of the ions and electrons is converted into ordered motion and thereby random magnetic energy of the plasma into magnetic energy of an ordered field. Consequently, in contrast to simple systems consisting of coils and magnets only, an expanding plasma stream can maintain net outflow of ordered magnetic energy from a closed volume for an indefinite length of time. Conversion of thermal energy of plasma into ordered magnetic energy by the thermodynamic expansion process leads to the expectation of a new induction phenomenon: the generation of a unidirectional induced electromotive force of unlimited duration, measured in a closed loop at rest relative to the magnetic field, by the expansion work of the plasma stream. No change is required in the differential form of Maxwell's equations for the existence of this induction phenomenon, only the definition of the concept of rate of change of magnetic flux needs to be modified in the macroscopic equations to correspond to the rate of flow of magnetic energy across a closed surface. An experimental test of the predicted induction phenomenon is proposed

  15. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  16. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  17. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  18. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  19. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  20. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  1. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  2. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  3. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  4. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  5. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  6. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  7. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  8. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  9. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  10. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  11. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  12. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  13. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  14. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  15. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  16. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  17. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  18. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  19. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  20. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  1. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  2. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  3. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  4. Applications of plasma core reactors to terrestrial energy systems

    International Nuclear Information System (INIS)

    Lantham, T.S.; Biancardi, F.R.; Rodgers, R.J.

    1974-01-01

    Plasma core reactors offer several new options for future energy needs in addition to space power and propulsion applications. Power extraction from plasma core reactors with gaseous nuclear fuel allows operation at temperatures higher than conventional reactors. Highly efficient thermodynamic cycles and applications employing direct coupling of radiant energy are possible. Conceptual configurations of plasma core reactors for terrestrail applications are described. Closed-cycle gas turbines, MHD systems, photo- and thermo-chemical hydrogen production processes, and laser systems using plasma core reactors as prime energy sources are considered. Cycle efficiencies in the range of 50 to 65 percent are calculated for closed-cycle gas turbine and MHD electrical generators. Reactor advantages include continuous fuel reprocessing which limits inventory of radioactive by-products and thorium-U-233 breeder configurations with about 5-year doubling times

  5. Amplification through chaotic synchronization in spatially extended beam-plasma systems

    Science.gov (United States)

    Moskalenko, Olga I.; Frolov, Nikita S.; Koronovskii, Alexey A.; Hramov, Alexander E.

    2017-12-01

    In this paper, we have studied the relationship between chaotic synchronization and microwave signal amplification in coupled beam-plasma systems. We have considered a 1D particle-in-cell numerical model of unidirectionally coupled beam-plasma oscillatory media being in the regime of electron pattern formation. We have shown the significant gain of microwave oscillation power in coupled beam-plasma media being in the different regimes of generation. The discovered effect has a close connection with the chaotic synchronization phenomenon, so we have observed that amplification appears after the onset of the complete time scale synchronization regime in the analyzed coupled spatially extended systems. We have also provided the numerical study of physical processes in the chain of beam-plasma systems leading to the chaotic synchronization and the amplification of microwave oscillations power, respectively.

  6. Progress and plan of KSTAR plasma control system upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Hahn, Sang-hee, E-mail: hahn76@nfri.re.kr [National Fusion Research Institute, Daejeon (Korea, Republic of); Kim, Y.J. [National Fusion Research Institute, Daejeon (Korea, Republic of); Penaflor, B.G. [General Atomics, San Diego, CA (United States); Bak, J.G.; Han, H.; Hong, J.S.; Jeon, Y.M.; Jeong, J.H.; Joung, M.; Juhn, J.W.; Kim, J.S.; Kim, H.S.; Lee, W.R.; Woo, M.H. [National Fusion Research Institute, Daejeon (Korea, Republic of); Eidietis, N.W.; Ferron, J.R.; Humphreys, D.A.; Hyatt, A.; Johnson, R.D.; Piglowski, D.A. [General Atomics, San Diego, CA (United States); and others

    2016-11-15

    Highlights: • Recent achievements of the KSTAR plasma control system are described. • Requirements and results of the testbed system for the future upgrade of the KSTAR plasma control system are presented. • An overview of the upgrade layout based is given. - Abstract: The plasma control system (PCS) has been one of essential systems in annual KSTAR plasma campaigns: starting from a single-process version in 2008, extensive upgrades are done through the previous 7 years in order to achieve major goals of KSTAR performance enhancement. Major implementations are explained in this paper. In consequences of successive upgrades, the present KSTAR PCS is able to achieve ∼48 s of 500 kA plasma pulses with full real-time shaping controls and real-time NB power controls. It has become a huge system capable of dealing with 8 separate categories of algorithms, 26 actuators directly controllable during the shot, and real-time data communication units consisting of +180 analog channels and +600 digital input/outputs through the reflective memory (RFM) network. The next upgrade of the KSTAR PCS is planned in 2015 before the campaign. An overview of the upgrade layout will be given for this paper. The real-time system box is planned to use the CERN MRG-Realtime OS, an ITER-compatible standard operating system. New hardware is developed for faster real-time streaming system for future installations of actuators/diagnostics.

  7. Data processing system for NBT experiments

    International Nuclear Information System (INIS)

    Takahashi, C.; Hosokawa, M.; Shoji, T.; Fujiwara, M.

    1981-07-01

    Data processing system for Nagoya Bumpy Torus (NBT) has been developed. Since plasmas are produced and heated in steady state by use of high power microwaves, sampling and processing data prevails in long time scale on the order of one minute. The system, which consists of NOVA 3/12 minicomputer and many data acquisition devices, is designed to sample and process large amount of data before the next discharge starts. Several features of such long time scale data processing system are described in detail. (author)

  8. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  9. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  10. Interprocess communication within the DIII-D plasma control system

    International Nuclear Information System (INIS)

    Piglowski, D.A.; Penaflor, B.G.; Ferron, J.R.

    1999-06-01

    The DIII-D tokamak fusion research experiment's real-time digital plasma control system (PCS) is a complex and ever evolving system. During a plasma experiment, it is tasked with some of the most crucial functions at DIII-D. Key responsibilities of the PCS involve sub-system control, data acquisition/storage, and user interface. To accomplish these functions, the PCS is broken down into individual components (both software and hardware), each capable of handling a specific duty set. Constant interaction between these components is necessary prior, during and after a standard plasma cycle. Complicating the matter even more is that some components, mostly those which deal with user interaction, may exist remotely, that is to say they are not part of the immediate hardware which makes up the bulk of the PCS. The four main objectives of this paper are to (1) present a brief outline of the PCS hardware/software and how they relate to each other; (2) present a brief overview of a standard DIII-D plasma cycle (a shot); (3) using three sets of PCS sub-systems, describe in more detail the communication processes; and (4) evaluate the benefits and drawbacks of said systems

  11. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  12. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  13. Plasma control system upgrade and increased plasma stability in NSTX

    Energy Technology Data Exchange (ETDEWEB)

    Mastrovito, D., E-mail: dmastrovito@pppl.go [Princeton Plasma Physics Laboratory, P.O. Box 451 Princeton, NJ 08543 (United States); Gates, D.; Gerhard, S.; Lawson, J.; Ludescher-Furth, C.; Marsala, R. [Princeton Plasma Physics Laboratory, P.O. Box 451 Princeton, NJ 08543 (United States)

    2010-07-15

    Plasma control on the National Spherical Torus Experiment (NSTX) was previously accomplished using eight 333 MHz G4 processors built by Sky computers. Several planned improvements and additional control algorithms required significant upgrades to our real-time control computers and real-time data acquisition infrastructure. Several in-house modules have been designed and implemented including: the digital time stamp module (DITS) and for digital/analog front panel data port (FPDP) output, the FPDP output module digital/analog (FOMD/A). Standard Linux based Intel computers perform the real-time control tasks and InfiniBand as been employed for communication between a user-accessible 'host' server and the real-time computer. In addition to several independent real-time processes the General Atomics developed PCS (Bell (2006) ) system infrastructure continues to be used on NSTX. While maintaining previous functionality, improvements in the control system software include: an RWM feedback algorithm, beta feedback NBI control, more comprehensive error logging and trapping, more user-friendly interface, more complete archiving and restoring functionality, and better status reporting and diagnostic tools. Once completed, we succeeded in increasing overall plasma stability and decreasing control system latency by several times.

  14. Plasma waves in hot relativistic beam-plasma systems: Pt. 1

    International Nuclear Information System (INIS)

    Magneville, A.

    1990-01-01

    Dispersion relations of plasma waves in a beam-plasma system are computed in the general case where the plasma and beam temperatures, and the velocity of the beam, may be relativistic. The two asymptotic temperature cases, and different contributions of plasma or beam particles to wave dispersion are considered. (author)

  15. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  16. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  17. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  18. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  19. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  20. Advanced real-time control systems for magnetically confined fusion plasmas

    International Nuclear Information System (INIS)

    Goncalves, B.; Sousa, J.; Fernandes, H.; Rodrigues, A.P.; Carvalho, B.B.; Neto, A.; Varandas, C.A.F.

    2008-01-01

    Real-time control of magnetically confined plasmas is a critical issue for the safety, operation and high performance scientific exploitation of the experimental devices on regimes beyond the current operation frontiers. The number of parameters and the data volumes used for the plasma properties identification scale normally not only with the machine size but also with the technology improvements, leading to a great complexity of the plant system. A strong computational power and fast communication infrastructure are needed to handle in real-time this information, allowing just-in-time decisions to achieve the fusion critical plasma conditions. These advanced control systems require a tiered infrastructure including the hardware layer, the signal-processing middleware, real-time timing and data transport, the real-time operating system tools and drivers, the framework for code development, simulation, deployment and experiment parameterization and the human real-time plasma condition monitoring and management. This approach is being implemented at CFN by offering a vertical solution for the forthcoming challenges, including ITER, the first experimental fusion reactor. A given set of tools and systems are described on this paper, namely: (i) an ATCA based hardware multiple-input-multiple-output (MIMO) platform, PCI and PCIe acquisition and control modules; (ii) FPGA and DSP parallelized signal processing algorithms; (iii) a signal data and event distribution system over a 2.5/10Gb optical network with sub-microsecond latencies; (iv) RTAI and Linux drivers; and (v) the FireSignal, FusionTalk, SDAS FireCalc application tools. (author)

  1. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  2. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  3. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  4. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  5. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  6. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  7. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  8. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  9. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  10. Solar system plasma waves

    Science.gov (United States)

    Gurnett, Donald A.

    1995-01-01

    An overview is given of spacecraft observations of plasma waves in the solar system. In situ measurements of plasma phenomena have now been obtained at all of the planets except Mercury and Pluto, and in the interplanetary medium at heliocentric radial distances ranging from 0.29 to 58 AU. To illustrate the range of phenomena involved, we discuss plasma waves in three regions of physical interest: (1) planetary radiation belts, (2) planetary auroral acceleration regions and (3) the solar wind. In each region we describe examples of plasma waves that are of some importance, either due to the role they play in determining the physical properties of the plasma, or to the unique mechanism involved in their generation.

  11. Formation Process of Non-Neutral Plasmas by Multiple Electron Beams on BX-U

    Science.gov (United States)

    Sanpei, Akio; Himura, Haruhiko; Masamune, Sadao

    An imaging diagnostic system, which is composed of a handmade phosphor screen and a high-speed camera, has been applied to identify the dynamics of multiple electron beams on BX-U. The relaxation process of those toward a non-neutral plasma is experimentally identified. Also, the radial density profile of the plasma is measured as a function of time. Assuming that the plasma is a spheroidal shape, the value of electron density ne is in the range between 2.2 × 106 and 4.4 × 108 cm-3 on BX-U.

  12. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  13. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  14. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  15. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  16. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  17. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  18. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  19. Transport processes in multicomponent plasma

    International Nuclear Information System (INIS)

    Zissis, G.

    2002-01-01

    Full text: This book treats in detail, as indicated in the title, the transport phenomena in multicomponent plasmas. Here, the term 'transport' applies to the study of mass and energy transfer in plasmas due to the interactions between pairs of particles only. Radiation is legitimately omitted; anyway, radiative transfer is another field of study. As the author himself mentions in the introduction, 'the term multicomponent plasma implies a partially or fully ionized mixture of arbitrary number of species of neutral and charged particles satisfying the condition of quasi-neutrality'. In fact, this book treats a large variety of plasmas applying to different systems ranging from low-pressure systems which may be far from local thermodynamic equilibrium (LTE) conditions, to thermal plasmas in LTE or near-LTE states with special attention to two-temperature systems; partially ionized plasmas with low ionization degree for which electron-neutral interactions are predominant, to systems with higher ionization degrees in which charged particle interactions are no more negligible. In addition, for all the above stated situations, the author treats both plasmas which are subjected to an external electromagnetic field and those which are not (homogeneous and inhomogeneous cases). Furthermore, in the last chapters a special discussion concerning molecular plasmas is presented. Taking into account the evolution of plasma modelling in the last few years, the subject is of current interest and the reader will find in the book a large amount of information necessary for a good understanding of transport phenomena in plasmas: for a plasma simulation specialist, this book may be regarded as reference text, which includes all necessary mathematical relations for his work. However, it should not be considered a simple formulary; the reader will also find here an excellent description of the theoretical basis necessary for the derivation of all given expressions. To this point of view

  20. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  1. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  2. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  3. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  4. Cold Atmospheric Plasma Manipulation of Proteins in Food Systems

    DEFF Research Database (Denmark)

    Tolouie, Haniye; Hashemi, Maryam; Mohammadifar, Mohammad Amin

    2017-01-01

    Plasma processing has been getting a lot of attention in recent applications as a novel, eco-friendly, and highly efficient approach. Cold plasma has mostly been used to reduce microbial counts in foodstuff and biological materials, as well as in different levels of packaging, particularly in cases...... of plasma on the conformation and function of proteins with food origin, especially enzymes and allergens, as well as protein-made packaging films. In enzyme manipulation with plasma, deactivation has been reported to be either partial or complete. In addition, an activity increase has been observed in some...... where there is thermal sensitivity. As it is a very recent application, the impact of cold plasma treatment has been studied on the protein structures of food and pharmaceutical systems, as well as in the packaging industry. Proteins, as a food constituent, play a remarkable role in the techno...

  5. The PERC trademark process: Existing and potential applications for induction coupled plasma technology in hazardous and radioactive waste treatment

    International Nuclear Information System (INIS)

    Blutke, A.S.; Vavruska, J.S.; Serino, J.F.

    1996-01-01

    Plasma Technology, Inc. (PTI), a Santa Fe, New Mexico corporation has developed the Plasma Energy Recycle and Conversion (PERC)trademark treatment process as a safe and environmentally clean alternative to conventional thermal destruction technologies. The PERC trademark treatment process uses as its heat source an advanced Induction Coupled Plasma (ICP) torch connected to a reaction chamber system with an additional emission control system. For example, organic-based gas, liquid, slurry, and/or solid waste streams can be converted into usable or even salable products while residual emissions are reduced to an absolute minimum. In applications for treatment of hazardous and radioactive waste streams, the PERC system could be used for destruction of the hazardous organic constituents and/or significant waste volume reduction while capturing the radioactive fraction in a non-leachable form. Like Direct Current (DC) and Alternating Current (AC) arc plasma systems, ICP torches offer sufficient energy to decompose, melt and/or vitrify any waste stream. The decision for an arc plasma or an IC plasma system has to be made on a case by case evaluation and is highly dependent on the specific waste stream's form and composition. Induction coupled plasma technology offers one simple, but significant difference compared to DC or AC arc plasma systems: the ICP torch is electrodeless. To date, enormous research effort has been spent to improve the lifetime of electrodes and the effectiveness of related cooling systems. Arc plasma systems are established in research laboratories worldwide and are approaching a broad use in commercial applications. ICP technology has been improved relatively recently, but nowadays offers complete new and beneficial approaches in the field of waste conversion and treatment

  6. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  7. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  8. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  9. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  10. Possibility for a self-consistent treatment of transport processes in a turbulent plasma

    International Nuclear Information System (INIS)

    Mondt, J.P.

    1985-06-01

    All commonly used models of plasma dynamics share a common flaw in their a priori validity. In particular, a solid foundation of plasma modelling on microscopic dynamics, as exists for moderately dilute gases, is obscured because of the difficulties inherent in the treatment of the potentially very important interplay between plasma waves and collisional processes. The present report briefly discusses the nature of these difficulties and presents a possible approach towards the establishment of a plasma theory founded on the microscopic particle dynamics. The essence of this approach is the realization that only discrete particle interactions can create correlations. These therefore come into being on different spatial scales depending on their cluster number, after which collective effects magnify them analogous to the growth of intial perturbations in an unstable system. Truncation of the Born-Bogolyubov-Green-Kirkwood-Yvon ('BBGKY') hierarchy thereby becomes a possibility through the introduction of a small parameter in intial conditions although the dynamical system in itself does not contain a uniformly small parameter

  11. Determination of plasma spot current and arc discharge plasma current on the system of plasma cathode electron sources using Rogowski coil technique

    International Nuclear Information System (INIS)

    Wirjoadi; Bambang Siswanto; Lely Susita RM; Agus Purwadi; Sudjatmoko

    2015-01-01

    It has been done the function test experiments of ignitor electrode system and the plasma generator electrode system to determine the current spot plasma and arc discharge plasma current with Rogowski coil technique. Ignitor electrode system that gets power supply from IDPS system can generate the plasma spot current of 11.68 ampere to the pulse width of about 33 μs, this value is greater than the design probably because of electronic components used in the IDPS system was not as planned. For the plasma generator electrode system that gets power from ADPS system capable of producing an arc discharge plasma current around 103.15 amperes with a pulse width of about 96 μs, and this value as planned. Based on the value of the arc discharge plasma current can be determined plasma electron density, which is about 10.12 10"1"9 electrons/m"3, and with this electron density value, an ignitor electrode system and a plasma generator system is quite good if used as a plasma cathode electron source system. (author)

  12. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  13. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  14. Towards ideal NOx control technology for bio-oils and a gas multi-fuel boiler system using a plasma-chemical hybrid process

    International Nuclear Information System (INIS)

    Fujishima, Hidekatsu; Takekoshi, Kenichi; Kuroki, Tomoyuki; Tanaka, Atsushi; Otsuka, Keiichi; Okubo, Masaaki

    2013-01-01

    Highlights: • A multi-fuel boiler system combined with NO x aftertreatment is developed. • NO x is removed from flue gas by a plasma-chemical hybrid process. • Waste bio-oils are utilized as renewable energy source and for CO 2 reduction. • Ultra low NO x emission less than 2 ppm is achieved. • The boiler system is applicable for industrial use. - Abstract: A super-clean boiler system comprising a multi-fuel boiler and a reactor for plasma-chemical hybrid NO x aftertreatment is developed, and its industrial applications are examined. The purpose of this research is to optimally reduce NO x emission and utilize waste bio-oil as a renewable energy source. First, NO oxidation using indirect plasma at elevated flue gas temperatures is investigated. It is clarified that more than 98% of NO is oxidized when the temperature of the flue gas is less than 130 °C. Three types of waste bio-oils (waste vegetable oil, rice bran oil, and fish oil) are burned in the boiler as fuels with a rotary-type burner for CO 2 reduction considering carbon neutrality. NO x in the flue gases of these bio-oils is effectively reduced by the indirect plasma-chemical hybrid treatment. Ultralow NO x emission less than 2 ppm is achieved for 450 min in the firing of city natural gas fuel. The boiler system can be successfully operated automatically according to unsteady steam demand and using an empirical equation for Na 2 SO 3 supply rate, and can be used in industries as an ideal NO x control technology

  15. On-line experimental data processing system for JIPP T-2

    International Nuclear Information System (INIS)

    Tsuda, Kenzo.

    1978-08-01

    A large computer system has been installed at the Plasma Physics Institute of Nagoya University for data processing in plasma physics experiments. The system is composed of three computers: FACOM M-190 as the host computer; PANAFACOM U-400 as the front computer; and HITAC 10-2 as the front-end computers. This document provides information about the hardware and software structures of this system and the details of subsystem of each software. Also system design, function of each computer, input output processing system, interfaces between the front-end computers (minicomputer), interface with the 32-channel AD converter, and the examples of output are described. (Aoki, K.)

  16. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  17. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  18. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  19. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  20. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  1. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  2. Internal plasma diagnostic with a multichannel magnetic probe system using automatic data acquisition

    International Nuclear Information System (INIS)

    Korten, M.; Carolan, P.G.; Sand, F.; Waelbroeck, F.

    1975-04-01

    A 20-channel magnetic probe system inserted into the plasma is used to measure spatial distributions of poloidal and toroidal magnetic fields in the pulsed toroidal high β-experiment TEE. Plasma parameters, e.g. the β-value, toroidal current density and radial pressure distribution were derived applying static equilibrium theory and can be calculated from the measurements. A data acquisition system used in conjuction with a process computer was operated to obtain the experimental data automatically and to perform the multiple computational tasks. The program system described was built to serve as a first stage of a more common software system applicable for computational data handling for different diagnostics of a plasma physics confinement experiment. (orig.) [de

  3. DAQ system for low density plasma parameters measurement

    International Nuclear Information System (INIS)

    Joshi, Rashmi S.; Gupta, Suryakant B.

    2015-01-01

    In various cases where low density plasmas (number density ranges from 1E4 to 1E6 cm -3 ) exist for example, basic plasma studies or LEO space environment measurement of plasma parameters becomes very critical. Conventional tip (cylindrical) Langmuir probes often result into unstable measurements in such lower density plasma. Due to larger surface area, a spherical Langmuir probe is used to measure such lower plasma densities. Applying a sweep voltage signal to the probe and measuring current values corresponding to these voltages gives V-I characteristics of plasma which can be plotted on a digital storage oscilloscope. This plot is analyzed for calculating various plasma parameters. The aim of this paper is to measure plasma parameters using a spherical Langmuir probe and indigenously developed DAQ system. DAQ system consists of Keithley source-meter and a host system connected by a GPIB interface. An online plasma parameter diagnostic system is developed for measuring plasma properties for non-thermal plasma in vacuum. An algorithm is developed using LabVIEW platform. V-I characteristics of plasma are plotted with respect to different filament current values and different locations of Langmuir probe with reference to plasma source. V-I characteristics is also plotted for forward and reverse voltage sweep generated programmatically from the source meter. (author)

  4. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  5. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  6. Usability styles of the plant safety monitoring and assesment system, PLASMA

    International Nuclear Information System (INIS)

    Green, M.; Hornaes, A.; Hulsund, J.E.; Vegh, J.; Major, Cs.; Lipcsei, S.; Borbely, S.

    2001-01-01

    Development of the PLASMA (Plant Safety Monitoring and Assessment) system was started in 1998 in the framework of an international Research and Development project supported by OECD/NEA. The objective of this project was to develop an on-line information system to support VVER reactor operators during the execution of symptom-based Emergency Operating Procedures (EOPs), with the Paks NPP in Hungary as the target plant. In connection with the installation of the PLASMA system at Paks NPP it was decided to perform a usability study of the system through interviewing NPP operators after they had completed a short training course on the PLASMA system and used it during a validation and training session in the training simulator at Paks. This report describes the basic process used in preparations and execution of the usability studies, including methods for gathering information and analysis of the findings from the validation. As a general conclusion from the usability studies it can be stated that the PLASMA system received very favourable ratings from the operators. User satisfaction was generally rated highly and comments from operators were positive. This is particularly encouraging considering the relatively short introduction and experience that the operators had with the system at the time of the-evaluation. (Author)

  7. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  8. MHD stability analysis of helical system plasmas

    International Nuclear Information System (INIS)

    Nakamura, Yuji

    2000-01-01

    Several topics of the MHD stability studies in helical system plasmas are reviewed with respect to the linear and ideal modes mainly. Difference of the method of the MHD stability analysis in helical system plasmas from that in tokamak plasmas is emphasized. Lack of the cyclic (symmetric) coordinate makes an analysis more difficult. Recent topic about TAE modes in a helical system is also described briefly. (author)

  9. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  10. Data acquisition and real-time signal processing of plasma diagnostics on ASDEX Upgrade using LabVIEW RT

    International Nuclear Information System (INIS)

    Giannone, L.; Cerna, M.; Cole, R.; Fitzek, M.; Kallenbach, A.; Lueddecke, K.; McCarthy, P.J.; Scarabosio, A.; Schneider, W.; Sips, A.C.C.; Treutterer, W.; Vrancic, A.; Wenzel, L.; Yi, H.; Behler, K.; Eich, T.; Eixenberger, H.; Fuchs, J.C.; Haas, G.; Lexa, G.

    2010-01-01

    The existing VxWorks real-time system for the position and shape control in ASDEX Upgrade has been extended to calculate magnetic flux surfaces in real-time using a multi-core PCI Express system running LabVIEW RT 8.6. real-time signal processing of bolometers and manometers is performed with the on-board FPGA to calculate the measured radiated power flux and particle flux respectively from the raw data. Radiation feedback experiments use halo current measurements from the outer divertor with real-time median filter pre-processing to remove the excursions produced by ELMs. Integration of these plasma diagnostics into the control system by the exchange of XML sheets for communicating the real-time variables to be produced and consumed is in operation. Reflective memory and UDP are employed by the LabVIEW RT plasma diagnostics to communicate with the control system and other plasma diagnostics in a multi-platform real-time network.

  11. Data acquisition and real-time signal processing of plasma diagnostics on ASDEX Upgrade using LabVIEW RT

    Energy Technology Data Exchange (ETDEWEB)

    Giannone, L., E-mail: Louis.Giannone@ipp.mpg.d [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Cerna, M. [National Instruments, Austin, TX 78759-3504 (United States); Cole, R.; Fitzek, M. [Unlimited Computer Systems GmbH, 82393 Iffeldorf (Germany); Kallenbach, A. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Lueddecke, K. [Unlimited Computer Systems GmbH, 82393 Iffeldorf (Germany); McCarthy, P.J. [Department of Physics, University College Cork, Association EURATOM-DCU, Cork (Ireland); Scarabosio, A.; Schneider, W.; Sips, A.C.C.; Treutterer, W. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany); Vrancic, A.; Wenzel, L.; Yi, H. [National Instruments, Austin, TX 78759-3504 (United States); Behler, K.; Eich, T.; Eixenberger, H.; Fuchs, J.C.; Haas, G.; Lexa, G. [Max-Planck-Institut fuer Plasmaphysik, EURATOM-IPP Association, D-85748 Garching (Germany)

    2010-07-15

    The existing VxWorks real-time system for the position and shape control in ASDEX Upgrade has been extended to calculate magnetic flux surfaces in real-time using a multi-core PCI Express system running LabVIEW RT 8.6. real-time signal processing of bolometers and manometers is performed with the on-board FPGA to calculate the measured radiated power flux and particle flux respectively from the raw data. Radiation feedback experiments use halo current measurements from the outer divertor with real-time median filter pre-processing to remove the excursions produced by ELMs. Integration of these plasma diagnostics into the control system by the exchange of XML sheets for communicating the real-time variables to be produced and consumed is in operation. Reflective memory and UDP are employed by the LabVIEW RT plasma diagnostics to communicate with the control system and other plasma diagnostics in a multi-platform real-time network.

  12. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  13. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  14. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    discharges, plasma chemistry - particularly in oxygen containing plasmas, modeling of plasmas and plasma surface interactions in complex comprehensive plasma codes, modeling of the development of surface profiles and kinetics of surface collisions, plasma treatment of materials, plasma processing and applications in thin film deposition, nanoscale device production and many other applications. Yet all the papers, one way or the other, address the key issues of the next generation of plasma technologies in the micro and nano electronic industry. The issue of radicals and also of electron molecule collisions is addressed by J Tennyson who presents a guide into using a code for R-matrix calculations of electron-molecule collisions at low and intermediate energies. Related experimental results are presented by T Field who showed recent measurements of electron radical attachment cross sections, by T Märk who discusses electron impact ionization/dissociation of molecules and subsequent production of energetic radicals, and by M Kimura and his coauthors who discuss productions of radicals and ions by electron and photon impacts on CH4. Finally T Maddern and M Brunger share with us the first results from the new very complex system for comprehensive measurements of electron radical cross sections, the first example being CF2. B Marinković mainly focuses on recent results of his group having in mind the data needs for plasma modeling. Collisions at surfaces are addressed by P Tegeder and more specifically she presents here the evolution of negative ion resonances at surfaces. The electron swarm data as projected onto gaseous dielectrics but also having application in plasma processing is covered by J De Urquijo who attempted to answer the question whether CF3I is a better dielectric than SF6. The basic processes leading to the gas breakdown have been addressed by D Marić and Z Lj Petrović who focus on the transition from Townsend to constricted-normal glow regime while trying to

  15. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  16. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  17. Monitoring system for thermal plasma

    International Nuclear Information System (INIS)

    Romero G, M.; Vilchis P, A.E.

    1999-01-01

    In the Thermal plasma applications laboratory it has been the degradation project of oils for isolation in transformers. These are a very hazardous residues and at this time in the country they are stored in metal barrels. It has been the intention to undergo the oils to plasma for degradate them to non-hazardous residues. The system behavior must be monitored to establish the thermal plasma behavior. (Author)

  18. Electro-Catalysis System for Biodiesel Synthesis from Palm Oil over Dielectric-Barrier Discharge Plasma Reactor

    Directory of Open Access Journals (Sweden)

    Istadi Istadi

    2014-07-01

    Full Text Available Biodiesel synthesis reaction routes from palm oil using plasma electro-catalysis process over Dielectric-Barrier Discharge (DBD plasma reactor were studied. The study was focused on finding possible reaction mechanism route during plasma electro-catalysis process. The prediction was performed based on the changes of Gas Chromatography Mass Spectrometer (GC-MS and Fourier Transform Infra Red (FT-IR analyses to the biodiesel products with respect to time length of plasma treatment. It was found that main reaction mechanism occurred in the plasma electro-catalysis system was non-thermal pyrolysis rather than transesterification. The main reactions within the plasma treatment were due to collision between high energetic electrons (supplied from high voltage power supply through high voltage electrode and the reaction mixtures. The high energetic electrons affected the electrons pair of covalent bonding to be excited or dissociated even ionized at higher energy. Therefore, this plasma electro-catalysis system was promising for biodiesel synthesis from vegetable oils due to only very short time reaction was needed, even no need a catalyst, no soap formation, and no glycerol by-product. This system could produce fatty acid methyl ester yield of 75.65% at 120 seconds and other possible chemicals, such as alkynes, alkanes, esters, carboxylic acid, and aldehydes. However, during the plasma process, the reaction mechanisms were still difficult to be controlled due the action of available high energetic electrons. The advanced studies on how to control the reaction mechanism selectively in the plasma electro-catalysis will be published elsewhere. © 2014 BCREC UNDIP. All rights reservedReceived: 23rd January 2014; Revised: 20th March 2014; Accepted: 23rd March 2014[How to Cite: Istadi, I., Yudhistira, A.D., Anggoro, D.D., Buchori, L. (2014. Electro-Catalysis System for Biodiesel Synthesis from Palm Oil over Dielectric-Barrier Discharge Plasma Reactor

  19. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  20. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  1. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  2. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  3. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  4. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    International Nuclear Information System (INIS)

    Aleksandrov, A. F.; Petrov, A. K.; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B.; Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya.

    2016-01-01

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  5. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, A. F.; Petrov, A. K., E-mail: alpetrov57@gmail.com; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B. [Moscow State University, Faculty of Physics (Russian Federation); Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya. [Research Institute of Precision Engineering (Russian Federation)

    2016-03-15

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  6. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  7. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  8. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  9. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  10. Observations and Simulations of Formation of Broad Plasma Depletions Through Merging Process

    Science.gov (United States)

    Huang, Chao-Song; Retterer, J. M.; Beaujardiere, O. De La; Roddy, P. A.; Hunton, D.E.; Ballenthin, J. O.; Pfaff, Robert F.

    2012-01-01

    Broad plasma depletions in the equatorial ionosphere near dawn are region in which the plasma density is reduced by 1-3 orders of magnitude over thousands of kilometers in longitude. This phenomenon is observed repeatedly by the Communication/Navigation Outage Forecasting System (C/NOFS) satellite during deep solar minimum. The plasma flow inside the depletion region can be strongly upward. The possible causal mechanism for the formation of broad plasma depletions is that the broad depletions result from merging of multiple equatorial plasma bubbles. The purpose of this study is to demonstrate the feasibility of the merging mechanism with new observations and simulations. We present C/NOFS observations for two cases. A series of plasma bubbles is first detected by C/NOFS over a longitudinal range of 3300-3800 km around midnight. Each of the individual bubbles has a typical width of approx 100 km in longitude, and the upward ion drift velocity inside the bubbles is 200-400 m/s. The plasma bubbles rotate with the Earth to the dawn sector and become broad plasma depletions. The observations clearly show the evolution from multiple plasma bubbles to broad depletions. Large upward plasma flow occurs inside the depletion region over 3800 km in longitude and exists for approx 5 h. We also present the numerical simulations of bubble merging with the physics-based low-latitude ionospheric model. It is found that two separate plasma bubbles join together and form a single, wider bubble. The simulations show that the merging process of plasma bubbles can indeed occur in incompressible ionospheric plasma. The simulation results support the merging mechanism for the formation of broad plasma depletions.

  11. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  12. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  13. Railgun system using a laser-induced plasma armature

    International Nuclear Information System (INIS)

    Onozuka, M.; Oda, Y.; Azuma, K.

    1996-01-01

    Development of an electromagnetic railgun system that utilizes a laser-induced plasma armature formation has been conducted to investigate the application of the railgun system for high-speed pellet injection into fusion plasmas. Using the laser-induced plasma formation technique, the required breakdown voltage was reduced by one-tenth compared with that for the spark-discharged plasma. The railgun system successfully accelerated the laser-induced plasma armature by an electromagnetic force that accelerated the pellet. The highest velocity of the solid hydrogen pellets, obtained so far, was 2.6 km/sec using a 2m-long railgun. copyright 1996 American Institute of Physics

  14. Railgun system using a laser-induced plasma armature

    Science.gov (United States)

    Onozuka, Masanori; Oda, Yasushi; Azuma, Kingo

    1996-05-01

    Development of an electromagnetic railgun system that utilizes a laser-induced plasma armature formation has been conducted to investigate the application of the railgun system for high-speed pellet injection into fusion plasmas. Using the laser-induced plasma formation technique, the required breakdown voltage was reduced by one-tenth compared with that for the spark-discharged plasma. The railgun system successfully accelerated the laser-induced plasma armature by an electromagnetic force that accelerated the pellet. The highest velocity of the solid hydrogen pellets, obtained so far, was 2.6 km/sec using a 2m-long railgun.

  15. Performance analyses of Elmo Bumpy Torus plasmas and plasma support systems

    International Nuclear Information System (INIS)

    Fenstermacher, M.E.

    1979-01-01

    The development and applcation of the OASIS Code (Operational Analysis of ELMO Bumpy Torus Support and Ignition Systems) for the study of EBT device and plasma performance are presented. The code performs a time-independent, zero-dimensional self-consistent calculation of plasma and plasmasupport systems parameters for the physics and engineering of EBT devices. The features of OASIS modeling for the EBT plasma include: (1) particle balance of the bulk toroidal and electron ring plasma components for experimental (H-H, D-D, He-He etc.) as well as reactor (D-T) devices; (2) energy balance in the bulk and ring plasmas for externally heated or ignition devices; (3) alpha particle effects for reactor devices; (4) auxiliary heating effects, including microwave (ECRH), RF heating (e.g., ICRH), and neutral beam methods; and (5) ignition conditions, including fusion power, alpha power and neutron wall loading. The performance studies using OASIS focussed on variation in plasma and device size and on microwave input power and frequency. An additional study was performed to determine the characteristics of an EBT reactor proof-of-principle device operated with a deuterium-tritium plasma. Sensitivity studies were performed for variation in the input microwave power sharing fractions and the dependence of the bulk n tau scaling law on bulk electron temperature

  16. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  17. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  18. Investigation and optimisation of a plasma cathode electron beam gun for material processing applications

    OpenAIRE

    Del Pozo Rodriguez, Sofia

    2016-01-01

    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University London. This thesis describes design, development and testing work on a plasma cathode electron beam gun as well as plasma diagnosis experiments and Electron Beam (EB) current measurements carried out with the aim of maximising the power of the EB extracted and optimising the electron beam gun system for material processing applications. The elements which influence EB gun design are described...

  19. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  20. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  2. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  3. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  4. Development of the plasma movie database system in JT-60

    International Nuclear Information System (INIS)

    Sueoka, Michiharu; Kawamata, Yoichi; Kurihara, Kenichi; Seki, Akiyuki

    2008-03-01

    A plasma movie is generally expected as one of the most efficient methods to know what plasma discharge has been conducted in the experiment. The JT-60 plasma movie is composed of video camera picture looking at a plasma, computer graphics (CG) picture, and magnetic probe signal as a sound channel. In order to use this movie efficiently, we have developed a new system having the following functions: (a) To store a plasma movie in the movie database system automatically combined with the plasma shape CG and the sound according to a discharge sequence. (b) To make a plasma movie is available (downloadable) for experiment data analyses at the Web-site. Especially, this system aimed at minimizing the development cost, and it tried to develop the real-time plasma shape visualization system (RVS) without any operating system (OS) customized for real-time use. As a result, this system succeeded in working under Windows XP. This report deals with the technical details of the plasma movie database system and the real-time plasma shape visualization system. (author)

  5. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  6. Nippon paint atmospheric plasma system

    International Nuclear Information System (INIS)

    Tsuchiya, Y.; Akutsu, K.

    1996-01-01

    An invitational plasma systems which are able to generate the wide and stable plasma (discharge distance 30 cm length, discharge electrode length max. 16 m) under normal air and pressure by using and narrow wave-form of pulse voltage has been developed. Its technical outline and some applied examples are reported

  7. Data processing system for spectroscopy at Novillo Tokamak

    International Nuclear Information System (INIS)

    Ortega C, G.; Gaytan G, E.

    1998-01-01

    Taking as basis some proposed methodologies by software engineering it was designed and developed a data processing system coming from the diagnostic equipment by spectroscopy, for the study of plasma impurities, during the cleaning discharges. the data acquisition is realized through an electronic interface which communicates the computer with the spectroscopy system of Novillo Tokamak. The data were obtained starting from files type text and processed for their subsequently graphic presentation. For development of this system named PRODATN (Processing of Data for Spectroscopy in Novillo Tokamak) was used the LabVIEW graphic programming language. (Author)

  8. Railgun system using a laser-induced plasma armature

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M.; Oda, Y.; Azuma, K. [Mitsubishi Heavy Industries, Ltd., 3-3-1, Minatomirai, Nishi-ku, Yokohama 220-84 (Japan)

    1996-05-01

    Development of an electromagnetic railgun system that utilizes a laser-induced plasma armature formation has been conducted to investigate the application of the railgun system for high-speed pellet injection into fusion plasmas. Using the laser-induced plasma formation technique, the required breakdown voltage was reduced by one-tenth compared with that for the spark-discharged plasma. The railgun system successfully accelerated the laser-induced plasma armature by an electromagnetic force that accelerated the pellet. The highest velocity of the solid hydrogen pellets, obtained so far, was 2.6 km/sec using a 2m-long railgun. {copyright} {ital 1996 American Institute of Physics.}

  9. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  10. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  11. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  12. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  13. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  14. Recent progress in solution plasma-synthesized-carbon-supported catalysts for energy conversion systems

    Science.gov (United States)

    Lun Li, Oi; Lee, Hoonseung; Ishizaki, Takahiro

    2018-01-01

    Carbon-based materials have been widely utilized as the electrode materials in energy conversion and storage technologies, such as fuel cells and metal-air batteries. In these systems, the oxygen reduction reaction is an important step that determines the overall performance. A novel synthesis route, named the solution plasma process, has been recently utilized to synthesize various types of metal-based and heteroatom-doped carbon catalysts. In this review, we summarize cutting-edge technologies involving the synthesis and modeling of carbon-supported catalysts synthesized via solution plasma process, followed by current progress on the electrocatalytic performance of these catalysts. This review provides the fundamental and state-of-the-art performance of solution-plasma-synthesized electrode materials, as well as the remaining scientific and technological challenges for this process.

  15. System and process for dissolution of solids

    Science.gov (United States)

    Liezers, Martin; Farmer, III, Orville T.

    2017-10-10

    A system and process are disclosed for dissolution of solids and "difficult-to-dissolve" solids. A solid sample may be ablated in an ablation device to generate nanoscale particles. Nanoparticles may then swept into a coupled plasma device operating at atmospheric pressure where the solid nanoparticles are atomized. The plasma exhaust may be delivered directly into an aqueous fluid to form a solution containing the atomized and dissolved solids. The composition of the resulting solution reflects the composition of the original solid sample.

  16. Parametric instabilities in an electron beam plasma system

    International Nuclear Information System (INIS)

    Nakach, R.; Cuperman, S.; Gell, Y.; Levush, B.

    1981-01-01

    The excitation of low frequency parametric instabilities by a finite wave length pump in a system consisting of a warm electron plasma traversed by a warm electron beam is investigated in a fluid dissipationless model. The dispersion relation for the three-dimensional problem in a magnetized plasma with arbitrary directions for the waves is derived, and the one-dimensional case is analyzed numerically. For the one-dimensional back-scattering decay process, it is found that when the plasma-electron Debye length (lambda sub(D)sup(p)) is larger than the beam-electron Debye length (lambda sub(D)sup(b)), two low frequency electrostatic instability branches with different growth rates may simultaneously exist. When lambda sub(D)sup(p) approximately lambda sub(D)sup(b), the large growth rate instability found in the analysis depends strongly on the amplitude of the pump field. In the case (lambda sub(D)sup(p) < lambda sub(D)sup(b)) only one low frequency instability branch is generally excited

  17. FY 1998 annual report on the development of plasma-aided surface treatment processes by in-situ controlling (second year); 1997 nendo in-situ seigyo ni yoru plasma riyo hyohi shori process no kaihatsu seika hokokusho (dai 2 nendo)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    This R and D project is aimed at stable production of high-quality, important machine members, which are difficult to sufficiently achieve the required properties by a single material, by carburization while minimizing use of expensive alloy metals, where high-temperature carburizing time is reduced by a plasma-aided system to save energy, and, at the same time, the conventional oil-hardening system is replaced by a He gas cooling/recycling system to solve the environmental problems involved in the former. The exhaust gases released from the plasma-aided system are adequately treated to prevent the problems caused thereby. The conditions of the plasma itself and treated surfaces are sensed in-situ, and the data are fed back to the process controlling system, to keep the treated object stable and high in quality, while minimizing energy consumption. The FY 1998 efforts were directed to studies on methods for sensing the plasma and treated surfaces, and specifications of a mini-plant for the demonstration tests, and to collection of characteristic data for development of some new products to be produced. (NEDO)

  18. An advanced plasma control system for Tore Supra

    International Nuclear Information System (INIS)

    Wijnands, T.; Martin, G.

    1996-01-01

    First results on plasma control with the new plasma control system of Tore Supra are presented. The system has been especially designed for long pulse operation: plasmas are controlled on reference signals, which can be varied in real time by using diagnostic measurements. On line determination of the global plasma equilibrium has enabled new operation scenarios in which both the power from the poloidal field generators and the total Lower Hybrid (LH) power are used to control the plasma. Experiments with feedback control of the safety factor on the plasma boundary, control of the LH driven current, control of the flux on the plasma boundary and control of the internal inductance are discussed. (author)

  19. An advanced plasma control system for Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Wijnands, T.; Martin, G.

    1996-01-01

    First results on plasma control with the new plasma control system of Tore Supra are presented. The system has been especially designed for long pulse operation: plasmas are controlled on reference signals, which can be varied in real time by using diagnostic measurements. On line determination of the global plasma equilibrium has enabled new operation scenarios in which both the power from the poloidal field generators and the total Lower Hybrid (LH) power are used to control the plasma. Experiments with feedback control of the safety factor on the plasma boundary, control of the LH driven current, control of the flux on the plasma boundary and control of the internal inductance are discussed. (author). 12 refs.

  20. Surface Alloying of SUS 321 Chromium-Nickel Steel by an Electron-Plasma Process

    Science.gov (United States)

    Ivanov, Yu. F.; Teresov, A. D.; Petrikova, E. A.; Krysina, O. V.; Ivanova, O. V.; Shugurov, V. V.; Moskvin, P. V.

    2017-07-01

    The mechanisms of forming nanostructured, nanophase layers are revealed and analyzed in austenitic steel subjected to surface alloying using an electron-plasma process. Nanostructured, nanophase layers up to 30 μm in thickness were formed by melting of the film/substrate system with an electron beam generated by a SOLO facility (Institute of High Current Electronics, SB RAS), Tomsk), which ensured crystallization and subsequent quenching at the cooling rates within the range 105-108 K/s. The surface was modified with structural stainless steel specimens (SUS 321 steel). The film/substrate system (film thickness 0.5 μm) was formed by a plasma-assisted vacuum-arc process by evaporating a cathode made from a sintered pseudoalloy of the following composition: Zr - 6 at.% Ti - 6 at.% Cu. The film deposition was performed in a QUINTA facility equipped with a PINK hot-cathode plasma source and DI-100 arc evaporators with accelerated cooling of the process cathode, which allowed reducing the size and fraction of the droplet phase in the deposited film. It is found that melting of the film/substrate system (Zr-Ti-Cu)/(SUS 321 steel) using a high-intensity pulsed electron beam followed by the high-rate crystallization is accompanied by the formation of α-iron cellular crystallization structure and precipitation of Cr2Zr, Cr3C2 and TiC particles on the cell boundaries, which as a whole allowed increasing microhardness by a factor of 1.3, Young's modulus - by a factor of 1.2, wear resistance - by a factor of 2.7, while achieving a three-fold reduction in the friction coefficient.

  1. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  2. Monitoring system for thermal plasma; Sistema de monitoreo para plasma termico

    Energy Technology Data Exchange (ETDEWEB)

    Romero G, M.; Vilchis P, A.E. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1999-07-01

    In the Thermal plasma applications laboratory it has been the degradation project of oils for isolation in transformers. These are a very hazardous residues and at this time in the country they are stored in metal barrels. It has been the intention to undergo the oils to plasma for degradate them to non-hazardous residues. The system behavior must be monitored to establish the thermal plasma behavior. (Author)

  3. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  4. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  5. Differential neutrino rates and emissivities from the plasma process in astrophysical systems

    International Nuclear Information System (INIS)

    Ratkovic, Sasa; Iyer Dutta, Sharada; Prakash, Madappa

    2003-01-01

    The differential rates and emissivities of neutrino pairs from an equilibrium plasma are calculated for the wide range of density and temperature encountered in astrophysical systems. New analytical expressions are derived for the differential emissivities which yield total emissivities in full agreement with those previously calculated. The photon and plasmon pair production and absorption kernels in the source term of the Boltzmann equation for neutrino transport are provided. The appropriate Legendre coefficients of these kernels, in forms suitable for multi-group flux-limited diffusion schemes are also computed

  6. MFTF plasma diagnostics data acquisition system

    International Nuclear Information System (INIS)

    Davis, G.E.; Coffield, F.E.

    1979-01-01

    The initial goal of the Data Acquisition System (DAS) is to control 11 instruments chosen as the startup diagnostic set and to collect, process, and display the data that these instruments produce. These instruments are described in a paper by Stan Thomas, et. al. entitled ''MFTF Plasma Diagnostics System.'' The DAS must be modular and flexible enough to allow upgrades in the quantity of data taken by an instrument, and also to allow new instruments to be added to the system. This is particularly necessary to support a research project where needs and requirements may change rapidly as a result of experimental findings. Typically, the startup configuration of the diagnostic instruments will contain only a fraction of the planned detectors, and produce approximately one half the data that the expanded version is designed to generate. Expansion of the system will occur in fiscal year 1982

  7. Remote network control plasma diagnostic system for Tokamak T-10

    International Nuclear Information System (INIS)

    Troynov, V I; Zimin, A M; Krupin, V A; Notkin, G E; Nurgaliev, M R

    2016-01-01

    The parameters of molecular plasma in closed magnetic trap is studied in this paper. Using the system of molecular diagnostics, which was designed by the authors on the «Tokamak T-10» facility, the radiation of hydrogen isotopes at the plasma edge is investigated. The scheme of optical radiation registration within visible spectrum is described. For visualization, identification and processing of registered molecular spectra a new software is developed using MatLab environment. The software also includes electronic atlas of electronic-vibrational-rotational transitions for molecules of protium and deuterium. To register radiation from limiter cross-section a network control system is designed using the means of the Internet/Intranet. Remote control system diagram and methods are given. The examples of web-interfaces for working out equipment control scenarios and viewing of results are provided. After test run in Intranet, the remote diagnostic system will be accessible through Internet. (paper)

  8. Soft x-ray imaging system for measurement of noncircular tokamak plasmas

    International Nuclear Information System (INIS)

    Fonck, R.J.; Reusch, M.; Jaehnig, K.P.; Hulse, R.; Roney, P.

    1986-08-01

    A soft x-ray camera and image processing system has been constructed to provide measurements of the internal shape of high temperature tokamak plasmas. The camera consists of a metallic-foil-filtered pinhole aperture and a microchannel plate image intensifier/convertor which produces a visible image for detection by a CCD TV camera. A wide-angle tangential view of the toroidal plasma allows a single compact camera to view the entire plasma cross section. With Be filters 12 to 50 μm thick, the signal from the microchannel plate is produced mostly by nickel L-line emissions which orignate in the hot plasma core. The measured toroidal image is numerically inverted to produce a cross-sectional soft x-ray image of the plasma. Since the internal magnetic flux surfaces are usually isothermal and the nickel emissivity depends strongly on the local electron temperature, the x-ray emission contours reflect the shape of the magnetic surfaces in the plasma interior. Initial results from the PBX tokamak experiment show clear differences in internal plasma shapes for circular and bean-shaped discharges

  9. Sustainability of a public system for plasma collection, contract fractionation and plasma-derived medicinal product manufacturing.

    Science.gov (United States)

    Grazzini, Giuliano; Ceccarelli, Anna; Calteri, Deanna; Catalano, Liviana; Calizzani, Gabriele; Cicchetti, Americo

    2013-09-01

    In Italy, the financial reimbursement for labile blood components exchanged between Regions is regulated by national tariffs defined in 1991 and updated in 1993-2003. Over the last five years, the need for establishing standard costs of healthcare services has arisen critically. In this perspective, the present study is aimed at defining both the costs of production of blood components and the related prices, as well as the prices of plasma-derived medicinal products obtained by national plasma, to be used for interregional financial reimbursement. In order to analyse the costs of production of blood components, 12 out 318 blood establishments were selected in 8 Italian Regions. For each step of the production process, driving costs were identified and production costs were. To define the costs of plasma-derived medicinal products obtained by national plasma, industrial costs currently sustained by National Health Service for contract fractionation were taken into account. The production costs of plasma-derived medicinal products obtained from national plasma showed a huge variability among blood establishments, which was much lower after standardization. The new suggested plasma tariffs were quite similar to those currently in force. Comparing the overall costs theoretically sustained by the National Health Service for plasma-derived medicinal products obtained from national plasma to current commercial costs, demonstrates that the national blood system could gain a 10% cost saving if it were able to produce plasma for fractionation within the standard costs defined in this study. Achieving national self-sufficiency through the production of plasma-derived medicinal products from national plasma, is a strategic goal of the National Health Service which must comply not only with quality, safety and availability requirements but also with the increasingly pressing need for economic sustainability.

  10. Atmospheric pressure microwave plasma system with ring waveguide

    International Nuclear Information System (INIS)

    Liu Liang; Zhang Guixin; Zhu Zhijie; Luo Chengmu

    2007-01-01

    Some scientists used waveguide as the cavity to produce a plasma jet, while large volume microwave plasma was relatively hard to get in atmospheric pressure. However, a few research institutes have already developed devices to generate large volume of atmospheric pressure microwave plasma, such as CYRANNUS and SLAN series, which can be widely applied. In this paper, present a microwave plasma system with ring waveguide to excite large volume of atmospheric pressure microwave plasma, plot curves on theoretical disruption electric field of some working gases, emulate the cavity through software, measure the power density to validate and show the appearance of microwave plasma. At present, large volume of argon and helium plasma have already been generated steadily by atmospheric pressure microwave plasma system. This research can build a theoretical basis of microwave plasma excitation under atmospheric pressure and will be useful in study of the device. (authors)

  11. Plasma-liquid system with rotational gliding discharge with liquid electrode

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Solomenko, O.V; Martysh, E.V.; Fedirchuk, I.I.

    2014-01-01

    Plasma-liquid system based on rotational gliding discharge with one liquid electrode was developed. Emission spectra of plasma of rotational gliding discharge with one liquid electrode were investigated. Discovered effective mechanism of controlling non-isothermal level of plasma in dynamic plasma-liquid systems. Major mechanism of expulsion of metal anode material from plasma-liquid systems with rotational discharges was shown.

  12. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  13. Contributions regarding calculus and design of an ultrasonic system used in plasma metallization

    Science.gov (United States)

    Amza, G.

    2015-11-01

    Paper presents the calculus elements and construction for the ultrasonic system used in reconditioning process by metallization. A series of necessary elements used in ultrasonic system dimensioning are given and an ultrasonic system used in reconditioning process by plasma and grain metallization are presented. Also, a calculated ultrasonic system is modelled to work in resonance regime at the frequency f = 22Khz. Stress map inside material, internal energy variation, lost energy variation on length and volume unit are presented.

  14. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  15. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  16. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  17. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  18. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  19. JACoW Safety instrumented systems and the AWAKE plasma control as a use case

    CERN Document Server

    Blanco Viñuela, Enrique; Fernández Adiego, Borja; Speroni, Roberto

    2018-01-01

    Safety is likely the most critical concern in many process industries, yet there is a general uncertainty on the proper engineering to reduce the risks and ensure the safety of persons or material at the same time as providing the process control system. Some of the reasons for this misperception are unclear requirements, lack of functional safety engineering knowledge or incorrect protection functionalities attributed to the BPCS (Basic Process Control System). Occasionally the control engineers are not aware of the hazards inherent to an industrial process and this causes an incorrect design of the overall controls. This paper illustrates the engineering of the SIS (Safety Instrumented System) and the BPCS of the plasma vapour controls of the AWAKE R&D; project, the first proton-driven plasma wakefield acceleration experiment in the world. The controls design and implementation refers to the IEC61511/ISA84 standard, including technological choices, design, operation and maintenance. Finally, the publica...

  20. MTX [Microwave Tokamak Experiment] plasma diagnostic system

    International Nuclear Information System (INIS)

    Rice, B.W.; Hooper, E.B.; Brooksby, C.A.

    1987-01-01

    In this paper, a general overview of the MTX plasma diagnostics system is given. This includes a description of the MTX machine configuration and the overall facility layout. The data acquisition system and techniques for diagnostic signal transmission are also discussed. In addition, the diagnostic instruments planned for both an initial ohmic-heating set and a second FEL-heating set are described. The expected range of plasma parameters along with the planned plasma measurements will be reviewed. 7 refs., 5 figs

  1. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  2. Mass and energy analysis of the ions in a plasma flood system

    International Nuclear Information System (INIS)

    Wooding, A.C.; Armour, D.G.; Berg, J.A. van den; Holmes, A.J.T.; Burgess, C.; Goldberg, R.D.

    2005-01-01

    Plasma flood systems, capable of providing a copious supply of electrons are used in ion implanters to control wafer charging and provide effective space charge neutralisation of the ion beam in the post-analysis/post-deceleration section of the beamline. Under appropriate conditions the plasma from the flood system interacts with the ion beam and this bridging leads to an enhanced beam transport efficiency in the final critical stage of the beamline. The effectiveness of this process depends on the properties of the plasma emanating from the system. In this study, a plasma analyser comprising a double hemi-spherical electrostatic energy analyser and a quadrupole mass spectrometer, was used to measure the energy distributions of all the ion species leaving a magnetically confined argon plasma, generated in the discharge chamber of a conventional flood neutraliser. The energy distributions extended to surprisingly high energies and the peak structures depended strongly on discharge voltage, discharge current and gas pressure. The nature of these dependencies was complex with both the pressure and arc current affecting the way in which the ion energy distributions depended on arc voltage. In all cases, multiply charged ions played a significant role in determining the nature of the ion energy distributions

  3. Mass and energy analysis of the ions in a plasma flood system

    Energy Technology Data Exchange (ETDEWEB)

    Wooding, A.C. [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Berg, J.A. van den [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: j.a.vandenberg@salford.ac.uk; Holmes, A.J.T. [Marcham Scientific, Hungerford, Berks RG17 0LH (United Kingdom); Burgess, C. [Applied Materials UK Ltd., Foundry Lane, Horsham, West Sussex RH13 5PX (United Kingdom); Goldberg, R.D. [Applied Materials UK Ltd., Foundry Lane, Horsham, West Sussex RH13 5PX (United Kingdom)

    2005-08-01

    Plasma flood systems, capable of providing a copious supply of electrons are used in ion implanters to control wafer charging and provide effective space charge neutralisation of the ion beam in the post-analysis/post-deceleration section of the beamline. Under appropriate conditions the plasma from the flood system interacts with the ion beam and this bridging leads to an enhanced beam transport efficiency in the final critical stage of the beamline. The effectiveness of this process depends on the properties of the plasma emanating from the system. In this study, a plasma analyser comprising a double hemi-spherical electrostatic energy analyser and a quadrupole mass spectrometer, was used to measure the energy distributions of all the ion species leaving a magnetically confined argon plasma, generated in the discharge chamber of a conventional flood neutraliser. The energy distributions extended to surprisingly high energies and the peak structures depended strongly on discharge voltage, discharge current and gas pressure. The nature of these dependencies was complex with both the pressure and arc current affecting the way in which the ion energy distributions depended on arc voltage. In all cases, multiply charged ions played a significant role in determining the nature of the ion energy distributions.

  4. New DIII-D tokamak plasma control system

    International Nuclear Information System (INIS)

    Campbell, G.L.; Ferron, J.R.; McKee, E.; Nerem, A.; Smith, T.; Greenfield, C.M.; Pinsker, R.I.; Lazarus, E.A.

    1992-09-01

    A state-of-the-art plasma control system has been constructed for use on the DIII-D tokamak to provide high speed real time data acquisition and feedback control of DIII-D plasma parameters. This new system has increased the precision to which discharge shape and position parameters can be maintained and has provided the means to rapidly change from one plasma configuration to another. The capability to control the plasma total energy and the ICRF antenna loading resistance has been demonstrated. The speed and accuracy of this digital system will allow control of the current drive and heating systems in order to regulate the current and pressure profiles and diverter power deposition in the DIII-D machine. Use of this system will allow the machine and power supplies to be better protected from undesirable operating regimes. The advanced control system is also suitable for control algorithm development for future machines in these areas and others such as disruption avoidance. The DIII-D tokamak facility is operated for the US Department of Energy by General Atomics Company (GA) in San Diego, California. The DIII-D experimental program will increase emphasis on rf heating and current drive in the near future and is installing a cryopumped divertor ring during the fall of 1992. To improve the flexibility of this machine for these experiments, the new shape control system was implemented. The new advanced plasma control system has enhanced the capabilities of the DIII-D machine and provides a data acquisition and control platform that promises to be useful far beyond its original charter

  5. Development of the Plasma Movie Database System for JT-60

    International Nuclear Information System (INIS)

    Sueoka, M.; Kawamata, Y.; Kurihara, K.

    2006-01-01

    A plasma movie is generally expected as one of the most efficient methods to know what plasma discharge has been conducted in the experiment. On this motivation we have developed and operated a real-time plasma shape visualization system over ten years. The current plasma movie is composed of (1) video camera picture looking at a plasma, (2) computer graphic (CG) picture, and (3) magnetic probe signal as a sound channel. (1) The plasma video movie is provided by a standard video camera, equipped at the viewing port of the vacuum vessel looking at a plasma poloidal cross section. (2) A plasma shape CG movie is provided by the plasma shape visualization system, which calculates the plasma shape in real-time using the CCS method [Kurihara, K., Fusion Engineering and Design, 51-52, 1049 (2000)]. Thirty snap-shot pictures per second are drawn by the graphic processor. (3) A sound in the movie is a raw signal of magnetic pick up coil. This sound reflects plasma rotation frequency which shows smooth high tone sound seems to mean a good plasma. In order to use this movie efficiently, we have developed a new system having the following functions: (a) To store a plasma movie in the movie database system automatically combined with the plasma shape CG and the sound according to a discharge sequence. (b) To make a plasma movie be available (downloadable) for experiment data analyses at the Web-site. The plasma movie capture system receives the timing signal according to the JT-60 discharge sequence, and starts to record a plasma movie automatically. The movie is stored in a format of MPEG2 in the RAID-disk. In addition, the plasma movie capture system transfers a movie file in a MPEG4 format to the plasma movie web-server at the same time. In response to the user's request the plasma movie web-server transfers a stored movie data immediately. The movie data amount for the MPEG2 format is about 50 Mbyte/shot (65 s discharge), and that for the MPEG4 format is about 7 Mbyte

  6. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  7. Overview of the data acquisition and control system for plasma diagnostics on MFTF-B

    International Nuclear Information System (INIS)

    Wyman, R.H.; Deadrick, F.J.; Lau, N.H.; Nelson, B.C.; Preckshot, G.G.; Throop, A.L.

    1983-01-01

    For MFTF-B, the plasma diagnostics system is expected to grow from a collection of 12 types of diagnostic instruments, initially producing about 1 Megabyte of data per shot, to an expanded set of 22 diagnostics producing about 8 Megabytes of data per shot. To control these diagnostics and acquire and process the data, a system design has been developed which uses an architecture similar to the supervisory/local-control computer system which is used to control other MFTF-B subsystems. This paper presents an overview of the hardware and software that will control and acquire data from the plasma diagnostics system. Data flow paths from the instruments, through processing, and into final archived storage will be described. A discussion of anticipated data rates, including anticipated software overhead at various points of the system, is included, along with the identification of possible bottlenecks. A methodology for processing of the data is described, along with the approach to handle the planned growth in the diagnostic system. Motivations are presented for various design choices which have been made

  8. System for the production of plasma

    International Nuclear Information System (INIS)

    Bakken, G.S.

    1978-01-01

    The present invention provides a system for the production of a plasma by concentrating and focusing a laser beam on the plasma-forming material with a light focusing member which comprises a parabolic axicon in conjunction with a coaxial conical mirror. The apex of the conical mirror faces away from the focus of the parabolic axicon such that the conical mirror serves to produce a virtual line source along the axis of the cone. Consequently, irradiation from a laser parallel to the axis toward the apex of the conical mirror will be concentrated at the focus of the parabolic axicon, impinging upon the plasma-forming material there introduced to produce a plasma. The system is adaptable to irradiation of a target pellet introduced at the focus of the parabolic axicon and offers an advantage in that the target pellet can be irradiated with a high degree of radial and spherical symmetry

  9. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  10. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  11. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  12. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  13. System to continuously produce carbon fiber via microwave assisted plasma processing

    Science.gov (United States)

    White, Terry L; Paulauskas, Felix L; Bigelow, Timothy S

    2014-03-25

    A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.

  14. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  15. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  16. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  17. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  18. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  19. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  20. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  1. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  2. Observation of bifurcation phenomena in an electron beam plasma system

    International Nuclear Information System (INIS)

    Hayashi, N.; Tanaka, M.; Shinohara, S.; Kawai, Y.

    1995-01-01

    When an electron beam is injected into a plasma, unstable waves are excited spontaneously near the electron plasma frequency f pe by the electron beam plasma instability. The experiment on subharmonics in an electron beam plasma system was performed with a glow discharge tube. The bifurcation of unstable waves with the electron plasma frequency f pe and 1/2 f pe was observed using a double-plasma device. Furthermore, the period doubling route to chaos around the ion plasma frequency in an electron beam plasma system was reported. However, the physical mechanism of bifurcation phenomena in an electron beam plasma system has not been clarified so far. We have studied nonlinear behaviors of the electron beam plasma instability. It was found that there are some cases: the fundamental unstable waves and subharmonics of 2 period are excited by the electron beam plasma instability, the fundamental unstable waves and subharmonics of 3 period are excited. In this paper, we measured the energy distribution functions of electrons and the dispersion relation of test waves in order to examine the physical mechanism of bifurcation phenomena in an electron beam plasma system

  3. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  4. High-power microwave transmission and launching systems for fusion plasma heating systems

    International Nuclear Information System (INIS)

    Bigelow, T.S.

    1989-01-01

    Microwave power in the 30- to 300-GHz frequency range is becoming widely used for heating of plasma in present-day fusion energy magnetic confinement experiments. Microwave power is effective in ionizing plasma and heating electrons through the electron cyclotron heating (ECH) process. Since the power is absorbed in regions of the magnetic field where resonance occurs and launching antennas with narrow beam widths are possible, power deposition location can be highly controlled. This is important for maximizing the power utilization efficiency and improving plasma parameters. Development of the gyrotron oscillator tube has advanced in recent years so that a 1-MW continuous-wave, 140-GHz power source will soon be available. Gyrotron output power is typically in a circular waveguide propagating a circular electric mode (such as TE 0,2 ) or a whispering-gallery mode (such as TE 15,2 ), depending on frequency and power level. An alternative high-power microwave source currently under development is the free-electron laser (FEL), which may be capable of generating 2-10 MW of average power at frequencies of up to 500 GHz. The FEL has a rectangular output waveguide carrying the TE 0,1 mode. Because of its higher complexity and cost, the high-average-power FEL is not yet as extensively developed as the gyrotron. In this paper, several types of operating ECH transmission systems are discussed, as well systems currently being developed. The trend in this area is toward higher power and frequency due to the improvements in plasma density and temperature possible. Every system requires a variety of components, such as mode converters, waveguide bends, launchers, and directional couplers. Some of these components are discussed here, along with ongoing work to improve their performance. 8 refs

  5. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  6. Proceedings of the international symposium on environmental technologies: Plasma systems and applications. Volume 1

    International Nuclear Information System (INIS)

    Mayne, P.W.; Mulholland, J.A.

    1995-01-01

    Plasma technology is an extremely versatile and powerful means of obtaining very high temperatures that can be used in a variety of environmental situations. Since most types of waste products and contaminants can be treated effectively and efficiently, plasma systems have been developed to address the disposal and annihilation domestic of medical, hazardous, radioactive, military, and miscellaneous wastes. Plasma technologies can also be implemented to recycle and recover usable materials from metallic wastes. The International Symposium on Environmental Technologies: Plasma Systems and Applications was held at the Omni Hotel in Atlanta, Georgia on October 8--12, 1995 to bring together a large group of technical experts working on the use of plasma for solving environmental problems. The Symposium is a sequel to the 1994 Metatechnies Conference on Stabilization and Volarization of Ultimate Waste by Plasma Processes that was held in September of 1994 at Bordeaux Lac, France. The proceedings to this second international conference contain the written contributions from eleven sessions and are published in two volumes. A total of 65 papers address the use of plasma systems for environmental applications and include topics concerning the development and use of innovative technologies for waste treatment, environmental remediation, recycling, characterization of the plasma and solid residue, off-gas analyses, as well as case studies and regulatory policies

  7. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  8. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  9. Expert system and process optimization techniques for real-time monitoring and control of plasma processes

    Science.gov (United States)

    Cheng, Jie; Qian, Zhaogang; Irani, Keki B.; Etemad, Hossein; Elta, Michael E.

    1991-03-01

    To meet the ever-increasing demand of the rapidly-growing semiconductor manufacturing industry it is critical to have a comprehensive methodology integrating techniques for process optimization real-time monitoring and adaptive process control. To this end we have accomplished an integrated knowledge-based approach combining latest expert system technology machine learning method and traditional statistical process control (SPC) techniques. This knowledge-based approach is advantageous in that it makes it possible for the task of process optimization and adaptive control to be performed consistently and predictably. Furthermore this approach can be used to construct high-level and qualitative description of processes and thus make the process behavior easy to monitor predict and control. Two software packages RIST (Rule Induction and Statistical Testing) and KARSM (Knowledge Acquisition from Response Surface Methodology) have been developed and incorporated with two commercially available packages G2 (real-time expert system) and ULTRAMAX (a tool for sequential process optimization).

  10. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  11. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  12. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  13. Plasma control system for 'Day-One' operation of KSTAR tokamak

    International Nuclear Information System (INIS)

    Hahn, Sang-hee; Walker, M.L.; Kim, Kukhee; Ahn, H.S.; Penaflor, B.G.; Piglowski, D.A.; Johnson, R.D.; Choi, Jaehoon; Lee, Dong-keun; Kim, Jayhyun; Yoon, S.W.; Seo, Seong-Heon; Kim, H.T.; Kim, K.P.; Lee, T.G.; Park, M.K.; Bak, J.G.; Lee, S.G.; Nam, Y.U.; Eidietis, N.W.

    2009-01-01

    A complete plasma control system (PCS) has been developed for KSTAR's first plasma campaign as a collaborative project with the DIII-D team. The KSTAR real time plasma control system is based on a conceptual design by Jhang and Choi [Hogun Jhang, I.S. Choi, Fusion Engineering and Design 73 (2005) 35-49] and consists of a fast real-time computer/communication cluster and software derived from the GA-PCS [Penaflor, B.G., et.al., Fusion Engineering and Design, 83 (2) (2008) 176]. The system has been used for simulation testing, poloidal field (PF) coil power supply commissioning and first plasma control. The seven sets of up-down symmetric, superconducting PF coil/power supply systems have been successfully tested. Reflective memory (RFM) is utilized as the primary actuator/PCS real-time communication layer and PCS synchronization with KSTAR timing system and slower control devices is achieved through an EPICS implementation. Consistent feedback loop times of 100 microseconds has been achieved during PF coil power supply testing and first plasma commissioning. Here we present the 'Day-One' plasma control system in its final form for the first plasma experimental campaign of KSTAR and describe how the system has been utilized during magnet commissioning and plasma startup experiments.

  14. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  15. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  16. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  17. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  18. Development of reconfigurable analog and digital circuits for plasma diagnostics measurement systems

    International Nuclear Information System (INIS)

    Srivastava, Amit Kumar; Sharma, Atish; Raval, Tushar

    2009-01-01

    In long pulse discharge tokamak, a large number of diagnostic channels are being used to understand the complex behavior of plasma. Different diagnostics demand different types of analog and digital processing for plasma parameters measurement. This leads to variable requirements of signal processing for diagnostic measurement. For such types of requirements, we have developed hardware with reconfigurable electronic devices, which provide flexible solution for rapid development of measurement system. Here the analog processing is achieved by Field Programmable Analog Array (FPAA) integrated circuit while reconfigurable digital devices (CPLD/FPGA) achieve digital processing. FPAA's provide an ideal integrated platform for implementing low to medium complexity analog signal processing. With dynamic reconfigurability, the functionality of the FPAA can be reconfigured in-system by the designer or on the fly by a microprocessor. This feature is quite useful to manipulate the tuning or the construction of any part of the analog circuit without interrupting operation of the FPAA, thus maintaining system integrity. The hardware operation control logic circuits are configured in the reconfigurable digital devices (CPLD/FPGA) to control proper hardware functioning. These reconfigurable devices provide the design flexibility and save the component space on the board. It also provides the flexibility for various setting through software. The circuit controlling commands are either issued by computer/processor or generated by circuit itself. (author)

  19. Study of Globus-M Tokamak Poloidal System and Plasma Position Control

    Science.gov (United States)

    Dokuka, V. N.; Korenev, P. S.; Mitrishkin, Yu. V.; Pavlova, E. A.; Patrov, M. I.; Khayrutdinov, R. R.

    2017-12-01

    In order to provide efficient performance of tokamaks with vertically elongated plasma position, control systems for limited and diverted plasma configuration are required. The accuracy, stability, speed of response, and reliability of plasma position control as well as plasma shape and current control depend on the performance of the control system. Therefore, the problem of the development of such systems is an important and actual task in modern tokamaks. In this study, the measured signals from the magnetic loops and Rogowski coils are used to reconstruct the plasma equilibrium, for which linear models in small deviations are constructed. We apply methods of the H∞-optimization theory to the synthesize control system for vertical and horizontal position of plasma capable to working with structural uncertainty of the models of the plant. These systems are applied to the plasma-physical DINA code which is configured for the tokamak Globus-M plasma. The testing of the developed systems applied to the DINA code with Heaviside step functions have revealed the complex dynamics of plasma magnetic configurations. Being close to the bifurcation point in the parameter space of unstable plasma has made it possible to detect an abrupt change in the X-point position from the top to the bottom and vice versa. Development of the methods for reconstruction of plasma magnetic configurations and experience in designing plasma control systems with feedback for tokamaks provided an opportunity to synthesize new digital controllers for plasma vertical and horizontal position stabilization. It also allowed us to test the synthesized digital controllers in the closed loop of the control system with the DINA code as a nonlinear model of plasma.

  20. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  1. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  2. Current status and prospect of plasma control system for steady-state operation on QUEST

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Nakamura, Kazuo; Zushi, Hideki; Hanada, Kazuaki; Fujisawa, Akihide; Tokunaga, Kazutoshi; Idei, Hiroshi; Nagashima, Yoshihiko; Kawasaki, Shoji; Nakashima, Hisatoshi; Higashijima, Aki

    2016-01-01

    Highlights: • Overall configuration of plasma control system on QUEST are presented. • Multi core system and reflective memories are used for the real-time control. • Hall sensors are used for the identification of plasma current and its position. • Repetitive gas fueling with the feed-back control of Hα signal is implemented. - Abstract: The plasma control system (PCS) of QUEST is developed according to the progress of QUEST project. Since one of the critical goals of the project is to achieve the steady-state operation with high temperature vacuum vessel wall, the PCS is also required to have the capability to control the plasma for a long period. For the increase of the loads to processing power of the PCS, the PCS is decentralized with the use of reflective memories (RFMs). The PCS controls the plasma edge position with the real-time identification of plasma current and its position. This identification is done with not only flux loops but also hall sensors. The gas fueling method by piezo valve with monitoring the Hα signal filtered by a digital low-pass filter are proposed and suitable for the steady-state operation on QUEST. The present status and prospect of the PCS are presented with recent topics.

  3. Current status and prospect of plasma control system for steady-state operation on QUEST

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, Makoto, E-mail: hasegawa@triam.kyushu-u.ac.jp; Nakamura, Kazuo; Zushi, Hideki; Hanada, Kazuaki; Fujisawa, Akihide; Tokunaga, Kazutoshi; Idei, Hiroshi; Nagashima, Yoshihiko; Kawasaki, Shoji; Nakashima, Hisatoshi; Higashijima, Aki

    2016-11-15

    Highlights: • Overall configuration of plasma control system on QUEST are presented. • Multi core system and reflective memories are used for the real-time control. • Hall sensors are used for the identification of plasma current and its position. • Repetitive gas fueling with the feed-back control of Hα signal is implemented. - Abstract: The plasma control system (PCS) of QUEST is developed according to the progress of QUEST project. Since one of the critical goals of the project is to achieve the steady-state operation with high temperature vacuum vessel wall, the PCS is also required to have the capability to control the plasma for a long period. For the increase of the loads to processing power of the PCS, the PCS is decentralized with the use of reflective memories (RFMs). The PCS controls the plasma edge position with the real-time identification of plasma current and its position. This identification is done with not only flux loops but also hall sensors. The gas fueling method by piezo valve with monitoring the Hα signal filtered by a digital low-pass filter are proposed and suitable for the steady-state operation on QUEST. The present status and prospect of the PCS are presented with recent topics.

  4. Preliminary criticality study supporting transuranic waste acceptance into the plasma hearth process

    International Nuclear Information System (INIS)

    Slate, L.J.; Santee, G.E. Jr.

    1996-01-01

    This study documents preliminary scoping calculations to address criticality issues associated with the processing of transuranic (TRU) waste and TRU mixed waste in the Plasma Hearth Process (PHP) Test Project. To assess the criticality potential associated with processing TRU waste, the process flow in the PHP is evaluated to identify the stages where criticality could occur. A criticality analysis methodology is then formulated to analyze the criticality potential. Based on these analyses, TRU acceptance criteria can be defined for the PHP. For the current level of analysis, the methodology only assesses the physical system as designed and does not address issues associated with the criticality double contingency principle. The analyses suggest that criticality within the PHP system and within the planned treatment residue (stag) containers does not pose a criticality hazard even when processing waste feed drums containing a quantity of TRU greater than would be reasonably expected. The analyses also indicate that the quantity of TRU that can be processed during each batch is controlled by moving and storage conditions for the resulting slag collection drums

  5. Plasma radiation dynamics with the upgraded Absolute Extreme Ultraviolet tomographical system in the Tokamak à Configuration Variable

    Energy Technology Data Exchange (ETDEWEB)

    Tal, B.; Nagy, D.; Veres, G. [Institute for Particle and Nuclear Physics, Wigner Research Centre for Physics, Hungarian Academy of Sciences, Association EURATOM, P. O. Box 49, H-1525 Budapest (Hungary); Labit, B.; Chavan, R.; Duval, B. [Ecole Polytechnique Fédérale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association EURATOM-Confédération Suisse, EPFL SB CRPP, Station 13, CH-1015 Lausanne (Switzerland)

    2013-12-15

    We introduce an upgraded version of a tomographical system which is built up from Absolute Extreme Ultraviolet-type (AXUV) detectors and has been installed on the Tokamak à Configuration Variable (TCV). The system is suitable for the investigation of fast radiative processes usually observed in magnetically confined high-temperature plasmas. The upgrade consists in the detector protection by movable shutters, some modifications to correct original design errors and the improvement in the data evaluation techniques. The short-term sensitivity degradation of the detectors, which is caused by the plasma radiation itself, has been monitored and found to be severe. The results provided by the system are consistent with the measurements obtained with the usual plasma radiation diagnostics installed on TCV. Additionally, the coupling between core plasma radiation and plasma-wall interaction is revealed. This was impossible with other available diagnostics on TCV.

  6. MFTF-B plasma-diagnostic system

    International Nuclear Information System (INIS)

    Throop, A.L.; Goerz, D.A.; Thomas, S.R.

    1981-01-01

    This paper describes the current design status of the plasma diagnostic system for MFTF-B. In this paper we describe the system requirement changes which have occurred as a result of the funded rescoping of the original MFTF facility into MFTF-B. We outline the diagnostic instruments which are currently planned, and present an overview of the diagnostic system

  7. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  8. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  9. Removal performance of toluene, p-xylene and ethylene using a plasma-pretreated biotrickling system

    Energy Technology Data Exchange (ETDEWEB)

    Kim, H.J.; Han, B.; Kim, S.J.; Kim, Y.J. [Korea Inst. of Machinery and Materials (Korea, Republic of)

    2010-07-01

    The use of biological systems for removing volatile organic compounds (VOCs) from gaseous emissions was discussed. The systems rely on microorganism activity and do not produce any secondary pollution. Recently, the integration of biofiltration and UV photooxidation has been performed to remove VOCs in air. The systems using both UV photooxidation and biofiltration removed recalcitrant and VOCs more effectively than a stand-alone process using only biofiltration, because the UV pre-treatment not only removes the gases, but also changes them to easily biodegradable and water soluble byproducts such as acids and aldehydes. The biotrickling filter in this study was exposed to toluene gas only for over one month to cultivate specific microorganisms. The non-thermal plasma was a dielectric barrier discharge (DBD) plasma. The performance for removing toluene, p-xylene, and ethylene by the biotrickling filter, the plasma reactor and the plasma-pretreated bio-trickling system was investigated at a variety of flow rates and inlet concentrations of the test gases. The experimental results showed that the removal efficiencies of the integrated system of non-thermal plasma and biotrickling filter for p-xylene and ethylene were enhanced by 28.0 and 29.7 percent respectively, and increased by only 5.3 percent for toluene, as compared to those of the stand-alone biotrickling filtration. It was concluded that the plasma-pretreated biofiltration system could enhance the performance of the biotrickling filter for removing VOC gases, particularly for reducing low biodegradable pollutants with high loading which are chemically different from the cultivated gases. 12 refs., 7 figs.

  10. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  11. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  12. Management of complex data flows in the ASDEX Upgrade plasma control system

    International Nuclear Information System (INIS)

    Treutterer, Wolfgang; Neu, Gregor; Raupp, Gerhard; Zasche, Dieter; Zehetbauer, Thomas; Cole, Richard; Lüddecke, Klaus

    2012-01-01

    Highlights: ► Control system architectures with data-driven workflows are efficient, flexible and maintainable. ► Signal groups provide coherence of interrelated signals and increase the efficiency of process synchronisation. ► Sample tags indicating sample quality form the fundament of a local event handling strategy. ► A self-organising workflow benefits from sample tags consisting of time stamp and stream activity. - Abstract: Establishing adequate technical and physical boundary conditions for a sustained nuclear fusion reaction is a challenging task. Phased feedback control and monitoring for heating, fuelling and magnetic shaping is mandatory, especially for fusion devices aiming at high performance plasmas. Technical and physical interrelations require close collaboration of many components in sequential as well as in parallel processing flows. Moreover, handling of asynchronous, off-normal events has become a key element of modern plasma performance optimisation and machine protection recipes. The manifoldness of plasma states and events, the variety of plant system operation states and the diversity in diagnostic data sampling rates can hardly be mastered with a rigid control scheme. Rather, an adaptive system topology in combination with sophisticated synchronisation and process scheduling mechanisms is suited for such an environment. Moreover, the system is subject to real-time control constraints: response times must be deterministic and adequately short. Therefore, the experimental tokamak device ASDEX Upgrade employs a discharge control system DCS, whose core has been designed to meet these requirements. In the paper we will compare the scheduling schemes for the parallelised realisation of a control workflow and show the advantage of a data-driven workflow over a managed workflow. The data-driven workflow as used in DCS is based on signals connecting process outputs and inputs. These are implemented as real-time streams of data samples

  13. Circuit Model Simulations for Ionospheric Plasma Response to High Potential System

    Directory of Open Access Journals (Sweden)

    Hwang-Jae Rhee

    2000-06-01

    Full Text Available When a deployed probe is biased by a high positive potential during a space experiment, the payload is induced to a negative voltage in order to balance the total current in the whole system. The return currents are due to the responding ions and secondary electrons on the payload surface. In order to understand the current collection mechanism, the process was simulated with a combination of resistor, inductor, and capacitor in SPICE program which was equivalent to the background plasma sheath. The simulation results were compared with experimental results from SPEAR-3 (Space Power Experiment Aboard Rocket-3. The return current curve in the simulation was compatible to the experimental result, and the simulation helped to predict the transient plasma response to a high voltage during the plasma sheath formation.

  14. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  15. Improvements to a high-frequency fiber-optic system for plasma diagnostics

    International Nuclear Information System (INIS)

    Ogle, J.W.; Lyons, P.B.; Looney, L.; Hocker, L.; Nelson, M.A.; Zagarino, P.A.; Davies, T.J.; Simmons, R.D.; Selk, R.; Hopkins, B.

    1981-01-01

    A system for high-frequency recording of plasma diagnostics has previously been reported. Substantial improvements have been made in the system response, dynamic range, and calibration of the system. Plastic-clad silica fiber is used as a radiation-to-light converter using the Cerenkov process. A spectral equalizer device is used to compensate for the material dispersion in the fiber, increasing the frequency response (approx. = 1 GHz-km) and the dynamic range (a factor of > 20 over a FWHM 1 nm, 50% transmitting interference filter). The calibration system uses a pulsed injection laser diode (< 100 ps FWHM) injected into the fiber at the radiation end of the fiber and detected by a microchannel plate photomultiplier tube on the recording end. The injection laser diode is triggered by a synchronous trigger delay unit, which also triggers a sampling or real time scope after as much as 10 μs delay with < 50 ps jitter. The system improvements are described in detail and the utility of these components in other plasma diagnostic systems is discussed

  16. Multi-probe ionization chamber system for nuclear-generated plasma diagnostics

    International Nuclear Information System (INIS)

    Choi, W.Y.; Ellis, W.H.

    1990-01-01

    This paper reports on the pulsed ionization chamber (PIC) plasma diagnostic system used in studies of nuclear seeded plasma kinetics upgraded to increase the capabilities and extend the range of plasma parameter measurements to higher densities and temperatures. The PIC plasma diagnostic chamber has been provided with additional measurement features in the form of conductivity and Langmuir probes, while the overall experimental system has been fully automated, with computerized control, measurement, data acquisition and analysis by means of IEEE-488 (GPIB) bus control and data transfer protocols using a Macintosh series microcomputer. The design and use of a simple TTL switching system enables remote switching among the various GPIB instruments comprising the multi-probe plasma diagnostic system using software, without the need for a microprocessor. The new system will be used to extend the present study of nuclear generated plasma in He, Ar, Xe, fissionable UF 6 and other fluorine containing gases

  17. Modulation of the Plasma Kallikrein-Kinin System Proteins Performed by Heparan Sulfate Proteoglycans

    Directory of Open Access Journals (Sweden)

    Guacyara Motta

    2017-07-01

    Full Text Available Human plasma kallikrein-kinin system proteins are related to inflammation through bradykinin. In the proximity of its target cells, high molecular weight kininogen (H-kininogen is the substrate of plasma kallikrein, which releases bradykinin from H-kininogen. Heparan sulfate proteoglycans (HSPGs play a critical role in either recruiting kinin precursors from the plasma, or in the assembly of kallikrein-kinin system components on the cell surface. Furthermore, HSPGs mediate the endocytosis and activation of H-kininogen and plasma prekallikrein. In the presence of HSPGs (Chinese hamster ovary cell, CHO-K1, wild type cells both heparin and heparan sulfate strongly inhibit the H-kininogen interaction with the cell membrane. H-kininogen is internalized in endosomal acidic vesicles in CHO-K1 but not in CHO-745 cells (mutant cells deficient in glycosaminoglycan biosynthesis. The endocytosis process is lipid raft-mediated and is dependent on caveolae. Both types of CHO cells do not internalize bradykinin-free H-kininogen. At pH 7.35, bradykinin is released from H-kininogen on the surface of CHO-745 cells only by serine proteases; however, in CHO-K1 cells either serine or cysteine proteases are found to be involved. The CHO-K1 cell lysate contains different kininogenases. Plasma prekallikrein endocytosis in CHO-K1 cells is independent of H-kininogen, and also prekallikrein is not internalized by CHO-745 cells. Plasma prekallikrein cleavage/activation is independent of glycosaminoglycans but plasma kallikrein formation is more specific on H-kininogen assembled on the cell surface through glycosaminoglycans. In this mini-review, the importance of HSPGs in the regulation of plasma kallikrein-kinin system proteins is shown.

  18. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  19. Improvement of the real-time processor in JT-60 data processing system

    International Nuclear Information System (INIS)

    Sakata, S.; Kiyono, K.; Sato, M.; Kominato, T.; Sueoka, M.; Hosoyama, H.; Kawamata, Y.

    2009-01-01

    Real-time processor, RTP is a basic subsystem in the JT-60 data processing system and plays an important role in JT-60 feedback control for plasma experiment. During the experiment, RTP acquires various diagnostic signals, processes them into a form of physical values, and transfers them as sensor signals to the particle supply and heating control supervisor for feedback control via reflective memory synchronization with 1 ms clock signals. After the start of RTP operation in 1997, to meet the demand for advanced plasma experiment, RTP had been improved continuously such as by addition of diagnostic signals with faster digitizers, reducing time for data transfer utilizing reflective memory instead of CAMAC. However, it is becoming increasingly difficult to maintain, manage, and improve the outdated RTP with limited system CPU capability. Currently, a prototype RTP system is being developed for the next real-time processing system, which is composed of clustered system utilizing VxWorks computer. The processes on the existing RTP system will be decentralized to the VxWorks computer to solve the issues of the existing RTP system. The prototype RTP system will start to operate in August 2008.

  20. Online quality monitoring of welding processes by means of plasma optical spectroscopy

    Science.gov (United States)

    Ferrara, Michele; Ancona, Antonio; Lugara, Pietro M.; Sibilano, Michele

    2000-02-01

    An optical monitoring system for the welding process has been developed; it is based on the study of the optical emission of the welding plasma plume, created during the welding of stainless steels and other iron-based materials. In the first approach a continuous wave CO2 laser of 2500-Watt maximum power, available at the INFM Research Unit labs in Bari University, has been used as welding source. A detailed spectroscopic study of the visible and UV welding plasma emission has been carried out; many transition lines corresponding to the elements composing the material to be welded have been found. By means of an appropriate selection of these lines and suitable algorithms, the electronic temperature of the plasma plume has been calculated and its evolution recorded as a function of several welding parameters. The behavior of the registered signal has resulted to be correlated to the welded joint quality. These findings have allowed to design and assemble a portable, non-intrusive and real-time welding quality optical sensor which has been successfully tested for laser welding of metals in different geometrical configurations; it has been capable of detecting a wide range of weld defects normally occurring during industrial laser metal-working. This sensor has also been tested in arc welding industrial processes (TIG) with promising results.

  1. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  2. The web-based user interface for EAST plasma control system

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, R.R., E-mail: rrzhang@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Anhui (China); Xiao, B.J. [Institute of Plasma Physics, Chinese Academy of Sciences, Anhui (China); School of Nuclear Science and Technology, University of Science and Technology of China, Anhui (China); Yuan, Q.P. [Institute of Plasma Physics, Chinese Academy of Sciences, Anhui (China); Yang, F. [Institute of Plasma Physics, Chinese Academy of Sciences, Anhui (China); Department of Computer Science, Anhui Medical University, Anhui (China); Zhang, Y. [Institute of Plasma Physics, Chinese Academy of Sciences, Anhui (China); Johnson, R.D.; Penaflor, B.G. [General Atomics, DIII-D National Fusion Facility, San Diego, CA (United States)

    2014-05-15

    The plasma control system (PCS) plays a vital role at EAST for fusion science experiments. Its software application consists of two main parts: an IDL graphical user interface for setting a large number of plasma parameters to specify each discharge, several programs for performing the real-time feedback control and managing the whole control system. The PCS user interface can be used from any X11 Windows client with privileged access to the PCS computer system. However, remote access to the PCS system via the IDL user interface becomes an extreme inconvenience due to the high network latency to draw or operate the interfaces. In order to realize lower latency for remote access to the PCS system, a web-based system has been developed for EAST recently. The setup data are retrieved from the PCS system and client-side JavaScript draws the interfaces into the user's browser. The user settings are also sent back to the PCS system for controlling discharges. These technologies allow the web-based user interface to be viewed by authorized users with a web browser and have it communicate with PCS server processes directly. It works together with the IDL interface and provides a new way to aid remote participation.

  3. The web-based user interface for EAST plasma control system

    International Nuclear Information System (INIS)

    Zhang, R.R.; Xiao, B.J.; Yuan, Q.P.; Yang, F.; Zhang, Y.; Johnson, R.D.; Penaflor, B.G.

    2014-01-01

    The plasma control system (PCS) plays a vital role at EAST for fusion science experiments. Its software application consists of two main parts: an IDL graphical user interface for setting a large number of plasma parameters to specify each discharge, several programs for performing the real-time feedback control and managing the whole control system. The PCS user interface can be used from any X11 Windows client with privileged access to the PCS computer system. However, remote access to the PCS system via the IDL user interface becomes an extreme inconvenience due to the high network latency to draw or operate the interfaces. In order to realize lower latency for remote access to the PCS system, a web-based system has been developed for EAST recently. The setup data are retrieved from the PCS system and client-side JavaScript draws the interfaces into the user's browser. The user settings are also sent back to the PCS system for controlling discharges. These technologies allow the web-based user interface to be viewed by authorized users with a web browser and have it communicate with PCS server processes directly. It works together with the IDL interface and provides a new way to aid remote participation

  4. Theoretical investigations of plasma processes. Annual report, Oct 1975--Oct 1976

    International Nuclear Information System (INIS)

    Wilhelm, H.E.; Hong, S.H.

    1976-01-01

    System analyses are presented for electrically sustained, collision dominated plasma centrifuges, in which the plasma rotates under the influence of the Lorentz forces resulting from the interaction of the current density fields with an external magnetic field. It is shown that gas discharge centrifuges are technically feasible in which the plasma rotates at speeds up to 1 million cm/sec. The associated centrifugal forces produce a significant spatial isotope separation, which is somewhat perturbed in the viscous boundary layers at the centrifuge walls. The isotope separation effect is the more pronounced. The induced magnetic fields have negligible influence on the plasma rotation if the Hall coefficient is small. In the technical realization of collision dominated plasma centrifuges, a trade-off has to be made between power density and speeds of rotation. The diffusion of sputtered atoms to system surfaces of ion propulsion systems and the deposition of the atoms are treated theoretically by means of a simple model which permits an analytical solution. The problem leads to an inhomogeneous integral equation

  5. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  6. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  7. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  8. Experimental investigation on the weld pool formation process in plasma keyhole arc welding

    Science.gov (United States)

    Van Anh, Nguyen; Tashiro, Shinichi; Van Hanh, Bui; Tanaka, Manabu

    2018-01-01

    This paper seeks to clarify the weld pool formation process in plasma keyhole arc welding (PKAW). We adopted, for the first time, the measurement of the 3D convection inside the weld pool in PKAW by stereo synchronous imaging of tungsten tracer particles using two sets of x-ray transmission systems. The 2D convection on the weld pool surface was also measured using zirconia tracer particles. Through these measurements, the convection in a wide range of weld pools from the vicinity of the keyhole to the rear region was successfully visualized. In order to discuss the heat transport process in a weld pool, the 2D temperature distribution on the weld pool surface was also measured by two-color pyrometry. The results of the comprehensive experimental measurement indicate that the shear force due to plasma flow is found to be the dominant driving force in the weld pool formation process in PKAW. Thus, heat transport in a weld pool is considered to be governed by two large convective patterns near the keyhole: (1) eddy pairs on the surface (perpendicular to the torch axis), and (2) eddy pairs on the bulk of the weld pool (on the plane of the torch). They are formed with an equal velocity of approximately 0.35 m s-1 and are mainly driven by shear force. Furthermore, the flow velocity of the weld pool convection becomes considerably higher than that of other welding processes, such as TIG welding and GMA welding, due to larger plasma flow velocity.

  9. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  10. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  11. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  12. Software development for the PBX-M plasma control system

    International Nuclear Information System (INIS)

    Lagin, L.; Bell, R.; Chu, J.; Hatcher, R.; Hirsch, J.; Okabayashi, M.; Sichta, P.

    1995-01-01

    This paper describes the software development effort for the PBX-M plasma control system. The algorithms being developed for the system will serve to test advanced control concepts for TPX and ITER. This will include real-time algorithms for shaping control, vertical position control, current and density profile control and MHD avoidance. The control system consists of an interactive Host Processor (SPARC-10) interfaced through VME with four real-time Computer Processors (i860) which run at a maximum computational speed of 320 MFLOPs. Plasma shaping programs are being tested to duplicate the present PBX-M analog control system. Advanced algorithms for vertical control and x-point control will then be developed. Interactive graphical user interface programs running on the Host Processor will allow operators to control and monitor shot parameters. A waveform edit program will be used to download pre-programmed waveforms into the Compute Processor memory. Post-shot display programs will be used to interactively display data after the shot. Automatic pre-shot arming and data acquisition programs will run on the Host Processor. Event system programs will process interrupts and activate programs on the Host and Compute Processors. These programs are being written in C and Fortran and use system service routines to communicate with the Compute Processors and its memory. IDL and IDL widgets are being used to build the graphical user interfaces

  13. Tritium experiments on components for fusion fuel processing at the Tritium Systems Test Assembly

    International Nuclear Information System (INIS)

    Konishi, S.; Yoshida, H.; Naruse, Y.; Carlson, R.V.; Binning, K.E.; Bartlit, J.R.; Anderson, J.L.

    1990-01-01

    Under a collaborative agreement between US and Japan, two tritium processing components, a palladium diffuser and a ceramic electrolysis cell have been tested with tritium for application to a Fuel Cleanup System (FCU) for plasma exhaust processing at the Los Alamos National Laboratory. The fundamental characteristics, compatibility with tritium, impurities effects with tritium, and long-term behavior of the components, were studied over a three year period. Based on these studies, an integrated process loop, ''JAERI Fuel Cleanup System'' equipped with above components was installed at the TSTA for full scale demonstration of the plasma exhaust reprocessing

  14. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  15. Analysis of plasma behavior and electro-magnetic interaction between plasma and device

    International Nuclear Information System (INIS)

    Kobayashi, Tomofumi

    1980-01-01

    A simulation program for the analysis of plasma behavior and the electromagnetic interaction between plasma and device has been developed. The program consists of a part for the analysis of plasma behavior (plasma system) and a part for the analysis of the electro-magnetic interaction between plasma and devices (circuit system). The parameters which connect the plasma system and the circuit system are the electric resistance of plasma, the internal inductance, and the plasma current. For the plasma system, the simultaneous equations which describe the density distribution of plasma particles, the temperature distribution of electrons and ions, and the space-time variation of current density distribution were derived. The one-dimensional plasma column in γ-direction was considered. The electric resistance and the internal inductance can be deduced. The circuit components are a current transformer, a vertical field coil, a quadrupole field coil, a vacuum chamber and others. An equation which describes plasma position and the shape of cross section is introduced. The plasma position can be known by solving the Mukhavatov's formula of equilibrium. By using this program, the build-up process of plasma current in JT-60 was analysed. It was found that the expansion of plasma sub radius and the control of current distribution by gas injection are the effective methods to obtain high temperature and high density plasma. The eddy current induced in a vacuum vessel shields 40 percent of magnetic field made in the plasma region by a vertical field coil. (Kato, T.)

  16. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  17. A Simulation of Pre-Arcing Plasma Discharge Processes in Water Purification

    International Nuclear Information System (INIS)

    Rodriguez-Mendez, B. G.; Piedad-Beneitez, A. de la; Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Benitez-Read, J. S.; Pacheco-Sotelo, J. O.; Pena-Eguiluz, R.; Mercado-Cabrera, A.; Valencia-A, R.; Barocio, S. R.

    2006-01-01

    The simulation of a water purification system within a coaxial cylinder reactor operated by 1 kHz frequency plasma discharges in pre-arcing regimes is presented. In contrast with precedent works, this computational model considers three mechanisms of the system operation: (a) the relevant physical characteristics of water (b) the ionisation and expansion processes in the spark channel including the near-breakdown electric current generated by the rate of change of the effective capacitance and resistance in the discharge, and (c) the energy associated with this initial spark in the water. The outcome of the model seems to meet all main requirements to allow the design and construction of specific water purification technology devices

  18. Experimental studies of plasma confinement in toroidal systems

    International Nuclear Information System (INIS)

    Bodin, H.A.B.; Keen, B.E.

    1977-01-01

    In this article the closed-line magnetic field approach to the plasma isolation and confinement problem in toroidal systems is reviewed. The theoretical aspects of closed-line magnetic field systems, indicating that topologically such systems are toroidal, are surveyed under the headings; topology of closed-line systems, equilibrium in different configurations and classification of toroidal devices, MHD stability, non-ideal effects in MHD stability, microscopic stability, and plasma energy loss. A section covering the experimental results of plasma confinement in toroidal geometry considers Stellerators, Tokamaks, toroidal pinch -the reversed-field pinch, screw pinches and high-β Tokamaks, Levitrons and multipoles (internal-ring devices), and miscellaneous toroidal containment devices. Recent achievements and the present position are discussed with reference to the status of Tokamak research, low-β stellerator research and high-β research. It is concluded from the continuing progress made in this research that the criteria for the magnetic containment of plasmas can be met. Further, it is concluded that the construction of a successful and economic fusion reactor is within the scope of advancing science and technology. 250 references. (U.K.)

  19. Experimental studies of plasma confinement in toroidal systems

    Energy Technology Data Exchange (ETDEWEB)

    Bodin, H A.B.; Keen, B E [UKAEA, Abingdon. Culham Lab.

    1977-12-01

    In this article the closed-line magnetic field approach to the plasma isolation and confinement problem in toroidal systems is reviewed. The theoretical aspects of closed-line magnetic field systems, indicating that topologically such systems are toroidal, are surveyed under the headings; topology of closed-line systems, equilibrium in different configurations and classification of toroidal devices, MHD stability, non-ideal effects in MHD stability, microscopic stability, and plasma energy loss. A section covering the experimental results of plasma confinement in toroidal geometry considers Stellerators, Tokamaks, toroidal pinch -the reversed-field pinch, screw pinches and high-..beta.. Tokamaks, Levitrons and multipoles (internal-ring devices), and miscellaneous toroidal containment devices. Recent achievements and the present position are discussed with reference to the status of Tokamak research, low-..beta.. stellerator research and high-..beta.. research. It is concluded from the continuing progress made in this research that the criteria for the magnetic containment of plasmas can be met. Further, it is concluded that the construction of a successful and economic fusion reactor is within the scope of advancing science and technology. 250 references.

  20. Plasma flow in toroidal systems with a separatrix

    International Nuclear Information System (INIS)

    Gribkov, V.M.; Morozov, D.Kh.; Pogutse, O.P.

    1984-01-01

    A hydrodynamic plasma flow in toroidal systems is considered. Rlasma flow lines for various magnetic configurations are calculated. A particular attention is given to studying plasma flow in configurations with two magnetic a axes and a separatrix. The flow picture i the toroidal case is shown to qualita ity to penetrate through the separatrix - the latter becomes ''perforated''. Th he pictkre of these flows is calculated. The plasma diffusion coefficient with account for the separatrix is calculated and is shown not to turn into the infin nity in the toroidal case as well. The plasma flow is analytically considered in the model with distributed current as well as in the model with current conce entrated at the oroidal system axis. In the first case the existence of ''stagnant'' regions near the magnetic axis is established from which the plasma a does not flow out

  1. The plasma movie database system for JT-60

    International Nuclear Information System (INIS)

    Sueoka, Michiharu; Kawamata, Yoichi; Kurihara, Kenichi; Seki, Akiyuki

    2007-01-01

    The real-time plasma movie with the computer graphics (CG) of plasma shape is one of the most effective methods to know what discharge have been made in the experiment. For an easy use of the movie in the data analysis, we have developed the plasma movie database system (PMDS), which automatically records plasma movie according to the JT-60 discharge sequence, and transfers the movie files on request from the web site. The file is compressed to about 8 MB/shot small enough to be transferred within a few seconds through local area network (LAN). In this report, we describe the developed system from the technical point of view, and discuss a future plan on the basis of advancing video technology

  2. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  3. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  4. Plasma properties and atomic processes at medium and high pressures

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1979-01-01

    When the state of a plasma deviates from local thermodynamic equilibrium (L.T.E.) the equilibrium relations cannot be applied. The thermodynamic properties must then be described on the basis of models in which the individual atomic properties and elementary reactions intervene. The first part of the paper gives a schematic description of a plasma suffering power input, power losses and external constraints in the form of initial and boundary conditions. The rate equations for particle density, momentum and energy of open systems are summarized, including nuclear reactions. The second part gives a review of the progress made in understanding the properties of special types of non-L.T.E. plasmas such as glow discharge plasmas, negative ion plasmas (with application to the physics of SF 6 circuit-breakers) and Tokamak plasmas on the basis of these rate equations

  5. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  7. A multitransputer parallel processing system (MTPPS)

    International Nuclear Information System (INIS)

    Jethra, A.K.; Pande, S.S.; Borkar, S.P.; Khare, A.N.; Ghodgaonkar, M.D.; Bairi, B.R.

    1993-01-01

    This report describes the design and implementation of a 16 node Multi Transputer Parallel Processing System(MTPPS) which is a platform for parallel program development. It is a MIMD machine based on message passing paradigm. The basic compute engine is an Inmos Transputer Ims T800-20. Transputer with local memory constitutes the processing element (NODE) of this MIMD architecture. Multiple NODES can be connected to each other in an identifiable network topology through the high speed serial links of the transputer. A Network Configuration Unit (NCU) incorporates the necessary hardware to provide software controlled network configuration. System is modularly expandable and more NODES can be added to the system to achieve the required processing power. The system is backend to the IBM-PC which has been integrated into the system to provide user I/O interface. PC resources are available to the programmer. Interface hardware between the PC and the network of transputers is INMOS compatible. Therefore, all the commercially available development software compatible to INMOS products can run on this system. While giving the details of design and implementation, this report briefly summarises MIMD Architectures, Transputer Architecture and Parallel Processing Software Development issues. LINPACK performance evaluation of the system and solutions of neutron physics and plasma physics problem have been discussed along with results. (author). 12 refs., 22 figs., 3 tabs., 3 appendixes

  8. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  9. Real-time monitoring and control of the plasma hearth process

    International Nuclear Information System (INIS)

    Power, M.A.; Carney, K.P.; Peters, G.G.

    1996-01-01

    A distributed monitoring and control system is proposed for a plasma hearth, which will be used to decompose hazardous organic materials, encapsulate actinide waste in an obsidian-like slag, and reduce storage volume of actinide waste. The plasma hearth will be installed at ANL-West with the assistance of SAIC. Real-time monitoring of the off-gas system is accomplished using a Sun Workstation and embedded PCs. LabWindows/CVI software serves as the graphical user interface

  10. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  11. Laser-induced plasmas as an analytical source for quantitative analysis of gaseous and aerosol systems: Fundamentals of plasma-particle interactions

    Science.gov (United States)

    Diwakar, Prasoon K.

    2009-11-01

    Laser-induced Breakdown Spectroscopy (LIBS) is a relatively new analytical diagnostic technique which has gained serious attention in recent past due to its simplicity, robustness, and portability and multi-element analysis capabilities. LIBS has been used successfully for analysis of elements in different media including solids, liquids and gases. Since 1963, when the first breakdown study was reported, to 1983, when the first LIBS experiments were reported, the technique has come a long way, but the majority of fundamental understanding of the processes that occur has taken place in last few years, which has propelled LIBS in the direction of being a well established analytical technique. This study, which mostly focuses on LIBS involving aerosols, has been able to unravel some of the mysteries and provide knowledge that will be valuable to LIBS community as a whole. LIBS processes can be broken down to three basic steps, namely, plasma formation, analyte introduction, and plasma-analyte interactions. In this study, these three steps have been investigated in laser-induced plasma, focusing mainly on the plasma-particle interactions. Understanding plasma-particle interactions and the fundamental processes involved is important in advancing laser-induced breakdown spectroscopy as a reliable and accurate analytical technique. Critical understanding of plasma-particle interactions includes study of the plasma evolution, analyte atomization, and the particle dissociation and diffusion. In this dissertation, temporal and spatial studies have been done to understand the fundamentals of the LIBS processes including the breakdown of gases by the laser pulse, plasma inception mechanisms, plasma evolution, analyte introduction and plasma-particle interactions and their influence on LIBS signal. Spectral measurements were performed in a laser-induced plasma and the results reveal localized perturbations in the plasma properties in the vicinity of the analyte species, for

  12. Simulation of Tomographic Reconstruction of Magnetosphere Plasma Distribution By Multi-spacecraft Systems.

    Science.gov (United States)

    Kunitsyn, V.; Nesterov, I.; Andreeva, E.; Zelenyi, L.; Veselov, M.; Galperin, Y.; Buchner, J.

    A satellite radiotomography method for electron density distributions was recently proposed for closely-space multi-spacecraft group of high-altitude satellites to study the physics of reconnection process. The original idea of the ROY project is to use a constellation of spacecrafts (one main and several sub-satellites) in order to carry out closely-spaced multipoint measurements and 2D tomographic reconstruction of elec- tron density in the space between the main satellite and the subsatellites. The distances between the satellites were chosen to vary from dozens to few hundreds of kilometers. The easiest data interpretation is achieved when the subsatellites are placed along the plasma streamline. Then, whenever a plasma density irregularity moves between the main satellite and the subsatellites it will be scanned in different directions and we can get 2D distribution of plasma using these projections. However in general sub- satellites are not placed exactly along the plasma streamline. The method of plasma velocity determination relative to multi-spacecraft systems is considered. Possibilities of 3D tomographic imaging using multi-spacecraft systems are analyzed. The model- ing has shown that efficient scheme for 3D tomographic imaging would be to place spacecrafts in different planes so that the angle between the planes would make not more then ten degrees. Work is supported by INTAS PROJECT 2000-465.

  13. Data processing system of GA and PPPL

    International Nuclear Information System (INIS)

    Oshima, Takayuki

    2001-11-01

    Results of research in 1997 to General Atomics (GA) and Princeton Plasma Physics Laboratory (PPPL) are reported. The author visited the computer system of fusion group in GA. He joined the tokamak experiment in DIII-D, especially on the demonstration of the remote experiment inside U.S., and investigated the data processing system of DIII-D and the computer network, etc. After the visit to GA, He visited PPPL and exchanged the information about the equipment of remote experiment between JAERI and PPPL based on the US-Japan fusion energy research cooperation. He also investigated the data processing system of TFTR tokamak, the computer network and so on. Results of research of the second visit to GA in 2000 are also reported, which describes a rapid progress of each data processing equipment by the advance on the computer technology in just three years. (author)

  14. Analysis of possible designs of processing units with radial plasma flows

    Science.gov (United States)

    Kolesnik, V. V.; Zaitsev, S. V.; Vashilin, V. S.; Limarenko, M. V.; Prochorenkov, D. S.

    2018-03-01

    Analysis of plasma-ion methods of obtaining thin-film coatings shows that their development goes along the path of the increasing use of sputter deposition processes, which allow one to obtain multicomponent coatings with varying percentage of particular components. One of the methods that allow one to form multicomponent coatings with virtually any composition of elementary components is the method of coating deposition using quasi-magnetron sputtering systems [1]. This requires the creation of an axial magnetic field of a defined configuration with the flux density within the range of 0.01-0.1 T [2]. In order to compare and analyze various configurations of processing unit magnetic systems, it is necessary to obtain the following dependencies: the dependency of magnetic core section on the input power to inductors, the distribution of magnetic induction within the equatorial plane in the corresponding sections, the distribution of the magnetic induction value in the area of cathode target location.

  15. Physics of the conceptual design of the ITER plasma control system

    Energy Technology Data Exchange (ETDEWEB)

    Snipes, J.A., E-mail: Joseph.Snipes@iter.org [ITER Organization, Route de Vinon sur Verdon, 13115 St Paul-lez-Durance (France); Bremond, S. [CEA-IRFM, 13108 St Paul-lez-Durance (France); Campbell, D.J. [ITER Organization, Route de Vinon sur Verdon, 13115 St Paul-lez-Durance (France); Casper, T. [1166 Bordeaux St, Pleasanton, CA 94566 (United States); Douai, D. [CEA-IRFM, 13108 St Paul-lez-Durance (France); Gribov, Y. [ITER Organization, Route de Vinon sur Verdon, 13115 St Paul-lez-Durance (France); Humphreys, D. [General Atomics, San Diego, CA 92186 (United States); Lister, J. [Association EURATOM-Confédération Suisse, Ecole Polytechnique Fédérale de Lausanne (EPFL), CRPP, Lausanne CH-1015 (Switzerland); Loarte, A.; Pitts, R. [ITER Organization, Route de Vinon sur Verdon, 13115 St Paul-lez-Durance (France); Sugihara, M., E-mail: Sugihara_ma@yahoo.co.jp [Japan (Japan); Winter, A.; Zabeo, L. [ITER Organization, Route de Vinon sur Verdon, 13115 St Paul-lez-Durance (France)

    2014-05-15

    Highlights: • ITER plasma control system conceptual design has been finalized. • ITER's plasma control system will evolve with the ITER research plan. • A sophisticated actuator sharing scheme is being developed to apply multiple coupled control actions simultaneously with a limited set of actuators. - Abstract: The ITER plasma control system (PCS) will play a central role in enabling the experimental program to attempt to sustain DT plasmas with Q = 10 for several hundred seconds and also support research toward the development of steady-state operation in ITER. The PCS is now in the final phase of its conceptual design. The PCS relies on about 45 diagnostic systems to assess real-time plasma conditions and about 20 actuator systems for overall control of ITER plasmas. It will integrate algorithms required for active control of a wide range of plasma parameters with sophisticated event forecasting and handling functions, which will enable appropriate transitions to be implemented, in real-time, in response to plasma evolution or actuator constraints. In specifying the PCS conceptual design, it is essential to define requirements related to all phases of plasma operation, ranging from early (non-active) H/He plasmas through high fusion gain inductive plasmas to fully non-inductive steady-state operation, to ensure that the PCS control functionality and architecture will be capable of satisfying the demands of the ITER research plan. The scope of the control functionality required of the PCS includes plasma equilibrium and density control commonly utilized in existing experiments, control of the plasma heat exhaust, control of a range of MHD instabilities (including mitigation of disruptions), and aspects such as control of the non-inductive current and the current profile required to maintain stable plasmas in steady-state scenarios. Control areas are often strongly coupled and the integrated control of the plasma to reach and sustain high plasma

  16. Physics of the conceptual design of the ITER plasma control system

    International Nuclear Information System (INIS)

    Snipes, J.A.; Bremond, S.; Campbell, D.J.; Casper, T.; Douai, D.; Gribov, Y.; Humphreys, D.; Lister, J.; Loarte, A.; Pitts, R.; Sugihara, M.; Winter, A.; Zabeo, L.

    2014-01-01

    Highlights: • ITER plasma control system conceptual design has been finalized. • ITER's plasma control system will evolve with the ITER research plan. • A sophisticated actuator sharing scheme is being developed to apply multiple coupled control actions simultaneously with a limited set of actuators. - Abstract: The ITER plasma control system (PCS) will play a central role in enabling the experimental program to attempt to sustain DT plasmas with Q = 10 for several hundred seconds and also support research toward the development of steady-state operation in ITER. The PCS is now in the final phase of its conceptual design. The PCS relies on about 45 diagnostic systems to assess real-time plasma conditions and about 20 actuator systems for overall control of ITER plasmas. It will integrate algorithms required for active control of a wide range of plasma parameters with sophisticated event forecasting and handling functions, which will enable appropriate transitions to be implemented, in real-time, in response to plasma evolution or actuator constraints. In specifying the PCS conceptual design, it is essential to define requirements related to all phases of plasma operation, ranging from early (non-active) H/He plasmas through high fusion gain inductive plasmas to fully non-inductive steady-state operation, to ensure that the PCS control functionality and architecture will be capable of satisfying the demands of the ITER research plan. The scope of the control functionality required of the PCS includes plasma equilibrium and density control commonly utilized in existing experiments, control of the plasma heat exhaust, control of a range of MHD instabilities (including mitigation of disruptions), and aspects such as control of the non-inductive current and the current profile required to maintain stable plasmas in steady-state scenarios. Control areas are often strongly coupled and the integrated control of the plasma to reach and sustain high plasma

  17. Management of complex data flows in the ASDEX Upgrade plasma control system

    Energy Technology Data Exchange (ETDEWEB)

    Treutterer, Wolfgang, E-mail: Wolfgang.Treutterer@ipp.mpg.de [Max-Planck Institut fuer Plasmaphysik, EURATOM Association, Garching (Germany); Neu, Gregor; Raupp, Gerhard; Zasche, Dieter; Zehetbauer, Thomas [Max-Planck Institut fuer Plasmaphysik, EURATOM Association, Garching (Germany); Cole, Richard; Lueddecke, Klaus [Unlimited Computer Systems, Iffeldorf (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Control system architectures with data-driven workflows are efficient, flexible and maintainable. Black-Right-Pointing-Pointer Signal groups provide coherence of interrelated signals and increase the efficiency of process synchronisation. Black-Right-Pointing-Pointer Sample tags indicating sample quality form the fundament of a local event handling strategy. Black-Right-Pointing-Pointer A self-organising workflow benefits from sample tags consisting of time stamp and stream activity. - Abstract: Establishing adequate technical and physical boundary conditions for a sustained nuclear fusion reaction is a challenging task. Phased feedback control and monitoring for heating, fuelling and magnetic shaping is mandatory, especially for fusion devices aiming at high performance plasmas. Technical and physical interrelations require close collaboration of many components in sequential as well as in parallel processing flows. Moreover, handling of asynchronous, off-normal events has become a key element of modern plasma performance optimisation and machine protection recipes. The manifoldness of plasma states and events, the variety of plant system operation states and the diversity in diagnostic data sampling rates can hardly be mastered with a rigid control scheme. Rather, an adaptive system topology in combination with sophisticated synchronisation and process scheduling mechanisms is suited for such an environment. Moreover, the system is subject to real-time control constraints: response times must be deterministic and adequately short. Therefore, the experimental tokamak device ASDEX Upgrade employs a discharge control system DCS, whose core has been designed to meet these requirements. In the paper we will compare the scheduling schemes for the parallelised realisation of a control workflow and show the advantage of a data-driven workflow over a managed workflow. The data-driven workflow as used in DCS is based on signals

  18. High beta plasma operation in a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Clarke, J.F.

    1978-01-01

    A high beta plasma is produced in a plasma producing device of toroidal configuration by ohmic heating and auxiliary heating. The plasma pressure is continuously monitored and used in a control system to program the current in the poloidal field windings. Throughout the heating process, magnetic flux is conserved inside the plasma and the distortion of the flux surfaces drives a current in the plasma. As a consequence, the total current increases and the poloidal field windings are driven with an equal and opposing increasing current. The spatial distribution of the current in the poloidal field windings is determined by the plasma pressure. Plasma equilibrium is maintained thereby, and high temperature, high beta operation results

  19. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  20. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  1. Visualizing the influence of the process parameters on the keyhole dimensions in plasma arc welding

    International Nuclear Information System (INIS)

    Liu, Z M; Wu, C S; Chen, M A

    2012-01-01

    The keyhole status and its dimensions are critical information determining both the process quality and weld quality in plasma arc welding (PAW). It is of great significance to measure the keyhole shape and size and to correlate them with the main process parameters. In this study, a low-cost vision system is developed to visualize the keyhole at the backside of the test-pieces in PAW. Three stages of keyhole evolution, i.e. initial blind stage (non-penetrated keyhole), unstable stage with momentarily disappeared keyhole and quasi-steady open keyhole stage (fully-penetrated keyhole), are measured in real-time during the PAW tests on stainless steel test-pieces of thickness 8 mm. Based on the captured images of keyhole under different welding conditions, the correlations of the main welding process parameters (welding current, welding speed, plasma gas flow rate) with the keyhole length, width and area are visualized through vision measurement. It lays a solid foundation for implementing keyhole stability control and process optimization in keyhole PAW. (paper)

  2. Electrical Processes in a Flowing Plasma with Cold Electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Distefano, E.; Fraidenraich, N. [Facultad de Ciencias Fisicas y Matematicas, University of Chile, Santiago (Chile)

    1968-11-15

    The voltage-current characteristics of a flowing plasma between two electrodes is of interest for MHD power generation because of the high voltage drop necessary to make a current flow through the cool boundary layer of the plasma, lowering the efficiency of the MHD generator when the duct walls are cooled. The V-I characteristics are obtained for a combustion driven shock-tube generated plasma, and the voltage distribution is measured by probes inserted across the plasma. The gas used is argon and the plasma parameters are: T = 9000 Degree-Sign K, p = 130 mmHg, u = 2500 m/sec, n{sub e} = 1.60 x 10{sup 15} cm{sup -3}. The probe technique has allowed experimental confirmation of the high voltage drop obtained in the vicinity of the cathode. A theoretical model has been set up in order to explain the main features of this phenomenon. The model considers the voltage drop along the following regions: the turbulent boundary layer and the viscous sublayer. The structure of the first two regions are taken into account according to the Coles transformation theory. The model considers three fluids, ions, electrons and neutrals: the mass and momentum particle conservation together with the Poisson equation and continuity of electric current allows us to set up a system of four differential equations with four unknowns. Pair production is taken into account in order to explain the necessary change over from electron current in the main body of the plasma to the predominantly ionic current in the neighbourhood of the cathode wall. Numerical computation of the system of equations has been done and the main features of the experimental results are explained. (author)

  3. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  4. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  5. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    CERN Document Server

    Martins, D R; Verdonck, P; Brown, I G

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  6. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    International Nuclear Information System (INIS)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the stopping and range of ions in matter code. We find film contamination of the order of 10 -4 -10 -3 , and the memory of the prior history of the deposition hardware can be relatively long lasting

  7. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    Energy Technology Data Exchange (ETDEWEB)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-08-13

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  8. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  9. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  10. Chemistry and Properties of Slags from Navy Solid Waste Destruction in the Plasma Arc System

    National Research Council Canada - National Science Library

    Talmy, Inna

    1999-01-01

    The U.S. Navy is currently developing a highly efficient shipboard system which employs a plasma torch to process Navy solid wastes primarily consisting of cardboard, paper, steel, aluminum, glass, and food waste...

  11. A plasma melting system for solid radioactive waste

    International Nuclear Information System (INIS)

    Higashi, Yasuo; Sugimoto, Masahiko; Fujitomi, Masashi; Noura, Tsuyoshi

    2003-01-01

    Kobe Steel has developed a plasma melting system for the volume reduction and stabilization of solid radioactive wastes such as concrete, insulation, filters, glass, sand etc. The main features of the system are as follows. (1) Non-transfer air plasma torches: 1.3 MW x 2 (2) Treatment capacity: 2 tons/batch (3) Waste feed: 200 liter drums (4) Tapping method: furnace tilting (5) Molten slag cooling: in the system's chambers. In this paper, an outline of the system and its first-run performance results are described. (author)

  12. Plasma flow reactor for steady state monitoring of physical and chemical processes at high temperatures.

    Science.gov (United States)

    Koroglu, Batikan; Mehl, Marco; Armstrong, Michael R; Crowhurst, Jonathan C; Weisz, David G; Zaug, Joseph M; Dai, Zurong; Radousky, Harry B; Chernov, Alex; Ramon, Erick; Stavrou, Elissaios; Knight, Kim; Fabris, Andrea L; Cappelli, Mark A; Rose, Timothy P

    2017-09-01

    We present the development of a steady state plasma flow reactor to investigate gas phase physical and chemical processes that occur at high temperature (1000 flow injector). We have modeled the system using computational fluid dynamics simulations that are bounded by measured temperatures. In situ line-of-sight optical emission and absorption spectroscopy have been used to determine the structures and concentrations of molecules formed during rapid cooling of reactants after they pass through the plasma. Emission spectroscopy also enables us to determine the temperatures at which these dynamic processes occur. A sample collection probe inserted from the open end of the reactor is used to collect condensed materials and analyze them ex situ using electron microscopy. The preliminary results of two separate investigations involving the condensation of metal oxides and chemical kinetics of high-temperature gas reactions are discussed.

  13. Theory and Simulations of Solar System Plasmas

    Science.gov (United States)

    Goldstein, Melvyn L.

    2011-01-01

    "Theory and simulations of solar system plasmas" aims to highlight results from microscopic to global scales, achieved by theoretical investigations and numerical simulations of the plasma dynamics in the solar system. The theoretical approach must allow evidencing the universality of the phenomena being considered, whatever the region is where their role is studied; at the Sun, in the solar corona, in the interplanetary space or in planetary magnetospheres. All possible theoretical issues concerning plasma dynamics are welcome, especially those using numerical models and simulations, since these tools are mandatory whenever analytical treatments fail, in particular when complex nonlinear phenomena are at work. Comparative studies for ongoing missions like Cassini, Cluster, Demeter, Stereo, Wind, SDO, Hinode, as well as those preparing future missions and proposals, like, e.g., MMS and Solar Orbiter, are especially encouraged.

  14. Plasma vitrification program for radioactive waste treatment

    International Nuclear Information System (INIS)

    Hung, Tsungmin; Tzeng, Chinchin; Kuo, Pingchun

    1998-01-01

    In order to treat radioactive wastes effectively and solve storage problems, INER has developed the plasma arc technology and plasma process for various waste forms for several years. The plasma vitrification program is commenced via different developing stages through nine years. It includes (a) development of non-transferred DC plasma torch, (b) establishment of a lab-scale plasma system with home-made 100kW non-transferred DC plasma torch, (c) testing of plasma vitrification of simulated radioactive wastes, (d) establishment of a transferred DC plasma torch delivering output power more than 800 kW, (e) study of NOx reduction process for the plasma furnace, (f) development of a pilot-scale plasma melting furnace to verify the vitrification process, and (g) constructing a plasma furnace facility in INER. The final goal of the program is to establish a plasma processing plant with capacity of 250 kg/hr to treat the low-level radioactive wastes generated from INER itself and domestic institutes due to isotope applications. (author)

  15. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  16. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  17. Mirror fusion test facility plasma diagnostics system

    International Nuclear Information System (INIS)

    Thomas, S.R. Jr.; Coffield, F.E.; Davis, G.E.; Felker, B.

    1979-01-01

    During the past 25 years, experiments with several magnetic mirror machines were performed as part of the Magnetic Fusion Energy (MFE) Program at LLL. The latest MFE experiment, the Mirror Fusion Test Facility (MFTF), builds on the advances of earlier machines in initiating, stabilizing, heating, and sustaining plasmas formed with deuterium. The goals of this machine are to increase ion and electron temperatures and show a corresponding increase in containment time, to test theoretical scaling laws of plasma instabilities with increased physical dimensions, and to sustain high-beta plasmas for times that are long compared to the energy containment time. This paper describes the diagnostic system being developed to characterize these plasma parameters

  18. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  20. Continuous, saturation, and discontinuous tokamak plasma vertical position control systems

    Energy Technology Data Exchange (ETDEWEB)

    Mitrishkin, Yuri V., E-mail: y_mitrishkin@hotmail.com [M. V. Lomonosov Moscow State University, Faculty of Physics, Moscow 119991 (Russian Federation); Pavlova, Evgeniia A., E-mail: janerigoler@mail.ru [M. V. Lomonosov Moscow State University, Faculty of Physics, Moscow 119991 (Russian Federation); Kuznetsov, Evgenii A., E-mail: ea.kuznetsov@mail.ru [Troitsk Institute for Innovation and Fusion Research, Moscow 142190 (Russian Federation); Gaydamaka, Kirill I., E-mail: k.gaydamaka@gmail.com [V. A. Trapeznikov Institute of Control Sciences of the Russian Academy of Sciences, Moscow 117997 (Russian Federation)

    2016-10-15

    Highlights: • Robust new linear state feedback control system for tokamak plasma vertical position. • Plasma vertical position relay control system with voltage inverter in sliding mode. • Design of full models of multiphase rectifier and voltage inverter. • First-order unit approximation of full multiphase rectifier model with high accuracy. • Wider range of unstable plant parameters of stable control system with multiphase rectifier. - Abstract: This paper is devoted to the design and comparison of unstable plasma vertical position control systems in the T-15 tokamak with the application of two types of actuators: a multiphase thyristor rectifier and a transistor voltage inverter. An unstable dynamic element obtained by the identification of plasma-physical DINA code was used as the plasma model. The simplest static feedback state space control law was synthesized as a linear combination of signals accessible to physical measurements, namely the plasma vertical displacement, the current, and the voltage in a horizontal field coil, to solve the pole placement problem for a closed-loop system. Only one system distinctive parameter was used to optimize the performance of the feedback system, viz., a multiple real pole. A first-order inertial unit was used as the rectifier model in the feedback. A system with a complete rectifier model was investigated as well. A system with the voltage inverter model and static linear controller was brought into a sliding mode. As this takes place, real time delays were taken into account in the discontinuous voltage inverter model. The comparison of the linear and sliding mode systems showed that the linear system enjoyed an essentially wider range of the plant model parameters where the feedback system was stable.

  1. Continuous, saturation, and discontinuous tokamak plasma vertical position control systems

    International Nuclear Information System (INIS)

    Mitrishkin, Yuri V.; Pavlova, Evgeniia A.; Kuznetsov, Evgenii A.; Gaydamaka, Kirill I.

    2016-01-01

    Highlights: • Robust new linear state feedback control system for tokamak plasma vertical position. • Plasma vertical position relay control system with voltage inverter in sliding mode. • Design of full models of multiphase rectifier and voltage inverter. • First-order unit approximation of full multiphase rectifier model with high accuracy. • Wider range of unstable plant parameters of stable control system with multiphase rectifier. - Abstract: This paper is devoted to the design and comparison of unstable plasma vertical position control systems in the T-15 tokamak with the application of two types of actuators: a multiphase thyristor rectifier and a transistor voltage inverter. An unstable dynamic element obtained by the identification of plasma-physical DINA code was used as the plasma model. The simplest static feedback state space control law was synthesized as a linear combination of signals accessible to physical measurements, namely the plasma vertical displacement, the current, and the voltage in a horizontal field coil, to solve the pole placement problem for a closed-loop system. Only one system distinctive parameter was used to optimize the performance of the feedback system, viz., a multiple real pole. A first-order inertial unit was used as the rectifier model in the feedback. A system with a complete rectifier model was investigated as well. A system with the voltage inverter model and static linear controller was brought into a sliding mode. As this takes place, real time delays were taken into account in the discontinuous voltage inverter model. The comparison of the linear and sliding mode systems showed that the linear system enjoyed an essentially wider range of the plant model parameters where the feedback system was stable.

  2. Design and construction the identification of nitriding plasma process parameters using personal computer based on serial communication

    International Nuclear Information System (INIS)

    Frida Iswinning Diah; Slamet Santosa

    2012-01-01

    Design and construction the identification of process parameters using personal computer based on serial communication PLC M-series has been done. The function of this device is to identify the process parameters of a system (plan), to which then be analyzed and conducted a follow-up given to the plan by the user. The main component of this device is the M-Series T100MD1616 PLC and personal computer (PC). In this device the data plan parameters obtained from the corresponding sensor outputs in the form of voltage or current. While the analog parameter data is adjusted to the ADC analog input of the PLC using a signal conditioning system. Then, as the parameter is processed by the PLC then sent to a PC via RS232 to be displayed in the form of graphs or tables and stored in the database. Software to program the database is created using Visual Basic Programming V-6. The device operation test is performed for the measurement of temperature parameter and vacuum level on the plasma nitriding machine. The results indicate that the device has functioning as an identification device parameters process of plasma nitriding machine. (author)

  3. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  4. Development of Integrated Simulation System for Helical Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Y.; Yokoyama, M.; Nakajima, N.; Fukuyama, A.; Watanabe, K. Y.; Funaba, H.; Suzuki, Y.; Murakami, S.; Ida, K.; Sakakibara, S.; Yamada, H.

    2005-07-01

    Recent progress of computers (parallel/vector-parallel computers, PC clusters, for example) and numerical codes for helical plasmas like three-dimensional MHD equilibrium codes, combined with the development of the plasma diagnostics technique, enable us to do the detailed theoretical analyses of the individual experimental observations. Now, it is pointed out that the experimental data analysis from the viewpoints of integrated physics is an important issue to understand the confinement physics globally. In addition to that, there are international movements towards the integrated numerical simulation study. One is several proposals of integrated modeling of burning tokamak plasmas, motivated by the ITER activity. The integrated numerical simulation will be a good help to draw up new experimental plans especially for burning plasma experiments. Another movement is international collaborations on the confinement database and neoclassical transport in helical plasmas/stellarators. These backgrounds motivate us to start the development of the integrated simulation system which has a modular structure and user-friendly interfaces. The integrated simulation system, which is based on the hierarchical and multi-scale (time and space) modeling, will also be a platform for theoreticians to test their own model such as turbulent transport model. In this paper, we will show the strategy of developing the integrated simulation system and present status of the development. Especially, we discuss the modeling of the time evolution of the plasma net current profile, which is equivalent to the time evolution of the rotational transform profile, in the resistive time scale. (Author)

  5. Magnetospheric plasma waves

    International Nuclear Information System (INIS)

    Shawhan, S.D.

    1977-01-01

    A brief history of plasma wave observations in the Earth's magnetosphere is recounted and a classification of the identified plasma wave phenomena is presented. The existence of plasma waves is discussed in terms of the characteristic frequencies of the plasma, the energetic particle populations and the proposed generation mechanisms. Examples are given for which plasmas waves have provided information about the plasma parameters and particle characteristics once a reasonable theory has been developed. Observational evidence and arguments by analogy to the observed Earth plasma wave processes are used to identify plasma waves that may be significant in other planetary magnetospheres. The similarities between the observed characteristics of the terrestrial kilometric radiation and radio bursts from Jupiter, Saturn and possibly Uranus are stressed. Important scientific problems concerning plasma wave processes in the solar system and beyond are identified and discussed. Models for solar flares, flare star radio outbursts and pulsars include elements which are also common to the models for magnetospheric radio bursts. Finally, a listing of the research and development in terms of instruments, missions, laboratory experiments, theory and computer simulations needed to make meaningful progress on the outstanding scientific problems of plasma wave research is given. (Auth.)

  6. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  7. Plasma processing of fibre materials for enhanced impact protection

    NARCIS (Netherlands)

    Creyghton, Y.L.M.; Simor, M.

    2009-01-01

    The performance of lightweight impact protective clothing depends on the constituting materials, their assembly in a system and interaction under various dynamic impact conditions. In this paper an overview of options for improved impact protective clothing systems based on a new plasma technology

  8. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  9. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  10. Production of stable isotopes utilizing the plasma separation process

    Science.gov (United States)

    Bigelow, T. S.; Tarallo, F. J.; Stevenson, N. R.

    2005-12-01

    A plasma separation process (PSP) is being operated at Theragenics Corporation's®, Oak Ridge, TN, facility for the enrichment of stable isotopes. The PSP utilizes ion cyclotron mass discrimination to separate isotopes on a relatively large scale. With a few exceptions, nearly any metallic element could be processed with PSP. Output isotope enrichment factor depends on natural abundance and mass separation and can be fairly high in some cases. The Theragenics™ PSP facility is believed to be the only such process currently in operation. This system was developed and formerly operated under the US Department of Energy Advanced Isotope Separation program. Theragenics™ also has a laboratory at the PSP site capable of harvesting the isotopes from the process and a mass spectrometer system for analyzing enrichment and product purity. Since becoming operational in 2002, Theragenics™ has utilized the PSP to separate isotopes of several elements including: dysprosium, erbium, gadolinium, molybdenum and nickel. Currently, Theragenics™ is using the PSP for the separation of 102Pd, which is used as precursor for the production of 103Pd. The 103Pd radioisotope is the active ingredient in TheraSeed®, which is used in the treatment of early stage prostate cancer and being investigated for other medical applications. New industrial, medical and research applications are being investigated for isotopes that can be enriched on the PSP. Pre-enrichment of accelerator or reactor targets offers improved radioisotope production. Theragenics operates 14 cyclotrons for proton activation and has access to HFIR at ORNL for neutron activation of radioisotopes.

  11. Characteristics and performance of the variable polarity plasma arc welding process used in the Space Shuttle external tank

    Science.gov (United States)

    Hung, R. J.; Lee, C. C.; Liu, J. W.

    1990-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. Flow profiles and power distribution of argon plasma gas as a working fluid to produce plasma arc jet in the VPPA welding process was analyzed. Major loss of heat transfer for flow through the nozzle is convective heat transfer; for the plasma jet flow between the outlet of the nozzle and workpiece is radiative heat transfer; and for the flow through the keyhole of the workpiece is convective heat transfer. The majority of the power absorbed by the keyhole of the workpiece is used for melting the solid metal workpiece into a molten metallic puddle. The crown and root widths and the crown and root heights can be predicted. An algorithm for promoting automatic control of flow parameters and the dimensions of the final product of the welding specification to be used for the VPPA Welding System operated at MSFC are provided.

  12. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  13. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  14. Modelling of plasma generation and expansion in a vacuum arc: application to the vacuum arc remelting process

    International Nuclear Information System (INIS)

    Chapelle, P.; Bellot, J.P.; Duval, H.; Jardy, A.; Ablitzer, D.

    2002-01-01

    As part of a complete theoretical description of the behaviour of the electric arc in the vacuum arc remelting process, a model has been developed for the column of plasma generated by a single cluster of cathode spots. The model combines a kinetic approach, taking into account the formation of the plasma in the cathodic region, and a hydrodynamic approach, describing the expansion of the plasma in the vacuum between the electrodes. The kinetic model is based on a system of Boltzmann-Vlasov-Poisson equations and uses a particle-type simulation procedure, combining the PIC (particle in cell) and FPM (finite point set method) methods. In the two-dimensional hydrodynamic model, the plasma is assimilated to a mixture of two continuous fluids (the electrons and the ions), each described by a system of coupled transport equations. Finally, a simplified method has been defined for calculating the electric current density and the energy flux density transmitted by the plasma to the anode. The results of the numerical simulation presented are consistent with a certain number of experimental data available in the literature. In particular, the model predicts a percentage of the electric power of the cluster transmitted to the anode (25%) in good agreement with the value indicated in the literature. (author)

  15. Structure of the automatic system for plasma equilibrium position control

    International Nuclear Information System (INIS)

    Gubarev, V.F.; Krivonos, Yu.G.; Samojlenko, Yu.I.; Snegur, A.A.

    1978-01-01

    Considered are the principles of construction of the automatic system for plasma filament equilibrium position control inside the discharge chamber for the installation of a tokamak type. The combined current control system in control winding is suggested. The most powerful subsystem creates current in the control winding according to the program calculated beforehand. This system provides plasma rough equilibrium along the ''big radius''. The subsystem performing the current change in small limits according to the principle of feed-back coupling is provided simultaneously. The stabilization of plasma position is achieved in the discharge chamber. The advantage of construction of such system is in decreasing of the automatic requlator power without lowering the requirements to the accuracy of equilibrium preservation. The subsystem of automatic control of plasma position over the vertical is put into the system. Such an approach to the construction of the automatic control system proves to be correct; it is based on the experience of application of similar devices for some existing thermonuclear plants

  16. Non-thermal plasmas for non-catalytic and catalytic VOC abatement

    International Nuclear Information System (INIS)

    Vandenbroucke, Arne M.; Morent, Rino; De Geyter, Nathalie; Leys, Christophe

    2011-01-01

    Highlights: → We review the current status of catalytic and non-catalytic VOC abatement based on a vast number of research papers. → The underlying mechanisms of plasma-catalysis for VOC abatement are discussed. → Critical process parameters that determine the influent are discussed and compared. - Abstract: This paper reviews recent achievements and the current status of non-thermal plasma (NTP) technology for the abatement of volatile organic compounds (VOCs). Many reactor configurations have been developed to generate a NTP at atmospheric pressure. Therefore in this review article, the principles of generating NTPs are outlined. Further on, this paper is divided in two equally important parts: plasma-alone and plasma-catalytic systems. Combination of NTP with heterogeneous catalysis has attracted increased attention in order to overcome the weaknesses of plasma-alone systems. An overview is given of the present understanding of the mechanisms involved in plasma-catalytic processes. In both parts (plasma-alone systems and plasma-catalysis), literature on the abatement of VOCs is reviewed in close detail. Special attention is given to the influence of critical process parameters on the removal process.

  17. Kinetic and spectral descriptions of autoionization phenomena associated with atomic processes in plasmas

    Science.gov (United States)

    Jacobs, Verne L.

    2017-06-01

    This investigation has been devoted to the theoretical description and computer modeling of atomic processes giving rise to radiative emission in energetic electron and ion beam interactions and in laboratory plasmas. We are also interested in the effects of directed electron and ion collisions and of anisotropic electric and magnetic fields. In the kinetic-theory description, we treat excitation, de-excitation, ionization, and recombination in electron and ion encounters with partially ionized atomic systems, including the indirect contributions from processes involving autoionizing resonances. These fundamental collisional and electromagnetic interactions also provide particle and photon transport mechanisms. From the spectral perspective, the analysis of atomic radiative emission can reveal detailed information on the physical properties in the plasma environment, such as non-equilibrium electron and charge-state distributions as well as electric and magnetic field distributions. In this investigation, a reduced-density-matrix formulation is developed for the microscopic description of atomic electromagnetic interactions in the presence of environmental (collisional and radiative) relaxation and decoherence processes. Our central objective is a fundamental microscopic description of atomic electromagnetic processes, in which both bound-state and autoionization-resonance phenomena can be treated in a unified and self-consistent manner. The time-domain (equation-of-motion) and frequency-domain (resolvent-operator) formulations of the reduced-density-matrix approach are developed in a unified and self-consistent manner. This is necessary for our ultimate goal of a systematic and self-consistent treatment of non-equilibrium (possibly coherent) atomic-state kinetics and high-resolution (possibly overlapping) spectral-line shapes. We thereby propose the introduction of a generalized collisional-radiative atomic-state kinetics model based on a reduced

  18. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  19. Simple tube centrifugation for processing platelet-rich plasma in the horse.

    Science.gov (United States)

    Fontenot, Robin L; Sink, Carolyn A; Werre, Stephen R; Weinstein, Nicole M; Dahlgren, Linda A

    2012-12-01

    This study evaluated the quality and bacteriologic safety of platelet-rich plasma (PRP) produced by 3 simple, inexpensive tube centrifugation methods and a commercial system. Citrated equine blood collected from 26 normal horses was processed by 4 methods: blood collection tubes centrifuged at 1200 and 2000 × g, 50-mL conical tube, and a commercial system. White blood cell (WBC), red blood cell (RBC), and platelet counts and mean platelet volume (MPV) were determined for whole blood and PRP, and aerobic and anaerobic cultures were performed. Mean platelet concentrations ranged from 1.55- to 2.58-fold. The conical method yielded the most samples with platelet concentrations greater than 2.5-fold and within the clinically acceptable range of > 250,000 platelets/μL. White blood cell counts were lowest with the commercial system and unacceptably high with the blood collection tubes. The conical tube method may offer an economically feasible and comparatively safe alternative to commercial PRP production systems.

  20. Evaluation of IgG4+ Plasma Cell Infiltration in Patients with Systemic Plasmacytosis and Other Plasma Cell-infiltrating Skin Diseases

    Directory of Open Access Journals (Sweden)

    Shintaro Takeoka

    2018-02-01

    Full Text Available Systemic plasmacytosis is a rare skin disorder characterized by marked infiltration of plasma cells in the dermis. IgG4-related disease is pathologically characterized by lymphoplasmacytic infiltration rich in IgG4+ plasma cells, storiform fibrosis, and obliterative phlebitis, accompanied by elevated levels of serum IgG4. Reports of cases of systemic plasmacytosis with abundant infiltration of IgG4+ plasma cells has led to discussion about the relationship between systemic plasmacytosis and IgG4-related disease. This study examined IgG4+/IgG+ plasma cell ratios in 4 patients with systemic plasmacytosis and 12 patients with other skin diseases that show marked infiltration of plasma cells. Furthermore, we examined whether these cases met one of the pathological diagnostic criteria for IgG4-related disease (i.e. IgG4+/IgG plasma cells ratio of over 40%. Only one out of 4 patients with systemic plasmacytosis met the criterion. These results suggest that systemic plasmacytosis and IgG4-related disease are distinct diseases.

  1. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  2. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  3. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  4. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  5. The 26th IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    1999-01-01

    Some of the sessions covered by this conference are: Basic Processes in Fully and Partially Ionized Plasmas; Slow Wave Devices; Laser-Produced Plasma; Non-Equilibrium Plasma Processing; Space Plasmas and Partially Ionized Gases; Microwave Plasmas; Inertial Confinement Fusion; Plasma Diagnostics; Computational Plasma Physics; Microwave Systems; Laser Produced Plasmas and Dense Plasma Focus; Intense Electron and Ion Beams; Fast Wave Devices; Spherical Configurations and Ball Lightning; Thermal Plasma Chemistry and Processing and Environmental Issues in Plasma Science; Plasma, Ion, and Electron Sources; Fast Wave Devices and Intense Beams; Fast Z-pinches and X-ray Lasers; Plasma Opening Switches; Plasma for Lighting; Intense Beams; Vacuum Microwaves; Magnetic Fusion Energy; and Plasma Thrusters and Arcs. Separate abstracts were prepared for some of the papers in this volume

  6. Transformation instability of oscillations in inhomogeneous beam-plasma system

    International Nuclear Information System (INIS)

    Kitsenko, A.B.

    1985-01-01

    Wave transformation is studied in a plasma system which was weak-inhomogeneous along beam velocity, in absence of external magnetic field. For the case of small density beam formulae are obtained which have set a coupling between the charge density beam wave amplitudes and the Langmuir wave on both sides of transformation point. It is shown that in collisionless plasma the wave production is a cause of the absorption of the charge density beam waves. Transformation mechanism of the absolute instability in the weak-inhomogeneous beam-plasma system is revealed

  7. The Plasma Hearth Process demonstration project for mixed waste treatment

    International Nuclear Information System (INIS)

    Geimer, R.; Dwight, C.; McClellan, G.

    1994-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development (OTD) Mixed Waste Integrated Program (MWIP). Testing to date has yielded encouraging results in displaying potential applications for the PHP technology. Early tests have shown that a wide range of waste materials can be readily processed in the PHP and converted to a vitreous product. Waste materials can be treated in their original container as received at the treatment facility, without pretreatment. The vitreous product, when cooled, exhibits excellent performance in leach resistance, consistently exceeding the Environmental Protection Agency (EPA) Toxicity Characteristic Leaching Procedure (TCLP) requirements. Performance of the Demonstration System during test operations has been shown to meet emission requirements. An accelerated development phase, being conducted at both bench- and pilot-scale on both nonradioactive and radioactive materials, will confirm the viability of the process. It is anticipated that, as a result of this accelerated technology development and demonstration phase, the PHP will be ready for a final field-level demonstration within three years

  8. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  9. Plasma centrifuge

    International Nuclear Information System (INIS)

    Ikehata, Takashi; Mase, Hiroshi

    1998-01-01

    The plasma centrifuge is one of statistical isotope separation processes which uses the centrifugal force of a J x B driven rotating plasma in a magnetic field to give rise to the mass-dependent radial transport of isotopic ions. The system has been developed as an alternative to the gas centrifuge because a much higher rotational velocity and separation factor have been achieved. In this review, the physical aspects of the plasma centrifuge followed by the recent experimental achievements are described, especially in comparison with the gas centrifuge. (author)

  10. Development of the 'JFT-2' tokamak plasma position control system

    International Nuclear Information System (INIS)

    Fujisawa, Noboru; Matsuzaki, Yoshimi; Suzuki, Norio; Murai, Katsuji; Suzuki, Satoshi.

    1980-01-01

    Digital control technique was applied to control the plasma position in the JFT-2 tokamak experiment device. The detail of the JFT-2 is described elsewhere. The plasma position control system consists of a Hitachi control computer, HIDIC 80, and a Hitachi micro-computer, HIDIC 08E. The plasma position is detected by the position control computer, and compared with a preset value. Then, a reference signal is supplied to the micro-computer controlling power source, and the phase control of the thyristor controlling power source is performed. Since the behavior of plasma is very fast, the fast control is required. The control of the thyristor controlling power source is made by direct digital control (DDC). The main component of the hardware of the present system is the micro-computer HIDIC 08E. The software is the direct task system without the operating system (OS). The results of experiments showed that the feedback control of the system worked well. (Kato, T.)

  11. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  12. Overview of the preliminary design of the ITER plasma control system

    Science.gov (United States)

    Snipes, J. A.; Albanese, R.; Ambrosino, G.; Ambrosino, R.; Amoskov, V.; Blanken, T. C.; Bremond, S.; Cinque, M.; de Tommasi, G.; de Vries, P. C.; Eidietis, N.; Felici, F.; Felton, R.; Ferron, J.; Formisano, A.; Gribov, Y.; Hosokawa, M.; Hyatt, A.; Humphreys, D.; Jackson, G.; Kavin, A.; Khayrutdinov, R.; Kim, D.; Kim, S. H.; Konovalov, S.; Lamzin, E.; Lehnen, M.; Lukash, V.; Lomas, P.; Mattei, M.; Mineev, A.; Moreau, P.; Neu, G.; Nouailletas, R.; Pautasso, G.; Pironti, A.; Rapson, C.; Raupp, G.; Ravensbergen, T.; Rimini, F.; Schneider, M.; Travere, J.-M.; Treutterer, W.; Villone, F.; Walker, M.; Welander, A.; Winter, A.; Zabeo, L.

    2017-12-01

    An overview of the preliminary design of the ITER plasma control system (PCS) is described here, which focusses on the needs for 1st plasma and early plasma operation in hydrogen/helium (H/He) up to a plasma current of 15 MA with moderate auxiliary heating power in low confinement mode (L-mode). Candidate control schemes for basic magnetic control, including divertor operation and kinetic control of the electron density with gas puffing and pellet injection, were developed. Commissioning of the auxiliary heating systems is included as well as support functions for stray field topology and real-time plasma boundary reconstruction. Initial exception handling schemes for faults of essential plant systems and for disruption protection were developed. The PCS architecture was also developed to be capable of handling basic control for early commissioning and the advanced control functions that will be needed for future high performance operation. A plasma control simulator is also being developed to test and validate control schemes. To handle the complexity of the ITER PCS, a systems engineering approach has been adopted with the development of a plasma control database to keep track of all control requirements.

  13. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  14. Plasma transport simulation modeling for helical confinement systems

    International Nuclear Information System (INIS)

    Yamazaki, K.; Amano, T.

    1991-08-01

    New empirical and theoretical transport models for helical confinement systems are developed based on the neoclassical transport theory including the effect of radial electric field and multi-helicity magnetic components, and the drift wave turbulence transport for electrostatic and electromagnetic modes, or the anomalous semi-empirical transport. These electron thermal diffusivities are compared with CHS (Compact Helical System) experimental data, which indicates that the central transport coefficient of the ECH plasma agrees with the neoclassical axi-symmetric value and the transport outside the half radius is anomalous. On the other hand, the transport of NBI-heated plasmas is anomalous in the whole plasma region. This anomaly is not explained by the electrostatic drift wave turbulence models in these flat-density-profile discharges. For the detailed prediction of plasma parameters in LHD (Large Helical Device), 3-D(dimensional) equilibrium/1-D transport simulations including empirical or drift wave turbulence models are carried out, which suggests that the global confinement time of LHD is determined mainly by the electron anomalous transport near the plasma edge region rather than the helical ripple transport in the core region. Even if the ripple loss can be eliminated, the increase of the global confinement is 10%. However, the rise in the central ion temperature is more than 20%. If the anomalous loss can be reduced to the half level of the present scaling, like so-called 'H-mode' of the tokamak discharge, the neoclassical ripple loss through the ion channel becomes important even in the plasma core. The 5% radial inward shift of the plasma column with respect to the major radius is effective for improving plasma confinement and raising more than 50% of the fusion product by reducing this neoclassical asymmetric ion transport loss and increasing 10% in the plasma radius. (author)

  15. Optical fibres for fusion plasma diagnostics systems

    International Nuclear Information System (INIS)

    Brichard, B.

    2005-01-01

    The condition to achieve and maintain the ignition of a thermonuclear fusion plasma ignition calls for the construction of a large scale fusion reactor, namely ITER. This reactor is designed to deliver an average fusion power of 500 MW. The burning of fusion plasma at such high power level will release a tremendous amount of energy in the form of particle fluxes and ionising radiation. This energy release, primarily absorbed by the plasma facing components, can significantly degrade the performances of the plasma diagnostic equipment surrounding the machine. To ensure a correct operation of the Tokamak we need to develop highly radiation-resistance devices. In plasma diagnostic systems, optical fibre is viewed as a convenient tool to transport light from the plasma edge to the diagnostic area. Radiation affects the optical performances of the fibre mainly by the occurrence of radiation-induced absorption and luminescence. Both effects degrade the light signal used for plasma diagnostic. SCK-CEN is currently assessing radiation-resistant glasses for optical fibres and is developing the associated qualification procedure. The main objectives of this study were to increase the lifetime of optical components in high radiation background and to develop a radiation resistance optical fibre capable to operate in the radiation background of ITER

  16. Plasma confinement system and methods for use

    Science.gov (United States)

    Jarboe, Thomas R.; Sutherland, Derek

    2017-09-05

    A plasma confinement system is provided that includes a confinement chamber that includes one or more enclosures of respective helicity injectors. The one or more enclosures are coupled to ports at an outer radius of the confinement chamber. The system further includes one or more conductive coils aligned substantially parallel to the one or more enclosures and a further set of one or more conductive coils respectively surrounding portions of the one or more enclosures. Currents may be provided to the sets of conductive coils to energize a gas within the confinement chamber into a plasma. Further, a heat-exchange system is provided that includes an inner wall, an intermediate wall, an outer wall, and pipe sections configured to carry coolant through cavities formed by the walls.

  17. The Plasma Discharge System For Effective Sterilization Of Water And Solid Surfaces

    International Nuclear Information System (INIS)

    Senturk, K.

    2010-01-01

    The different areas such as medicine, surgery, food production need efficient sterilization system since they are directly related to human health. In this work a new plasma system is described in order to present its effectiveness in sterilization. This is a different method from conventional methods such as: chemicals and heat addition, UV irradiation etc. The developed plasma system produces cold plasma working under atmospheric pressure. To generate the plasma both AC and DC high voltage power supplies were used. The developed system is cheap and very effective for sterilization. The light emission for both AC and DC coronas for the plasmas were investigated to understand the nature of generated plasma ionization. Different parameters like temperature, voltage, application time were changed during the plasma application and the optimization for killing the micro-organisms were investigated. To understand the biological effect of plasma on the organisms comparisons were done by using the scanning electron microscope and absorption spectrometer. The plasma was applied on the bacteria like Escherichia coli, Bacillus subtilis, Streptococcus mutans , the yeasts such as Candida albicans, and green algae. The efficiency, the non toxic nature, the affordable price make this plasma discharge method a very efficient one for sterilization.

  18. Beam-plasma interaction in case of injection of the electron beam to the symmetrically open plasma system

    International Nuclear Information System (INIS)

    Opanasenko, A.V.; Romanyuk, L.I.

    1992-01-01

    A beam-plasma interaction at the entrance of the symmetrically open plasma system with an electron beam injected through it is investigated. An ignition of the plasma-beam discharge on waves of upper hybrid dispersion branch of a magnetoactive plasma is found in the plasma penetrating into the vacuum contrary to the beam. It is shown that the beam-plasma discharge is localized in the inhomogeneous penetrating plasma in the zone where only these waves exist. Regularities of the beam-plasma discharge ignition and manifestation are described. It is determined that the electron beam crossing the discharge zone leads to the strong energy relaxation of the beam. It is shown possible to control the beam-plasma discharge ignition by changing the potential of the electron beam collector. (author)

  19. Architectural concept for the ITER Plasma Control System

    Energy Technology Data Exchange (ETDEWEB)

    Treutterer, W., E-mail: Wolfgang.Treutterer@ipp.mpg.de [Max-Planck Institute for Plasma Physics, EURATOM Association, Garching (Germany); Humphreys, D., E-mail: humphreys@fusion.gat.com [General Atomics, San Diego, CA (United States); Raupp, G., E-mail: Gerhard.Raupp@ipp.mpg.de [Max-Planck Institute for Plasma Physics, EURATOM Association, Garching (Germany); Schuster, E., E-mail: schuster@lehigh.edu [Lehigh University, Bethlehem, PA (United States); Snipes, J., E-mail: Joseph.Snipes@iter.org [ITER Organization, 13115 St. Paul-lez-Durance (France); De Tommasi, G., E-mail: detommas@unina.it [CREATE/Università di Napoli Federico II, Napoli (Italy); Walker, M., E-mail: walker@fusion.gat.com [General Atomics, San Diego, CA (United States); Winter, A., E-mail: Axel.Winter@iter.org [ITER Organization, 13115 St. Paul-lez-Durance (France)

    2014-05-15

    The plasma control system is a key instrument for successfully investigating the physics of burning plasma at ITER. It has the task to execute an experimental plan, known as pulse schedule, in the presence of complex relationships between plasma parameters like temperature, pressure, confinement and shape. The biggest challenge in the design of the control system is to find an adequate breakdown of this task in a hierarchy of feedback control functions. But it is also important to foresee structures that allow handling unplanned exceptional situations to protect the machine. Also the management of the limited number of actuator systems for multiple targets is an aspect with a strong impact on system architecture. Finally, the control system must be flexible and reconfigurable to cover the manifold facets of plasma behaviour and investigation goals. In order to prepare the development of a control system for ITER plasma operation, a conceptual design has been proposed by a group of worldwide experts and reviewed by an ITER panel in 2012. In this paper we describe the fundamental principles of the proposed control system architecture and how they were derived from a systematic collection and analysis of use cases and requirements. The experience and best practices from many fusion devices and research laboratories, augmented by the envisaged ITER specific tasks, build the foundation of this collection. In the next step control functions were distilled from this input. An analysis of the relationships between the functions allowed sequential and parallel structures, alternate branches and conflicting requirements to be identified. Finally, a concept of selectable control layers consisting of nested “compact controllers” was synthesised. Each control layer represents a cascaded scheme from high-level to elementary controllers and implements a control hierarchy. The compact controllers are used to resolve conflicts when several control functions would use the same

  20. Architectural concept for the ITER Plasma Control System

    International Nuclear Information System (INIS)

    Treutterer, W.; Humphreys, D.; Raupp, G.; Schuster, E.; Snipes, J.; De Tommasi, G.; Walker, M.; Winter, A.

    2014-01-01

    The plasma control system is a key instrument for successfully investigating the physics of burning plasma at ITER. It has the task to execute an experimental plan, known as pulse schedule, in the presence of complex relationships between plasma parameters like temperature, pressure, confinement and shape. The biggest challenge in the design of the control system is to find an adequate breakdown of this task in a hierarchy of feedback control functions. But it is also important to foresee structures that allow handling unplanned exceptional situations to protect the machine. Also the management of the limited number of actuator systems for multiple targets is an aspect with a strong impact on system architecture. Finally, the control system must be flexible and reconfigurable to cover the manifold facets of plasma behaviour and investigation goals. In order to prepare the development of a control system for ITER plasma operation, a conceptual design has been proposed by a group of worldwide experts and reviewed by an ITER panel in 2012. In this paper we describe the fundamental principles of the proposed control system architecture and how they were derived from a systematic collection and analysis of use cases and requirements. The experience and best practices from many fusion devices and research laboratories, augmented by the envisaged ITER specific tasks, build the foundation of this collection. In the next step control functions were distilled from this input. An analysis of the relationships between the functions allowed sequential and parallel structures, alternate branches and conflicting requirements to be identified. Finally, a concept of selectable control layers consisting of nested “compact controllers” was synthesised. Each control layer represents a cascaded scheme from high-level to elementary controllers and implements a control hierarchy. The compact controllers are used to resolve conflicts when several control functions would use the same

  1. Tunable molten oxide pool assisted plasma-melter vitrification systems

    Science.gov (United States)

    Titus, Charles H.; Cohn, Daniel R.; Surma, Jeffrey E.

    1998-01-01

    The present invention provides tunable waste conversion systems and apparatus which have the advantage of highly robust operation and which provide complete or substantially complete conversion of a wide range of waste streams into useful gas and a stable, nonleachable solid product at a single location with greatly reduced air pollution to meet air quality standards. The systems provide the capability for highly efficient conversion of waste into high quality combustible gas and for high efficiency conversion of the gas into electricity by utilizing a high efficiency gas turbine or an internal combustion engine. The solid product can be suitable for various commercial applications. Alternatively, the solid product stream, which is a safe, stable material, may be disposed of without special considerations as hazardous material. In the preferred embodiment, the arc plasma furnace and joule heated melter are formed as a fully integrated unit with a common melt pool having circuit arrangements for the simultaneous independently controllable operation of both the arc plasma and the joule heated portions of the unit without interference with one another. The preferred configuration of this embodiment of the invention utilizes two arc plasma electrodes with an elongated chamber for the molten pool such that the molten pool is capable of providing conducting paths between electrodes. The apparatus may additionally be employed with reduced use or without further use of the gases generated by the conversion process. The apparatus may be employed as a net energy or net electricity producing unit where use of an auxiliary fuel provides the required level of electricity production. Methods and apparatus for converting metals, non-glass forming waste streams and low-ash producing inorganics into a useful gas are also provided. The methods and apparatus for such conversion include the use of a molten oxide pool having predetermined electrical, thermal and physical

  2. Fusion, space and solar plasmas as complex systems

    International Nuclear Information System (INIS)

    Dendy, R O; Chapman, S C; Paczuski, M

    2007-01-01

    Complex systems science seeks to identify simple universal models that capture the key physics of extended macroscopic systems, whose behaviour is governed by multiple nonlinear coupled processes that operate across a wide range of spatiotemporal scales. In such systems, it is often the case that energy release occurs intermittently, in bursty events, and the phenomenology can exhibit scaling, that is a significant degree of self-similarity. Within plasma physics, such systems include Earth's magnetosphere, the solar corona and toroidal magnetic confinement experiments. Guided by broad understanding of the dominant plasma processes-for example, turbulent transport in tokamaks or reconnection in some space and solar contexts-one may construct minimalist complex systems models that yield relevant global behaviour. Examples considered here include the sandpile approach to tokamaks and the magnetosphere and a multiple loops model for the solar coronal magnetic carpet. Such models can address questions that are inaccessible to analytical treatment and are too demanding for contemporary computational resources; thus they potentially yield new insights, but risk being simplistic. Central to the utility of these models is their capacity to replicate distinctive aspects of observed global phenomenology, often strongly nonlinear, or of event statistics, for which no explanation can be obtained from first principles considerations such as the underlying equations. For example, a sandpile model, which embodies critical-gradient-triggered avalanching transport associated with nearest-neighbour mode coupling and simple boundary conditions (and little else), can be used to generate some of the distinctive observed elements of tokamak confinement phenomenology such as ELMing and edge pedestals. The same sandpile model can also generate distributions of energy-release events whose distinctive statistics resemble those observed in the auroral zone. Similarly, a multiple loops model

  3. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  4. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  5. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  6. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  7. A Survey of Plasmas and Their Applications

    Science.gov (United States)

    Eastman, Timothy E.; Grabbe, C. (Editor)

    2006-01-01

    Plasmas are everywhere and relevant to everyone. We bath in a sea of photons, quanta of electromagnetic radiation, whose sources (natural and artificial) are dominantly plasma-based (stars, fluorescent lights, arc lamps.. .). Plasma surface modification and materials processing contribute increasingly to a wide array of modern artifacts; e.g., tiny plasma discharge elements constitute the pixel arrays of plasma televisions and plasma processing provides roughly one-third of the steps to produce semiconductors, essential elements of our networking and computing infrastructure. Finally, plasmas are central to many cutting edge technologies with high potential (compact high-energy particle accelerators; plasma-enhanced waste processors; high tolerance surface preparation and multifuel preprocessors for transportation systems; fusion for energy production).

  8. Advanced Thomson scattering system for high-flux linear plasma generator

    NARCIS (Netherlands)

    Meiden, van der H.J.; Lof, A.R.; Berg, van den M.A.; Brons, S.; Donné, A.J.H.; Eck, van H.J.N.; Koelman, Peter; Koppers, W.R.; Kruijt, O.G.; Naumenko, N.N.; Oyevaar, T.; Prins, P.R.; Rapp, J.; Scholten, J.; Schram, D.C.; Smeets, P.H.M.; Star, van der G.; Tugarinov, S.N.; Zeijlmans van Emmichoven, P.A.

    2012-01-01

    An advanced Thomson scattering system has been built for a linear plasma generator for plasma surface interaction studies. The Thomson scattering system is based on a Nd:YAG laser operating at the second harmonic and a detection branch featuring a high etendue (f /3) transmission grating

  9. Progress in Development of the ITER Plasma Control System Simulation Platform

    Science.gov (United States)

    Walker, Michael; Humphreys, David; Sammuli, Brian; Ambrosino, Giuseppe; de Tommasi, Gianmaria; Mattei, Massimiliano; Raupp, Gerhard; Treutterer, Wolfgang; Winter, Axel

    2017-10-01

    We report on progress made and expected uses of the Plasma Control System Simulation Platform (PCSSP), the primary test environment for development of the ITER Plasma Control System (PCS). PCSSP will be used for verification and validation of the ITER PCS Final Design for First Plasma, to be completed in 2020. We discuss the objectives of PCSSP, its overall structure, selected features, application to existing devices, and expected evolution over the lifetime of the ITER PCS. We describe an archiving solution for simulation results, methods for incorporating physics models of the plasma and physical plant (tokamak, actuator, and diagnostic systems) into PCSSP, and defining characteristics of models suitable for a plasma control development environment such as PCSSP. Applications of PCSSP simulation models including resistive plasma equilibrium evolution are demonstrated. PCSSP development supported by ITER Organization under ITER/CTS/6000000037. Resistive evolution code developed under General Atomics' Internal funding. The views and opinions expressed herein do not necessarily reflect those of the ITER Organization.

  10. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  11. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  12. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  13. Simulation study of MHD relaxation and reconnection processes in RFP plasma

    International Nuclear Information System (INIS)

    Kusano, Kanya; Kunimoto, Kaito; Suzuki, Yoshio; Tamano, Teruo; Sato, Tetsuya

    1991-01-01

    The authors have studied several nonlinear processes in RFP plasma through the use of 3D MHD simulations. In particular, they have shed light on: (1) dynamo and self-sustainment in reversed-field pinch (RFP), (2) phase locking process in MHD relaxation, and (3) the heating and acceleration in magnetic reconnection process. First, the contributions of the kink (m = 1) mode (linearly unstable) and of the m = 0 mode (driven by nonlinear coupling) to the dynamo are qualitatively evaluated using a high accuracy simulation. It is found that, if the free energy to drive kink instabilities is as small as that in the actual experimental plasma, the m = 0 modes, driven nonlinearly, play a more important role for the flux generation than the kink modes. Secondly, numerical simulations of the self-sustainment process in a RFP are performed. It is confirmed that the self-sustainment process is a coherent oscillating process composed of the MHD relaxation and the resistive diffusion processes. Toroidal phase locking process of kink modes is numerically observed in simulations of self-reversal and self-sustainment processes. It has characteristics similar to the slinky mode observed in the OHTE experiment. A detailed investigation reveals that nonlinear coupling between the most unstable two kink modes governs the entire dynamics in all kink modes and leads to the phase locking process. They find that reconnection can accelerate plasma over a local Alfven speed. This is a result of the fact that the magnetic field in the downstream area plays a similar role to de Laval nozzle. They also investigate the heating mechanisms in reconnection process. It is revealed that the viscous heating rate is as large as the joule heating rate in the reconnection process. This result implies that the viscous heating in the reconnection process is an important candidate for the mechanism to explain the RFP experiments where the ion temperatures is higher than the electron temperature

  14. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  15. A survey of dusty plasma physics

    International Nuclear Information System (INIS)

    Shukla, P.K.

    2001-01-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  16. A survey of dusty plasma physics

    Science.gov (United States)

    Shukla, P. K.

    2001-05-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  17. The ASDEX upgrade digital video processing system for real-time machine protection

    Energy Technology Data Exchange (ETDEWEB)

    Drube, Reinhard, E-mail: reinhard.drube@ipp.mpg.de [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr. 2, 85748 Garching (Germany); Neu, Gregor [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr. 2, 85748 Garching (Germany); Cole, Richard H.; Lüddecke, Klaus [Unlimited Computer Systems GmbH, Seeshaupterstr. 15, 82393 Iffeldorf (Germany); Lunt, Tilmann; Herrmann, Albrecht [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr. 2, 85748 Garching (Germany)

    2013-11-15

    Highlights: • We present the Real-Time Video diagnostic system of ASDEX Upgrade. • We show the implemented image processing algorithms for machine protection. • The way to achieve a robust operating multi-threading Real-Time system is described. -- Abstract: This paper describes the design, implementation, and operation of the Video Real-Time (VRT) diagnostic system of the ASDEX Upgrade plasma experiment and its integration with the ASDEX Upgrade Discharge Control System (DCS). Hot spots produced by heating systems erroneously or accidentally hitting the vessel walls, or from objects in the vessel reaching into the plasma outer border, show up as bright areas in the videos during and after the reaction. A system to prevent damage to the machine by allowing for intervention in a running discharge of the experiment was proposed and implemented. The VRT was implemented on a multi-core real-time Linux system. Up to 16 analog video channels (color and b/w) are acquired and multiple regions of interest (ROI) are processed on each video frame. Detected critical states can be used to initiate appropriate reactions – e.g. gracefully terminate the discharge. The system has been in routine operation since 2007.

  18. Electromagnetic radiation from beam-plasma instabilities

    International Nuclear Information System (INIS)

    Stenzel, R.L.; Whelan, D.A.

    1982-01-01

    This chapter investigates the mechanism by which unstable electrostatic waves of an electron-beam plasma system are converted into observed electromagnetic waves. Electromagnetic radiation arises from both natural beam-plasma systems (e.g., type III solar bursts and kilometric radiation), and from man-made electron beams injected from rockets and spacecraft. A pulsed magnetized discharge plasma is produced with a 1 m diam. oxide-coated cathode and the discussed experiment is performed in the quiescent afterglow. The primary beam-plasma instability involves the excitation of electrostatic plasma waves. Electromagnetic radiation from the beam-plasma system is observed with microwave antennas outside the plasma (all probes removed) or with coax-fed dipoles which can be inserted radially and axially into the plasma. The physical process of mode coupling by which electromagnetic radiation is generated in an electrostatic beam-plasma instability is identified. The results are relevant to beam injection experiments from rockets or satellites into space plasmas. The limited penetration of the beam current into the plasma due to instabilities is demonstrated

  19. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  20. Deep learning for plasma tomography using the bolometer system at JET

    Energy Technology Data Exchange (ETDEWEB)

    Matos, Francisco A. [Instituto Superior Técnico (IST), University of Lisbon (Portugal); Ferreira, Diogo R., E-mail: diogo.ferreira@tecnico.ulisboa.pt [Instituto Superior Técnico (IST), University of Lisbon (Portugal); Carvalho, Pedro J. [Instituto de Plasmas e Fusão Nuclear (IPFN), IST, University of Lisbon (Portugal)

    2017-01-15

    Highlights: • Plasma tomography is able to reconstruct the plasma profile from radiation measurements along several lines of sight. • The reconstruction can be performed with neural networks, but previous work focused on learning a parametric model. • Deep learning can be used to reconstruct the full 2D plasma profile with the same resolution as existing tomograms. • We introduce a deep neural network to generate an image from 1D projection data based on a series of up-convolutions. • After training on JET data, the network provides accurate reconstructions with an average pixel error as low as 2%. - Abstract: Deep learning is having a profound impact in many fields, especially those that involve some form of image processing. Deep neural networks excel in turning an input image into a set of high-level features. On the other hand, tomography deals with the inverse problem of recreating an image from a number of projections. In plasma diagnostics, tomography aims at reconstructing the cross-section of the plasma from radiation measurements. This reconstruction can be computed with neural networks. However, previous attempts have focused on learning a parametric model of the plasma profile. In this work, we use a deep neural network to produce a full, pixel-by-pixel reconstruction of the plasma profile. For this purpose, we use the overview bolometer system at JET, and we introduce an up-convolutional network that has been trained and tested on a large set of sample tomograms. We show that this network is able to reproduce existing reconstructions with a high level of accuracy, as measured by several metrics.

  1. Deep learning for plasma tomography using the bolometer system at JET

    International Nuclear Information System (INIS)

    Matos, Francisco A.; Ferreira, Diogo R.; Carvalho, Pedro J.

    2017-01-01

    Highlights: • Plasma tomography is able to reconstruct the plasma profile from radiation measurements along several lines of sight. • The reconstruction can be performed with neural networks, but previous work focused on learning a parametric model. • Deep learning can be used to reconstruct the full 2D plasma profile with the same resolution as existing tomograms. • We introduce a deep neural network to generate an image from 1D projection data based on a series of up-convolutions. • After training on JET data, the network provides accurate reconstructions with an average pixel error as low as 2%. - Abstract: Deep learning is having a profound impact in many fields, especially those that involve some form of image processing. Deep neural networks excel in turning an input image into a set of high-level features. On the other hand, tomography deals with the inverse problem of recreating an image from a number of projections. In plasma diagnostics, tomography aims at reconstructing the cross-section of the plasma from radiation measurements. This reconstruction can be computed with neural networks. However, previous attempts have focused on learning a parametric model of the plasma profile. In this work, we use a deep neural network to produce a full, pixel-by-pixel reconstruction of the plasma profile. For this purpose, we use the overview bolometer system at JET, and we introduce an up-convolutional network that has been trained and tested on a large set of sample tomograms. We show that this network is able to reproduce existing reconstructions with a high level of accuracy, as measured by several metrics.

  2. Mechanical considerations for MFTF-B plasma-diagnostic system

    International Nuclear Information System (INIS)

    Thomas, S.R. Jr.; Wells, C.W.

    1981-01-01

    The reconfiguration of MFTF to a tandem mirror machine with thermal barriers has caused a significant expansion in the physical scope of plasma diagnostics. From a mechanical perspective, it complicates the plasma access, system interfaces, growth and environmental considerations. Conceptual designs characterize the general scope of the design and fabrication which remains to be done

  3. Plasma monitoring of the RLVIP-process with a Langmuir probe

    Science.gov (United States)

    Huber, D.; Hallbauer, A.; Pulker, H. K.

    2005-09-01

    The aim of this investigation was to study the characteristics of a reactive-low-voltage-high-current-ion-plating plasma and to correlate the observed plasma data with the properties of films deposited under such conditions. A Langmuir probe system (Smart Probe - Scientific Systems) was inserted into a Balzers BAP 800 ion plating plant above the e-gun evaporation source close to the insulated substrate holder. In this position during RLVIP deposition, plasma potential, floating potential, self-bias voltage, electron temperature, ion current density, and particle number density were measured and calculated, respectively. All measurements were performed in dependence of arc current (20-80A) and oxygen partial pressure (1 - 36 x 10-4mbar). With rising arc current the number of charged particles, the self-bias voltage between plasma and substrates as well as the energy of the condensing and bombarding species were increased. These data explain the increase of density, refractive index and mechanical stress of RLVIP-metal-oxide-layers, like Ta2O5 and Nb2O5, deposited with higher arc currents. An increase of gas pressure decreased the energy of the particles and therefore reduced slightly film density and refractive index. However, it improved chemistry and eliminated unwanted residual optical absorption and also decreased compressive mechanical film stress.

  4. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  5. Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing

    International Nuclear Information System (INIS)

    Lee, Ho-Jun; Kim, Yun-Gi

    2012-01-01

    The characteristics of weakly magnetized inductively coupled plasma (MICP) are investigated using a self-consistent simulation based on the drift–diffusion approximation with anisotropic transport coefficients. MICP is a plasma source utilizing the cavity mode of the low-frequency branch of the right-hand circularly polarized wave. The model system is 700 mm in diameter and has a 250 mm gap between the radio-frequency window and wafer holder. The model chamber size is chosen to verify the applicability of this type of plasma source to the 450 mm wafer process. The effects of electron density distribution and external axial magnetic field on the propagation properties of the plasma wave, including the wavelength modulation and refraction toward the high-density region, are demonstrated. The restricted electron transport and thermal conductivity in the radial direction due to the magnetic field result in small temperature gradient along the field lines and off-axis peak density profile. The calculated impedance seen from the antenna terminal shows that MICP has a resistance component that is two to threefold higher than that of ICP. This property is practically important for large-size, low-pressure plasma sources because high resistance corresponds to high power-transfer efficiency and stable impedance matching characteristics. For the 0.665 Pa argon plasma, MICP shows a radial density uniformity of 6% within 450 mm diameter, which is much better than that of nonmagnetized ICP.

  6. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  7. Plasma transport simulation modelling for helical confinement systems

    International Nuclear Information System (INIS)

    Yamazaki, K.; Amano, T.

    1992-01-01

    New empirical and theoretical transport models for helical confinement systems are developed on the basis of the neoclassical transport theory, including the effect of the radial electric field and of multi-helicity magnetic components as well as the drift wave turbulence transport for electrostatic and electromagnetic modes or the anomalous semi-empirical transport. These electron thermal diffusivities are compared with experimental data from the Compact Helical System which indicate that the central transport coefficient of a plasma with electron cyclotron heating agrees with neoclassical axisymmetric value and the transport outside the half-radius is anomalous. On the other hand, the transport of plasmas with neutral beam injection heating is anomalous in the whole plasma region. This anomaly is not explained by the electrostatic drift wave turbulence models in these discharges with flat density profiles. For a detailed prediction of the plasma parameters in the Large Helical Device (LHD), 3-D equilibrium/1-D transport simulations including empirical or drift wave turbulence models are performed which suggest that the global confinement time of the LHD is determined mainly by the electron anomalous transport in the plasma edge region rather than by the helical ripple transport in the core region. Even if the ripple loss can be eliminated, the increase in global confinement is 10%. However, the rise in the central ion temperature is more than 20%. If the anomalous loss can be reduced to half of the value used in the present scaling, as is the case in the H-mode of tokamak discharges, the neoclassical ripple loss through the ion channel becomes important even in the plasma core. The 5% radial inward shift of the plasma column with respect to the major radius improves the plasma confinement and increases the fusion product by more than 50% by reducing the neoclassical asymmetric ion transport loss and increasing the plasma radius (10%). (author). 32 refs, 7 figs

  8. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  9. Reduction of nitrogen oxides from simulated exhaust gas by using plasma-catalytic process

    International Nuclear Information System (INIS)

    Mok, Young Sun; Koh, Dong Jun; Shin, Dong Nam; Kim, Kyong Tae

    2004-01-01

    Removal of nitrogen oxides (NO x ) using a nonthermal plasma reactor (dielectric-packed bed reactor) combined with monolith V 2 O 5 /TiO 2 catalyst was investigated. The effect of initial NO x concentration, feed gas flow rate (space velocity), humidity, and reaction temperature on the removal of NO x was examined. The plasma reactor used can be energized by either ac or pulse voltage. An attempt was made to utilize the electrical ignition system of an internal combustion engine as a high-voltage pulse generator for the plasma reactor. When the plasma reactor was energized by the electrical ignition system, NO was readily oxidized to NO 2 . Performance was as good as with ac energization. Increasing the fraction of NO 2 in NO x , which is the main role of the plasma reactor, largely enhanced the NO x removal efficiency. In the plasma-catalytic reactor, the increases in initial NO x concentration, space velocity (feed gas flow rate) and humidity lowered the NO x removal efficiency. However, the reaction temperature in the range up to 473 K did not significantly affect the NO x removal efficiency in the presence of plasma discharge

  10. Technological plasma source equipped with combined system of vacuum-arc discharge initiation

    International Nuclear Information System (INIS)

    Sysoev, Yu.O.

    2013-01-01

    The construction and the operation principle of erosion plasma source with a three-stage system of vacuum-arc discharge excitation is described. As first two step was used the modified contactless start system with plasma injector, which was widely used in standard plasma sources of the ''Bulat'' systems. The operation principle of the third stage was based on the transition of glow discharge to arc discharge. Coordinated operation of three stages during various stages of coating deposition provided significant increasing of service life and reliability of the system of vacuum-arc discharge initiation and extended the functionality of the plasma source

  11. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  12. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  13. Electrode assemblies, plasma apparatuses and systems including electrode assemblies, and methods for generating plasma

    Science.gov (United States)

    Kong, Peter C; Grandy, Jon D; Detering, Brent A; Zuck, Larry D

    2013-09-17

    Electrode assemblies for plasma reactors include a structure or device for constraining an arc endpoint to a selected area or region on an electrode. In some embodiments, the structure or device may comprise one or more insulating members covering a portion of an electrode. In additional embodiments, the structure or device may provide a magnetic field configured to control a location of an arc endpoint on the electrode. Plasma generating modules, apparatus, and systems include such electrode assemblies. Methods for generating a plasma include covering at least a portion of a surface of an electrode with an electrically insulating member to constrain a location of an arc endpoint on the electrode. Additional methods for generating a plasma include generating a magnetic field to constrain a location of an arc endpoint on an electrode.

  14. Modular Pulsed Plasma Electric Propulsion System for Cubesats

    Science.gov (United States)

    Perez, Andres Dono; Gazulla, Oriol Tintore; Teel, George Lewis; Mai, Nghia; Lukas, Joseph; Haque, Sumadra; Uribe, Eddie; Keidar, Michael; Agasid, Elwood

    2014-01-01

    Current capabilities of CubeSats must be improved in order to perform more ambitious missions. Electric propulsion systems will play a key role due to their large specific impulse. Compared to other propulsion alternatives, their simplicity allows an easier miniaturization and manufacturing of autonomous modules into the nano and pico-satellite platform. Pulsed Plasma Thrusters (PPTs) appear as one of the most promising technologies for the near term. The utilization of solid and non-volatile propellants, their low power requirements and their proven reliability in the large scale make them great candidates for rapid implementation. The main challenges are the integration and miniaturization of all the electronic circuitry into a printed circuit board (PCB) that can satisfy the strict requirements that CubeSats present. NASA Ames and the George Washington University have demonstrated functionality and control of three discrete Micro-Cathode Arc Thrusters (CAT) using a bench top configuration that was compatible with the ARC PhoneSat Bus. This demonstration was successfully conducted in a vaccum chamber at the ARC Environmental Test Laboratory. A new effort will integrate a low power Plasma Processing Unit and two plasma thrusters onto a single printed circuit board that will utilize less than 13 U of Bus volume. The target design will be optimized for the accommodation into the PhoneSatEDISON Demonstration of SmallSatellite Networks (EDSN) bus as it uses the same software interface application, which was demonstrated in the previous task. This paper describes the design, integration and architecture of the proposed propulsion subsystem for a planned Technology Demonstration Mission. In addition, a general review of the Pulsed Plasma technology available for CubeSats is presented in order to assess the necessary challenges to overcome further development.

  15. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  16. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  17. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  18. Development of a VME multi-processor system for plasma control at the JT-60 Upgrade

    International Nuclear Information System (INIS)

    Takahashi, M.; Kurihara, K.; Kawamata, Y.; Akasaka, H.; Kimura, T.

    1992-01-01

    Design and initial operation results are reported of a VME multi-processor system [1] for plasma control at a large fusion device named 'the JT-60 Upgrade' utilizing three 32-bit MC88100 based RISC computers and VME components. Development of the system was stimulated by faster and more accurate computation requirements for the plasma position and current control. The RISC computers operate at 25 MHz along with two cashe memories named MC88200. We newly developed VME bus modules of up/down counter, analog-to-digital converter and clock pulse generator for measuring magnetic field and coil current and for synchronizing the processing in the three RISCs and direct digital controllers (DDCs) of magnet power supplies. We also evaluated that the speed of the data transfer between the VME bus system and the DDCs through CAMAC highways satisfies the above requirements. In the initial operation of the JT-60 upgrade, it has been proved that the VME multi-processor system well controls the plasma position and current with a sampling period of 250 μsec and a delay of 500 μsec. (author)

  19. A direct, single-step plasma arc-vitreous ceramic process for stabilizing spent nuclear fuels, sludges, and associated wastes

    International Nuclear Information System (INIS)

    Feng, X.; Einziger, R.E.; Eschenbach, R.C.

    1997-01-01

    A single-step plasma arc-vitreous ceramic (PAVC) process is described for converting spent nuclear fuel (SNF), SNF sludges, and associated wastes into a vitreous ceramic waste form. This proposed technology is built on extensive experience of nuclear waste form development and nuclear waste treatment using the commercially available plasma arc centrifugal (PAC) system. SNF elements will be loaded directly into a PAC furnace with minimum additives and converted into vitreous ceramics with up to 90 wt% waste loading. The vitreous ceramic waste form should meet the functional requirements for borosilicate glasses for permanent disposal in a geologic repository and for interim storage. Criticality safety would be ensured through the use of batch modes, and controlling the amount of fuel processed in one batch. The minimum requirements on SNF characterization and pretreatment, the one-step process, and minimum secondary waste generation may reduce treatment duration, radiation exposure, and treatment cost

  20. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  1. Laser system for measuring small changes in plasma tracer concentrations.

    Science.gov (United States)

    Klaesner, J W; Pou, N A; Parker, R E; Galloway, R L; Roselli, R J

    1996-01-01

    The authors developed a laser-diode system that can be used for on-line optical concentration measurements in physiologic systems. Previous optical systems applied to whole blood have been hampered by artifacts introduced by red blood cells (RBCs). The system introduced here uses a commercially available filter cartridge to separate RBCs from plasma before plasma concentration measurements are made at a single wavelength. The filtering characteristics of the Cellco filter cartridge (#4007-10, German-town, MD) were adequate for use in the on-line measurement system. The response time of the filter cartridge was less than 40 seconds, and the sieving characteristics of the filter for macromolecules were excellent, with filtrate-to-plasma albumin ratios of 0.98 +/- 0.11 for studies in sheep and 0.94 +/- 0.15 for studies in dogs. The 635-nm laser diode system developed was shown to be more sensitive than the spectrophotometer used in previous studies (Klaesner et al., Annals of Biomedical Engineering, 1994; 22, 660-73). The new system was used to measure the product of filtration coefficient (Kfc) and reflection coefficient for albumin (delta f) in an isolated canine lung preparation. The delta fKfc values [mL/(cmH2O.min.100 g dry lung weight)] measured with the laser diode system (0.33 +/- 0.22) compared favorably with the delta fKfc obtained using a spectrophotometer (0.27 +/- 0.20) and with the Kfc obtained using the blood-corrected gravimetric method (0.32 +/- 0.23). Thus, this new optical system was shown to accurately measure plasma concentration changes in whole blood for physiologic levels of Kfc. The same system can be used with different optical tracers and different source wavelengths to make optical plasma concentration measurements for other physiologic applications.

  2. JT-60 plasma control system

    International Nuclear Information System (INIS)

    Kurihara, K.

    1988-01-01

    JT-60 plasma control can be performed by the supervisory controller, the measurement system and actuators such as the poloidal field coil power supplies, gas injectors, neutral beam injection (NBI) heating system and radio frequency (RF) heating system. One of the most important characteristics of this system is a perfect digital control one composed of mini-computers, fast array processors and CAMAC modules, and it has large flexibility and few troubles to adjust the system. This system started to be operated in April 1985, after the six-year-long design, construction and testing, and have been operated and improved many times for two years. In this paper, the final system specification and its performance are presented aiming at the technological aspect of hardware and software. In addition, and experienced troubles are also presented. (author)

  3. Plasma density remote control system of experimental advanced superconductive tokamak

    International Nuclear Information System (INIS)

    Zhang Mingxin; Luo Jiarong; Li Guiming; Wang Hua; Zhao Dazheng; Xu Congdong

    2007-01-01

    In Tokamak experiments, experimental data and information on the density control are stored in the local computer system. Therefore, the researchers have to be in the control room for getting the data. Plasma Density Remote Control System (DRCS), which is implemented by encapsulating the business logic on the client in the B/S module, conducts the complicated science computation and realizes the synchronization with the experimental process on the client. At the same time, Web Services and Data File Services are deployed for the data exchange. It is proved in the experiments that DRCS not only meets the requirements for the remote control, but also shows an enhanced capability on the data transmission. (authors)

  4. Spark gap produced plasma diagnostics

    International Nuclear Information System (INIS)

    Chang, H.Y.

    1990-01-01

    A Spark Gap (Applied voltage : 2-8KV, Capacitor : 4 Micro F. Dia of the tube : 1 inch, Electrode distance : .3 ∼.5 inch) was made to generate a small size dynamic plasma. To measure the plasma density and temperature as a function of time and position, we installed and have been installing four detection systems - Mach-Zehnder type Interferometer for the plasma refractivity, Expansion speed detector using two He-Ne laser beams, Image Processing using Lens and A Optical-Fiber Array for Pointwise Radiation Sensing, Faraday Rotation of a Optical Fiber to measure the azimuthal component of B-field generated by the plasma drift. These systems was used for the wire explosion diagnostics, and can be used for the Laser driven plasma also

  5. TFTR power conversion and plasma feedback systems

    International Nuclear Information System (INIS)

    Neumeyer, C.

    1985-01-01

    Major components of the Tokamak Fusion Test Reactor (TFTR) power conversion system include 39 thyristor rectifier power supplies, 12 energy storage capacitor banks, and 6 ohmic heating interrupters. These components are connected in various series/parallel configurations to provide controlled pulses of current to the Toroidal Field (TF), Ohmic Heating (OH), Equilibrium (vertical) Field (EF), and Horizontal Field (HF) magnet coil systems. Real-time control of the power conversion system is accomplished by a centralized dedicated computer; local control is minimal. Power supply firing angles, capacitor bank charge and discharge commands, interrupter commands, etc., are all determined and issued by the central computer. Plasma Position and Current Control (PPCC) reference signals to power conversion (OH, EF, HF) are determined by separate analog electronics but invoked through the power conversion computer. Real-time fault sensing of plasma parameters, gas injection, neutral beams, etc., are monitored by a separate Discharge Fault System (DFS) but routed through the power conversion computer for pre-programmed shutdown response

  6. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  7. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  8. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  9. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  10. Self-organization process of a magnetohydrodynamic plasma in the presence of thermal conduction

    International Nuclear Information System (INIS)

    Zhu, Shao-ping; Horiuchi, Ritoku; Sato, Tetsuya; Watanabe, K.; Hayashi, T.; Todo, Y.; Watanabe, T.H.; Kageyama, A.; Takamaru, H.

    1995-12-01

    A self-organization process of a magnetohydrodynamic(MHD) plasma with a finite thermal conductivity is investigated by means of a three-dimensional MHD simulation. With no thermal conduction an MHD system self-organizes to a non-Taylor's state in which the electric current perpendicular to the magnetic field remains comparable to the parallel electric current. In the presence of thermal conductivity the perpendicular component of electric current and the nonuniformity of thermal pressure generated by driven reconnection tend to be smoothened. Thus, the self-organized state approaches to a force-free minimum energy state under the influence of thermal conduction. Detailed energy conversion processes are also studied to find that the rapid decay of magnetic energy during the self-organization process is caused not only through the ohmic heating, but also through the work done by the j x B force. (author)

  11. Mode-conversion process and overdense-plasma heating in the electron cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Nakajima, S.; Abe, H.

    1988-01-01

    Through a particle-simulation investigation, a new mode-conversion process, through which an incident fast extraordinary mode (fast X mode) is converted into an electron Bernstein mode (B mode) via a (slow extraordinary mode slow X mode), is discovered in plasmas whose maximum density exceeds the cutoff density of the slow X mode. The converted B mode is found to heat the electrons efficiently in an overdense plasma region, when the plasma has the optimum density gradient at the plasma surface

  12. Decreased material-activation of the complement system using low-energy plasma polymerized poly(vinyl pyrrolidone) coatings

    DEFF Research Database (Denmark)

    Andersen, T.E.; Kolmos, H.J.; Palarasah, Yaseelan

    2011-01-01

    In the current study we investigate the activation of blood complement on medical device silicone rubber and present a plasma polymerized vinyl pyrrolidone (ppVP) coating which strongly decreases surface-activation of the blood complement system. We show that uncoated silicone and polystyrene...... surface. The ppVP surface is furthermore characterized physically and chemically using scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS) and Fourier transform infrared (FTIR), which indicates preservation of chemical functionality by the applied plasma process. Overall, the pp...

  13. Acceleration processes in the magnetospheric plasma: a review

    Energy Technology Data Exchange (ETDEWEB)

    Nishida, A [Tokyo Univ. (Japan). Inst. of Space and Aeronautical Science

    1975-01-01

    Our present knowledge on the acceleration process in the magnetospheric plasma is reviewed and major problems are summarized. Acceleration processes can be classified into three categories. First, acceleration can be made by the reconnection process in the magnetotail. The occurrence of reconnection during substorm expansion phases has been confirmed, but details of the energy conversion mechanism need be clarified. Second, acceleration by the electric potential drop along magnetic field lines has been strongly suggested from observations of precipitating particles. The position and structure of the potential layer, however, have not been clarified, and theoretical understanding of the process is still in the early stage of development. Third, particles can be adiabatically heated as they are driven toward the earth in the course of their convective motion. Spatial structure and dynamical development of the auroral precipitation pattern represent both challenge and clue to the understanding of the magnetospheric acceleration process.

  14. Numerical studies of transport processes in Tokamak plasma

    International Nuclear Information System (INIS)

    Spineanu, F.; Vlad, M.

    1984-09-01

    The paper contains the summary of a set of studies of the transport processes in tokamak plasma, performed with a one-dimensional computer code. The various transport models (which are implemented by the expressions of the transport coefficients) are presented in connection with the regimes of the dynamical development of the discharge. Results of studies concerning the skin effect and the large scale MHD instabilities are also included

  15. Ionization processes in the Fe 27 region of hot iron plasma in the field of hard gamma radiation

    International Nuclear Information System (INIS)

    Illarionov, A.F.

    1989-01-01

    A highly ionized hot plasma of an iron 26 56 Fe-type heavy element in the field of hard ionizing gamma-ray radiation is considered. The processes of ionization and recombination are discussed for a plasma consisting of the fully ionized Fe 27 and the hydrogen-like Fe 26 ions of iron in the case of large optical depth of the plasma with respect to the photoionization by gamma-ray quanta. The self-ionization process of a hot plasma with the temperature kT ≅ I (I being the ionization potential), due to the production of the own ionizing gamma-ray quanta, by the free-free (ff) and recombination (fb) radiation mechanisms, is investigated. It is noted that in the stationary situation the process of self-ionization of a hot plasma imposes the restriction upon the plasma temperature, kT<1.5 I. It is shown that the ionization of heavy-ion plasma by the impact of thermal electrons is dominating over the processes of ff- and fb-selfionization of plasma only by the large concentration of hydrogen-like iron at the periphery of the region of fully ionized iron Fe 27

  16. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  17. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  18. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  19. Hybrid Plasma Reactor/Filter for Transportable Collective Protection Systems

    Energy Technology Data Exchange (ETDEWEB)

    Josephson, Gary B.; Tonkyn, Russell G.; Frye, J. G.; Riley, Brian J.; Rappe, Kenneth G.

    2011-04-06

    Pacific Northwest National Laboratory (PNNL) has performed an assessment of a Hybrid Plasma/Filter system as an alternative to conventional methods for collective protection. The key premise of the hybrid system is to couple a nonthermal plasma (NTP) reactor with reactive adsorption to provide a broader envelope of protection than can be provided through a single-solution approach. The first step uses highly reactive species (e.g. oxygen radicals, hydroxyl radicals, etc.) created in a nonthermal plasma (NTP) reactor to destroy the majority (~75% - 90%) of an incoming threat. Following the NTP reactor an O3 reactor/filter uses the O3 created in the NTP reactor to further destroy the remaining organic materials. This report summarizes the laboratory development of the Hybrid Plasma Reactor/Filter to protect against a ‘worst-case’ simulant, methyl bromide (CH3Br), and presents a preliminary engineering assessment of the technology to Joint Expeditionary Collective Protection performance specifications for chemical vapor air purification technologies.

  20. Implementation strategy for the ITER plasma control system

    International Nuclear Information System (INIS)

    Winter, A.; Ambrosino, G.; Bauvir, B.; De Tommasi, G.; Humphreys, D.A.; Mattei, M.; Neto, A.; Raupp, G.; Snipes, J.A.; Stephen, A.V.; Treutterer, W.; Walker, M.L.; Zabeo, L.

    2015-01-01

    This paper gives an overview of the scope and context of the CODAC high-level real-time applications (Supervision and Plasma Control) and presents the strategy and current state of design of the tools to support the implementation. A real-time framework, which is currently under development with strong support of the worldwide fusion community will not only support the implementation of plasma control strategies with the extensive exception handling and forecasting functionality foreseen for ITER, but also integrated commissioning, orchestration and supervision as well as the real-time needs of ITER plant system developers. A second cornerstone in the implementation strategy is the development of a powerful simulation environment (Plasma Control System Simulation Platform – PCSSP) to design and verify control strategies, event handling and orchestration and automation. The development of PCSSP is currently under contract and this paper will also give an overview of its current state of development.

  1. Implementation strategy for the ITER plasma control system

    Energy Technology Data Exchange (ETDEWEB)

    Winter, A., E-mail: axel.winter@iter.org [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France); Ambrosino, G. [CREATE/Università di Napoli Federico II, Dip. Ingegneria Elettrica e delle Tecnologie dell’Informazione (Italy); Bauvir, B. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France); De Tommasi, G. [CREATE/Università di Napoli Federico II, Dip. Ingegneria Elettrica e delle Tecnologie dell’Informazione (Italy); Humphreys, D.A. [General Atomics, San Diego, CA (United States); Mattei, M. [CREATE/Seconda Università di Napoli, Dip. Ingegneria Industriale e dell’Informazione (Italy); Neto, A. [Fusion for Energy, Barcelona (Spain); Raupp, G. [Max Planck Institute for Plasma Physics, EURATOM Association, Garching (Germany); Snipes, J.A. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France); Stephen, A.V. [Culham Centre for Fusion Energy, Culham Science Centre, Abingdon (United Kingdom); Treutterer, W. [Max Planck Institute for Plasma Physics, EURATOM Association, Garching (Germany); Walker, M.L. [General Atomics, San Diego, CA (United States); Zabeo, L. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France)

    2015-10-15

    This paper gives an overview of the scope and context of the CODAC high-level real-time applications (Supervision and Plasma Control) and presents the strategy and current state of design of the tools to support the implementation. A real-time framework, which is currently under development with strong support of the worldwide fusion community will not only support the implementation of plasma control strategies with the extensive exception handling and forecasting functionality foreseen for ITER, but also integrated commissioning, orchestration and supervision as well as the real-time needs of ITER plant system developers. A second cornerstone in the implementation strategy is the development of a powerful simulation environment (Plasma Control System Simulation Platform – PCSSP) to design and verify control strategies, event handling and orchestration and automation. The development of PCSSP is currently under contract and this paper will also give an overview of its current state of development.

  2. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  3. Development of Process for Plasma Spray:Case Study for Molybdenum

    Czech Academy of Sciences Publication Activity Database

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matějíček, Jiří; Gilmore, D. L.; Neiser, R. A.

    2003-01-01

    Roč. 348, 1-2 (2003), s. 54-66 ISSN 0921-5093 Grant - others:NSF(US) DMR9632570 Institutional research plan: CEZ:AV0Z2043910 Keywords : process maps, plasma spray, thermal spray Subject RIV: JG - Metallurgy Impact factor: 1.365, year: 2003

  4. Development of volume-reduction system for ion exchange resin using inductively coupled plasma

    International Nuclear Information System (INIS)

    Fujisawa, Morio; Katagiri, Genichi

    2002-01-01

    The spent ion exchange resin generated as radioactive waste in water purifying system at nuclear power stations or related facilities of nuclear power has been stored in the site, and its volume has been increasing year by year. We had developed a full-scale system of IC plasma volume-reduction system for the spent resin, and have performed basic performance test using some samples imitating the spent resin. As the results, the imitation of the resin can be reduced in volume by more than 90% so that the processing performance in actual scale was proved to be effective. In addition, it was clarified that the residuum after volume-reduction process is easy to mix with cement, and solidity containing 30wt% residuum provides high strength of 68 MPa. Therefore, we evaluate the application of this process to stabilization of the disposal to be very effective. (author)

  5. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  6. Numerical Simulation of Spheroidization Process of TiAl Alloy Powders in Radio Frequency Plasma

    Directory of Open Access Journals (Sweden)

    ZHU Langping

    2017-06-01

    Full Text Available A numerical simulation method was used to study the radio frequency plasma spheroidization process of TiAl alloy powder. The effects of velocity field and temperature field on the motion trajectory and mass change of TiAl alloy powder with different particle size were analyzed.The results show that the temperature of powder particles increases rapidly under high temperature plasma, surface evaporation cause the reduction of particle size, and particles with small size tend to evaporate quickly. The motion trajectory of particles with different sizes in the lower end of the cooling tube is different obviously, small particles tend to enter the air outlet,while the larger particles are easy to fall down to the bottom of the cooling tube to be collected. Increasing air flow rate can improve the velocity of air flow in the spheroidizing system, causing larger particles to be taken away by the air, resulting in yield reduction. The simulation results of TiAl alloy powder spheroidization are close to the experimental results refer to parameters such as powder size distribution, average particle size and powder yield, and the model is in good accordance with the actual process of the spheroidization.

  7. Evolution of Spark plasma using nitrogen laser shadowgraphy system

    International Nuclear Information System (INIS)

    Ishiekwene, G.C.

    1994-07-01

    A simple, low cost, home built high power nitrogen laser is used as the light source for a shadowgraphy system. A series of shadowgrams depicting the temporal growth of a spark plasma discharge is obtained. The results could be useful in plasma diagnostic studies. (author). 5 refs, 6 figs

  8. Diagnostic-management system and test pulse acquisition for WEST plasma measurement system

    International Nuclear Information System (INIS)

    Wojenski, A.; Kasprowicz, G.; Pozniak, K.T.; Byszuk, A.; Juszczyk, B.; Zabolotny, W.; Zienkiewicz, P.; Chernyshova, M.; Czarski, T.; Mazon, D.; Malard, P.

    2014-01-01

    This paper describes current status of electronic, firmware and software development for new plasma measurement system for use in WEST facility. The system allows to perform two dimensional plasma visualization (in time) with spectrum measurement. The analog front-end is connected to Gas Electron Multiplier detector (GEM detector). The system architecture have high data throughput due to use of PCI-Express interface, Gigabit Transceivers and sampling frequency of ADC integrated circuits. The hardware is based on several years of experience in building X-ray spectrometer system for Joint European Torus (JET) facility. Data streaming is done using Artix7 FPGA devices. The system in basic configuration can work with up to 256 channels, while the maximum number of measurement channels is 2048. Advanced firmware for the FPGA is required in order to perform high speed data streaming and analog signal sampling. Diagnostic system management has been developed in order to configure measurement system, perform necessary calibration and prepare hardware for data acquisition. (authors)

  9. Spheroidization by Plasma Processing and Characterization of Stainless Steel Powder for 3D Printing

    Science.gov (United States)

    Ji, Lina; Wang, Changzhen; Wu, Wenjie; Tan, Chao; Wang, Guoyu; Duan, Xuan-Ming

    2017-10-01

    Stainless steel 316L (SS 316L) powder was spheroidized by plasma processing to improve its suitability for powder 3D printing. The obtained spheroidized (sphero) powder was characterized in terms of its crystalline phases, elemental composition, morphology, particle size and distribution, light absorption, and flow properties. The elemental composition of the sphero powder met the Chinese standard for SS 316L except for its Si content. The volume fraction of ferrite increased after plasma processing. Furthermore, plasma processing was shown to not only reduce the mean size of the particles in the size range of 10 to 100 μm but also generate particles in the size range of 0.1 to 10 μm. The smaller particles filled the voids among larger particles, increasing the powder density. The light absorption was also increased owing to enhanced internal reflection. Although the basic flow energy decreased after plasma processing, the flow function (FF) value was smaller for the sphero powder, indicating a lower flowability of the sphero powder. However, the density of SS 316L pieces printed with commercial and sphero powders was 98.76 pct and 98.16 pct of the SS 316L bulk density, respectively, indicating the suitability of the sphero powder for 3D printing despite an FF below 10.

  10. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  11. Plasma gasification of refuse derived fuel in a single-stage system using different gasifying agents.

    Science.gov (United States)

    Agon, N; Hrabovský, M; Chumak, O; Hlína, M; Kopecký, V; Masláni, A; Bosmans, A; Helsen, L; Skoblja, S; Van Oost, G; Vierendeels, J

    2016-01-01

    The renewable evolution in the energy industry and the depletion of natural resources are putting pressure on the waste industry to shift towards flexible treatment technologies with efficient materials and/or energy recovery. In this context, a thermochemical conversion method of recent interest is plasma gasification, which is capable of producing syngas from a wide variety of waste streams. The produced syngas can be valorized for both energetic (heat and/or electricity) and chemical (ammonia, hydrogen or liquid hydrocarbons) end-purposes. This paper evaluates the performance of experiments on a single-stage plasma gasification system for the treatment of refuse-derived fuel (RDF) from excavated waste. A comparative analysis of the syngas characteristics and process yields was done for seven cases with different types of gasifying agents (CO2+O2, H2O, CO2+H2O and O2+H2O). The syngas compositions were compared to the thermodynamic equilibrium compositions and the performance of the single-stage plasma gasification of RDF was compared to that of similar experiments with biomass and to the performance of a two-stage plasma gasification process with RDF. The temperature range of the experiment was from 1400 to 1600 K and for all cases, a medium calorific value syngas was produced with lower heating values up to 10.9 MJ/Nm(3), low levels of tar, high levels of CO and H2 and which composition was in good agreement to the equilibrium composition. The carbon conversion efficiency ranged from 80% to 100% and maximum cold gas efficiency and mechanical gasification efficiency of respectively 56% and 95%, were registered. Overall, the treatment of RDF proved to be less performant than that of biomass in the same system. Compared to a two-stage plasma gasification system, the produced syngas from the single-stage reactor showed more favourable characteristics, while the recovery of the solid residue as a vitrified slag is an advantage of the two-stage set-up. Copyright

  12. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  13. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  14. Real-time communication for distributed plasma control systems

    Energy Technology Data Exchange (ETDEWEB)

    Luchetta, A. [Consorzio RFX, Associazione Euratom-ENEA sulla Fusione, Corso Stati Uniti 4, Padova 35127 (Italy)], E-mail: adriano.luchetta@igi.cnr.it; Barbalace, A.; Manduchi, G.; Soppelsa, A.; Taliercio, C. [Consorzio RFX, Associazione Euratom-ENEA sulla Fusione, Corso Stati Uniti 4, Padova 35127 (Italy)

    2008-04-15

    Real-time control applications will benefit in the near future from the enhanced performance provided by multi-core processor architectures. Nevertheless real-time communication will continue to be critical in distributed plasma control systems where the plant under control typically is distributed over a wide area. At RFX-mod real-time communication is crucial for hard real-time plasma control, due to the distributed architecture of the system, which consists of several VMEbus stations. The system runs under VxWorks and uses Gigabit Ethernet for sub-millisecond real-time communication. To optimize communication in the system, a set of detailed measurements has been carried out on the target platforms (Motorola MVME5100 and MVME5500) using either the VxWorks User Datagram Protocol (UDP) stack or raw communication based on the data link layer. Measurements have been carried out also under Linux, using its UDP stack or, in alternative, RTnet, an open source hard real-time network protocol stack. RTnet runs under Xenomai or RTAI, two popular real-time extensions based on the Linux kernel. The paper reports on the measurements carried out and compares the results, showing that the performance obtained by using open source code is suitable for sub-millisecond real-time communication in plasma control.

  15. Observation of hydrodynamic processes of radiation-ablated plasma in a small hole

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hang; Kuang, Longyu; Jiang, Shaoen, E-mail: jiangshn@vip.sina.com; Ding, Yongkun, E-mail: ding-yk@vip.sina.com [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Song, Tianming; Yang, Jiamin, E-mail: yjm70018@sina.cn; Zhu, Tuo; Lin, Zhiwei; Zheng, Jianhua; Zhang, Haiying; Yu, Ruizhen; Liu, Shenye [Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Hu, Guangyue; Zhao, Bin; Zheng, Jian [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China)

    2015-07-15

    In the hohlraum used in laser indirect-drive inertial confinement fusion experiments, hydrodynamic processes of radiation-ablated high-Z plasma have a great effect on laser injection efficiency, radiation uniformity, and diagnosis of hohlraum radiation field from diagnostic windows (DW). To study plasma filling in the DWs, a laser-irradiated Ti disk was used to generate 2–5 keV narrow energy band X-ray as the intense backlighter source, and laser-produced X-ray in a hohlraum with low-Z foam tamper was used to heat a small hole surrounded by gold wall with 150 μm in diameter and 100 μm deep. The hydrodynamic movement of the gold plasma in the small hole was measured by an X-ray framing camera and the results are analyzed. Quantitative measurement of the plasma areal density distribution and evolution in the small hole can be used to assess the effect of plasma filling on the diagnosis from the DWs.

  16. Inflight dissociation of zircon in air plasma

    Energy Technology Data Exchange (ETDEWEB)

    Yugeswaran, S; Selvarajan, V [Bharathiar University, Coimbatore 641046 (India); Ananthapadmanabhan, P V; Thiyagarajan, T K [Laser and Plasma Technology Division, Bhabha Atomic Research Centre, Mumbai - 400 085 (India); Nair, Janardhanan [Ion Arc Technologies Pvt Ltd, Coimbatore (India)

    2010-02-01

    Thermal dissociation of zircon can be conveniently carried out in a plasma reactor, which is characterized by high temperature, high energy density and high quench rate. Zirconia is recovered from this partially dissociated zircon by alkali leaching. Dissociation of zircon has been conventionally carried out in argon gas, which is expensive. The present paper reports experimental results on thermal dissociation of zircon in air plasma medium. Process simulation for 'inflight' dissociation of zircon in air plasma medium is also presented. The experimental system consists of a central hollow graphite electrode, which acts as the cathode and a graphite anode. The material to be processed is fed centrally through the cathode. The unique feature of the system is that it uses air as the working gas to generate the thermal plasma. The system has been used to study in-flight dissociation of zircon in the thermal plasma jet. Dissociation was carried out over 10-25 kW power range. Results of the study indicate that complete dissociation of zircon to ZrO{sub 2} and silica could be accomplished at 25 kW in air plasma.

  17. Inflight dissociation of zircon in air plasma

    International Nuclear Information System (INIS)

    Yugeswaran, S; Selvarajan, V; Ananthapadmanabhan, P V; Thiyagarajan, T K; Nair, Janardhanan

    2010-01-01

    Thermal dissociation of zircon can be conveniently carried out in a plasma reactor, which is characterized by high temperature, high energy density and high quench rate. Zirconia is recovered from this partially dissociated zircon by alkali leaching. Dissociation of zircon has been conventionally carried out in argon gas, which is expensive. The present paper reports experimental results on thermal dissociation of zircon in air plasma medium. Process simulation for 'inflight' dissociation of zircon in air plasma medium is also presented. The experimental system consists of a central hollow graphite electrode, which acts as the cathode and a graphite anode. The material to be processed is fed centrally through the cathode. The unique feature of the system is that it uses air as the working gas to generate the thermal plasma. The system has been used to study in-flight dissociation of zircon in the thermal plasma jet. Dissociation was carried out over 10-25 kW power range. Results of the study indicate that complete dissociation of zircon to ZrO 2 and silica could be accomplished at 25 kW in air plasma.

  18. Titanium Metal Powder Production by the Plasma Quench Process

    Energy Technology Data Exchange (ETDEWEB)

    R. A. Cordes; A. Donaldson

    2000-09-01

    The goals of this project included the scale-up of the titanium hydride production process to a production rate of 50 kg/hr at a purity level of 99+%. This goal was to be achieved by incrementally increasing the production capability of a series of reactor systems. This methodic approach was designed to allow Idaho Titanium Technologies to systematically address the engineering issues associated with plasma system performance, and powder collection system design and performance. With quality powder available, actual fabrication with the titanium hydride was to be pursued. Finally, with a successful titanium production system in place, the production of titanium aluminide was to be pursued by the simultaneously injection of titanium and aluminum precursors into the reactor system. Some significant accomplishments of the project are: A unique and revolutionary torch/reactor capable of withstanding temperatures up to 5000 C with high thermal efficiency has been operated. The dissociation of titanium tetrachloride into titanium powder and HC1 has been demonstrated, and a one-megawatt reactor potentially capable of producing 100 pounds per hour has been built, but not yet operated at the powder level. The removal of residual subchlorides and adsorbed HC1 and the sintering of powder to form solid bodies have been demonstrated. The production system has been operated at production rates up to 40 pounds per hour. Subsequent to the end of the project, Idaho Titanium Technologies demonstrated that titanium hydride powder can indeed be sintered into solid titanium metal at 1500 C without sintering aids.

  19. Reactive gas control of non-stable plasma conditions

    International Nuclear Information System (INIS)

    Bellido-Gonzalez, V.; Daniel, B.; Counsell, J.; Monaghan, D.

    2006-01-01

    Most industrial plasma processes are dependant upon the control of plasma properties for repeatable and reliable production. The speed of production and range of properties achieved depend on the degree of control. Process control involves all the aspects of the vacuum equipment, substrate preparation, plasma source condition, power supplies, process drift, valves (inputs/outputs), signal and data processing and the user's understanding and ability. In many cases, some of the processes which involve the manufacturing of interesting coating structures, require a precise control of the process in a reactive environment [S.J. Nadel, P. Greene, 'High rate sputtering technology for throughput and quality', International Glass Review, Issue 3, 2001, p. 45. ]. Commonly in these circumstances the plasma is not stable if all the inputs and outputs of the system were to remain constant. The ideal situation is to move a process from set-point A to B in zero time and maintain the monitored signal with a fluctuation equal to zero. In a 'real' process that's not possible but improvements in the time response and energy delivery could be achieved with an appropriate algorithm structure. In this paper an advanced multichannel reactive plasma gas control system is presented. The new controller offers both high-speed gas control combined with a very flexible control structure. The controller uses plasma emission monitoring, target voltage or any process sensor monitoring as the input into a high-speed control algorithm for gas input. The control algorithm and parameters can be tuned to different process requirements in order to optimize response times

  20. Design and operation of the RFX-mod plasma shape control system

    Energy Technology Data Exchange (ETDEWEB)

    Marchiori, G., E-mail: giuseppe.marchiori@igi.cnr.it [Consorzio RFX, Corso Stati Uniti 4, 35127 Padova (Italy); Finotti, C. [Consorzio RFX, Corso Stati Uniti 4, 35127 Padova (Italy); Kudlacek, O. [Università di Padova, Padova (Italy); Villone, F. [Dipartimento di Ingegneria Elettrica e dell’Informazione (DIEI), Università di Cassino (Italy); Zanca, P. [Consorzio RFX, Corso Stati Uniti 4, 35127 Padova (Italy); Abate, D. [Dipartimento di Ingegneria Elettrica e dell’Informazione (DIEI), Università di Cassino (Italy); Cavazzana, R. [Consorzio RFX, Corso Stati Uniti 4, 35127 Padova (Italy); Jackson, G.L.; Luce, T.C. [General Atomics, San Diego, CA (United States); Marrelli, L. [Consorzio RFX, Corso Stati Uniti 4, 35127 Padova (Italy)

    2016-10-15

    Highlights: • Linearized plasma response model of RFX-mod Tokamak Double/Single Null discharges. • Model based design of a vertical stability control system. • Model based design of a plasma shape LQG control system with Kalman state estimator. • Real time plasma boundary reconstruction algorithm. • Tracking and disturbance rejection experimental tests. - Abstract: The aim of executing Single Null discharges in RFX-mod operating as a Tokamak led to the design and implementation of a plasma shape feedback control system. A fully model-based approach was followed which allowed dealing with critical issues such as the presence of a conducting shell, the strong coupling of the poloidal field coils and the voltage limits of the power supplies. A Linear Quadratic regulator and a Kalman state estimator were designed and implemented in the real time MARTe framework together with an algorithm for the real-time plasma boundary reconstruction. The problem of a number of sensors along the poloidal direction adequate only for circular discharges was also successfully tackled. The development of the system and its performances in terms of tracking and disturbance rejection capability are presented in the paper.

  1. Non-equilibrium synergistic effects in atmospheric pressure plasmas.

    Science.gov (United States)

    Guo, Heng; Zhang, Xiao-Ning; Chen, Jian; Li, He-Ping; Ostrikov, Kostya Ken

    2018-03-19

    Non-equilibrium is one of the important features of an atmospheric gas discharge plasma. It involves complicated physical-chemical processes and plays a key role in various actual plasma processing. In this report, a novel complete non-equilibrium model is developed to reveal the non-equilibrium synergistic effects for the atmospheric-pressure low-temperature plasmas (AP-LTPs). It combines a thermal-chemical non-equilibrium fluid model for the quasi-neutral plasma region and a simplified sheath model for the electrode sheath region. The free-burning argon arc is selected as a model system because both the electrical-thermal-chemical equilibrium and non-equilibrium regions are involved simultaneously in this arc plasma system. The modeling results indicate for the first time that it is the strong and synergistic interactions among the mass, momentum and energy transfer processes that determine the self-consistent non-equilibrium characteristics of the AP-LTPs. An energy transfer process related to the non-uniform spatial distributions of the electron-to-heavy-particle temperature ratio has also been discovered for the first time. It has a significant influence for self-consistently predicting the transition region between the "hot" and "cold" equilibrium regions of an AP-LTP system. The modeling results would provide an instructive guidance for predicting and possibly controlling the non-equilibrium particle-energy transportation process in various AP-LTPs in future.

  2. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  3. Neoclassical current and plasma rotation in helical systems

    International Nuclear Information System (INIS)

    Nakajima, N.; Okamoto, M.

    1991-01-01

    In order to clarify geometrical effects of the magnetic field on the neoclassical theory in general toroidal systems, the neoclassical parallel particle flow, heat flux, current and plasma rotation of a multispecies plasma are examined using the moment approach on the basis of the original papers under the assumptions of no fluctuations, no external sources and losses except for a fast ion beam and an external inductive electric field, steady state, and |u a | Ta where u a and v Ta are the macro and thermal velocity of species a, respectively. Hence, we might have a point of view of unifying understanding the neoclassical theory in general toroidal systems. Three collisionality regimes, i.e., the 1/ν (in non-axisymmetric toroidal systems) or banana (in axisymmetric toroidal systems), plateau, and Pfirsch-Schlueter collisionality regimes are examined separately. (author) 8 refs

  4. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  5. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  6. Particle melting and particle/plasma interactions in DC and RF plasmas: a modeling study. (Volumes I and II)

    International Nuclear Information System (INIS)

    Wei, D.Y.C.

    1987-01-01

    Integral process models were developed to predict particle melting in both DC and RF plasmas. Specifically, a numerical model has been developed to predict the temperature history of particles injected in a low pressure DC plasma jet. The temperature and velocity fields of the plasma jet are predicted as a free jet by solving the parabolized Navier-Stokes equations using a spatial marching scheme. Correction factors were introduced to take into account non continuum effects encountered in the low pressure environment. The plasma jet profiles as well as the particle/plasma interactions under different jet pressure ratios (from underexpanded to overexpanded) were investigated. The flow and temperature fields in the RF plasma torch are calculated using the axisymmetric Navier-Stokes equations based on the primitive variables, along with pseudo two-dimensional electromagnetic field equations. Particle trajectories and heat transfer characteristics in both DC and RF plasmas are calculated using predicted plasma jet profiles. Particle melting efficiencies in both DC and RF plasmas are evaluated and compared using model alloy systems. Based on the theoretical considerations, an alternative route of plasma spraying process (hybrid plasma spraying process) is proposed. An evaluation of particle melting in hybrid plasma jets had indicated that further improvement in deposit properties could be made

  7. Infrared laser scattering system for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Muraoka, K; Hiraki, N; Kawasaki, S [Kyushu Univ., Fukuoka (Japan). Research Inst. for Applied Mechanics

    1975-05-01

    The possibility of observing the collective scattering of infrared laser light from plasmas is discussed in terms of the laser power requirement, the necessary optical system and the detector performance, and is shown to be feasible with the present day techniques to get the ion temperature by means of a CO/sub 2/ laser on theta pinch plasmas. Based on this estimate, the construction of the TEA CO/sub 2/ laser and the preparations of the optical components have been started and some preliminary results of these are described.

  8. Infrared laser scattering system for plasma diagnostics

    International Nuclear Information System (INIS)

    Muraoka, Katsunori; Hiraki, Naoji; Kawasaki, Shoji

    1975-01-01

    The possibility of observing the collective scattering of infrared laser light from plasmas is discussed in terms of the laser power requirement, the necessary optical system and the detector performance, and is shown to be feasible with the present day techniques to get the ion temperature by means of a CO 2 laser on theta pinch plasmas. Based on this estimate, the construction of the TEA CO 2 laser and the preparations of the optical components have been started and some preliminary results of these are described. (auth.)

  9. Optics and Plasma Research Department annual progress report for 2004

    OpenAIRE

    Bindslev, Henrik; Lynov, Jens-Peter; Pedersen, C.; Petersen, Paul Michael; Skaarup, Bitten

    2005-01-01

    The Optics and Plasma Research Department performs basic and applied research within three scientific programmes: (1) laser systems and optical materials, (2) optical diagnostics and information processing and (3) plasma physics and technology. Thedepartment has core competencies in optical sensors, optical materials, biophotonics, fusion plasma physics, and industrial plasma technology. The department employs key technologies in micro- and nanotechnology for optical systems, temperaturecalib...

  10. Studies on performances of the control system of plasma position and shape

    International Nuclear Information System (INIS)

    Aikawa, Hiroshi; Tsuzuki, Naohisa; Kimura, Toyoaki; Ogata, Atsushi; Ninomiya, Hiromasa

    1978-09-01

    Performance in the control system of plasma position and shape is determined by estimating the disturbing field, system functions and load variation of the controlled object. Various stray fields are considered as disturbing field. Plasma internal inductance and poloidal beta are taken into consideration as load variation of the controlled object. The required performance is obtained through considerations of plasma equilibrium, stability, impurity concentration and sensors accuracy. The results are described as requests to the poloidal power supply system. (author)

  11. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  12. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  13. High energy plasma accelerators

    International Nuclear Information System (INIS)

    Tajima, T.

    1985-05-01

    Colinear intense laser beams ω 0 , kappa 0 and ω 1 , kappa 1 shone on a plasma with frequency separation equal to the electron plasma frequency ω/sub pe/ are capable of creating a coherent large longitudinal electric field E/sub L/ = mc ω/sub pe//e of the order of 1GeV/cm for a plasma density of 10 18 cm -3 through the laser beat excitation of plasma oscillations. Accompanying favorable and deleterious physical effects using this process for a high energy beat-wave accelerator are discussed: the longitudinal dephasing, pump depletion, the transverse laser diffraction, plasma turbulence effects, self-steepening, self-focusing, etc. The basic equation, the driven nonlinear Schroedinger equation, is derived to describe this system. Advanced accelerator concepts to overcome some of these problems are proposed, including the plasma fiber accelerator of various variations. An advanced laser architecture suitable for the beat-wave accelerator is suggested. Accelerator physics issues such as the luminosity are discussed. Applications of the present process to the current drive in a plasma and to the excitation of collective oscillations within nuclei are also discussed

  14. Imaging system for obtaining space- and time-resolved plasma images on TMX

    International Nuclear Information System (INIS)

    Koehler, H.A.; Frerking, C.E.

    1980-01-01

    A Reticon 50 x 50 photodiode array camera has been placed on Livermore's Tandem Mirror Experiment to view a 56-cm diameter plasma source of visible, vacuum-ultraviolet, and x-ray photons. The compact camera views the source through a pinhole, filters, a fiber optic coupler, a microchannel plate intensifier (MCPI), and a reducer. The images are digitized (at 3.3 MHz) and stored in a large, high-speed memory that has a capacity of 45 images. A local LSI-11 microprocessor provides immediate processing and display of the data. The data are also stored on floppy disks that can be further processed on the large Livermore Computer System. The temporal resolution is limited by the fastest MCPI gate. The number of images recorded is determined by the read-out time of the Reticon camera (minimum 0.9 msec). The spatial resolution of approximately 1.4 cm is fixed by the geometry and the pinhole of 0.025 cm. Typical high-quality color representation of some plasma images are included

  15. Plasma focus system: Design, construction and experiments

    International Nuclear Information System (INIS)

    Alacakir, A.; Akguen, Y.; Boeluekdemir, A. S.

    2007-01-01

    The aim of this work is to construct a compact experimental system for fusion research. The design, construction and experiments of the 3 kJ Mather type plasma focus machine is described. This machine is established for neutron yield and fast neutron radiography by D-D reaction which is given by D + D→ 3 He (0.82 MeV) + n (2.45 MeV) . Investigation of the geometry of plasma focus machine in the presence of high voltage drive and vacuum system setup is shown. 108 neutron per pulse and 200 kA peak current is obtained for many shots. Scintillator screen for fast neutron imaging, sensitive to 2.45 MeV neutrons, is also manufactured in our labs. Structural neutron shielding computations for safety is also completed

  16. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Science.gov (United States)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  17. Diagnostics of N2-Ar plasma mixture excited in A 13.56 MHz hollow cathode discharge system: Application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-khaled, B.

    2009-01-01

    N 2 -x % Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double langmuir probe, as a function of experimental parameters: Total pressure (5-33 Pa), and different fractions of argon (7≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both actinometry method and the ratio of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase of argon fraction enhances the dissociation of N 2 , with a maximum at x=50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 K and 12300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5.10 9 cm-3 and 1.4 10 10 cm -3 , and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide surface interaction, in the remote plasma zone, has been studied through optical emission spectroscopy analysis during plasma treatment of polyamide to monitor the possible emissions due to the polymer etching. An increase of atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The polyamide surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased. (author)

  18. Diagnostics of N2-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S; Naddaf, M; Alkhaled, B

    2008-01-01

    N 2 -x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 ≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I N /I N 2 of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N 2 , with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5 x 10 9 and 1.4 x 10 10 cm -3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased

  19. Recent progress in the modelling of thermal plasma systems

    International Nuclear Information System (INIS)

    Xi Chen

    2002-01-01

    Plasma flow and heat transfer in thermal plasma systems are often of three-dimensional (3-D) features and cannot be well studied by use of a two-dimensional modelling approach. 3-D modelling studies are recently performed in our group. It is found that appreciable 3-D effects exist within non-transferred DC arc plasma torches even for the case with axisymmetrical external conditions. The key for the successful 3-D modelling of the non-transferred arc plasma torch is that the anode-nozzle wall is included in the computational domain. The predicted results are favorably compared with experimental observation. 3-D modelling of the plasma jets with lateral injection of particulate matter and its carrier gas also reveals distinct 3-D effects with the injection velocity and the distance between the carrier-gas injection-tube tip and the jet edge as critical parameters. The 3-D effects appreciably influence the trajectories and heating histories of particles injected into the plasma jet. (author)

  20. Design of a portable optical emission tomography system for microwave induced compact plasma for visible to near-infrared emission lines

    Energy Technology Data Exchange (ETDEWEB)

    Rathore, Kavita, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in; Munshi, Prabhat, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in [Nuclear Engineering and Technology Programme, Indian Institute of Technology Kanpur, Kanpur (India); Bhattacharjee, Sudeep, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India)

    2016-03-15

    A new non-invasive diagnostic system is developed for Microwave Induced Plasma (MIP) to reconstruct tomographic images of a 2D emission profile. A compact MIP system has wide application in industry as well as research application such as thrusters for space propulsion, high current ion beams, and creation of negative ions for heating of fusion plasma. Emission profile depends on two crucial parameters, namely, the electron temperature and density (over the entire spatial extent) of the plasma system. Emission tomography provides basic understanding of plasmas and it is very useful to monitor internal structure of plasma phenomena without disturbing its actual processes. This paper presents development of a compact, modular, and versatile Optical Emission Tomography (OET) tool for a cylindrical, magnetically confined MIP system. It has eight slit-hole cameras and each consisting of a complementary metal–oxide–semiconductor linear image sensor for light detection. The optical noise is reduced by using aspheric lens and interference band-pass filters in each camera. The entire cylindrical plasma can be scanned with automated sliding ring mechanism arranged in fan-beam data collection geometry. The design of the camera includes a unique possibility to incorporate different filters to get the particular wavelength light from the plasma. This OET system includes selected band-pass filters for particular argon emission 750 nm, 772 nm, and 811 nm lines and hydrogen emission H{sub α} (656 nm) and H{sub β} (486 nm) lines. Convolution back projection algorithm is used to obtain the tomographic images of plasma emission line. The paper mainly focuses on (a) design of OET system in detail and (b) study of emission profile for 750 nm argon emission lines to validate the system design.