WorldWideScience

Sample records for plasma processing method

  1. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  2. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  3. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  4. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  5. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  6. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  7. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  8. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  9. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  10. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  11. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  12. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  13. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  14. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  15. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  16. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  17. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  18. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  19. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  20. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  1. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  2. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  3. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  4. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  5. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  6. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  7. Investigation of collisional excitation-transfer processes in a plasma by laser perturbation method

    International Nuclear Information System (INIS)

    Sakurai, Takeki

    1983-01-01

    The theoretical background and the experimental method of the laser perturbation method applied to the study of collisional excitation transfer process in plasma are explained. The atomic density at some specified level can be evaluated theoretically. By using the theoretical results and the experimentally obtained data, the total attenuation probability, the collisional transfer probability and natural emission probability were estimated. For the experiments, continuous wave laser (cw) and pulse laser are employed. It is possible by using pulse dye laser to observe the attenuation curve directly, and to bring in resonance to any atomic spectra. At the beginning, the experimental studies were made on He-Ne discharge. The pulse dye laser has been used for the excitation of alkali atoms. The first application of pulse laser to the study of plasma physics was the study on He. The cross section of disalignment has also been studied by the laser perturbation. The alignment of atoms, step and cascade transfer, the confinement of radiation and optogalvanic effect are discussed in this paper. (Kato, T.)

  8. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  9. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  10. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  11. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  12. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  13. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  14. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  15. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  16. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  17. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  18. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  19. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  20. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  1. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  2. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  3. Gene Transfection Method Using Atmospheric Pressure Dielectric-Barrier Discharge Plasmas

    Science.gov (United States)

    Sasaki, Shota; Kanzaki, Makoto; Kaneko, Toshiro

    2013-09-01

    Gene transfection which is the process of deliberately introducing nucleic acids into cells is expected to play an important role in medical treatment because the process is necessary for gene therapy and creation of induced pluripotent stem (iPS) cells. However, the conventional transfection methods have some problems, so we focus attention on promising transfection methods by atmospheric pressure dielectric-barrier discharge (AP-DBD) plasmas. AP-DBD He plasmas are irradiated to the living cell covered with genes. Preliminarily, we use fluorescent dye YOYO-1 instead of the genes and use LIVE/DEAD Stain for cell viability test, and we analyze the transfection efficiency and cell viability under the various conditions. It is clarified that the transfection efficiency is strongly dependence on the plasma irradiation time and cell viability rates is high rates (>90%) regardless of long plasma irradiation time. These results suggest that ROS (Reactive Oxygen Species) and electric field generated by the plasma affect the gene transfection. In addition to this (the plasma irradiation time) dependency, we now investigate the effect of the plasma irradiation under the various conditions.

  4. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  5. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  6. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  7. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  8. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  9. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  10. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  11. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  12. Method of controlling plasma discharge in a thermonuclear device

    International Nuclear Information System (INIS)

    Kawasaki, Kozo; Ishida, Takayuki; Takemaru, Koichi; Kawasaki, Takahide.

    1982-01-01

    Purpose: To prolong the plasma discharging period by previously increasing the temperature at the thick portion of a vacuum container prior to the plasma discharge to thereby decrease the temperature difference caused by the plasma discharge between the thick portion and the bellows. Method: Temperature values at the outer surface of the thick portion and the bellows of a vacuum container detected by temperature sensors are applied to the input processing section of a temperature control device, and baking control is carried out by way of the output processing section so that each of the portions of the vacuum container may be maintained at the temperature set by the temperature setting section based on the calculation performed in the control processing section. By previously increasing the temperature β at the thick portion higher by about 100 0 C than the temperature α for the bellows in the baking treatment prior to the plasma discharge, the plasma discharge period during which the temperature levels at both of the portions are reversed after the plasma discharge and the temperature difference arrives at a predetermined level i.g., of 100 0 C can significantly be prolonged as compared with the case where the plasma discharge is started at the same temperature for both of the portions. (Yoshino, Y.)

  13. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  14. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  15. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  16. Research on Radiation Characteristic of Plasma Antenna through FDTD Method

    Directory of Open Access Journals (Sweden)

    Jianming Zhou

    2014-01-01

    Full Text Available The radiation characteristic of plasma antenna is investigated by using the finite-difference time-domain (FDTD approach in this paper. Through using FDTD method, we study the propagation of electromagnetic wave in free space in stretched coordinate. And the iterative equations of Maxwell equation are derived. In order to validate the correctness of this method, we simulate the process of electromagnetic wave propagating in free space. Results show that electromagnetic wave spreads out around the signal source and can be absorbed by the perfectly matched layer (PML. Otherwise, we study the propagation of electromagnetic wave in plasma by using the Boltzmann-Maxwell theory. In order to verify this theory, the whole process of electromagnetic wave propagating in plasma under one-dimension case is simulated. Results show that Boltzmann-Maxwell theory can be used to explain the phenomenon of electromagnetic wave propagating in plasma. Finally, the two-dimensional simulation model of plasma antenna is established under the cylindrical coordinate. And the near-field and far-field radiation pattern of plasma antenna are obtained. The experiments show that the variation of electron density can introduce the change of radiation characteristic.

  17. Research on radiation characteristic of plasma antenna through FDTD method.

    Science.gov (United States)

    Zhou, Jianming; Fang, Jingjing; Lu, Qiuyuan; Liu, Fan

    2014-01-01

    The radiation characteristic of plasma antenna is investigated by using the finite-difference time-domain (FDTD) approach in this paper. Through using FDTD method, we study the propagation of electromagnetic wave in free space in stretched coordinate. And the iterative equations of Maxwell equation are derived. In order to validate the correctness of this method, we simulate the process of electromagnetic wave propagating in free space. Results show that electromagnetic wave spreads out around the signal source and can be absorbed by the perfectly matched layer (PML). Otherwise, we study the propagation of electromagnetic wave in plasma by using the Boltzmann-Maxwell theory. In order to verify this theory, the whole process of electromagnetic wave propagating in plasma under one-dimension case is simulated. Results show that Boltzmann-Maxwell theory can be used to explain the phenomenon of electromagnetic wave propagating in plasma. Finally, the two-dimensional simulation model of plasma antenna is established under the cylindrical coordinate. And the near-field and far-field radiation pattern of plasma antenna are obtained. The experiments show that the variation of electron density can introduce the change of radiation characteristic.

  18. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  19. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  20. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  1. Production-Worthy USJ Formation by Self-Regulatory Plasma Doping Method

    International Nuclear Information System (INIS)

    Sasaki, Y.; Ito, H.; Okashita, K.; Tamura, H.; Jin, C. G.; Mizuno, B.; Okumura, T.; Aiba, I.; Sauddin, H.; Iwai, H.; Fukagawa, Y.; Tsutsui, K.

    2006-01-01

    A new method of plasma doping that achieves tight control on dosimetry and uniformity has been developed. It uses a self-regulatory behavior of plasma processes that brings high accuracy on dose control and uniformity within 1.5%. The largest advantage of this self-regulatory plasma doping (SRPD) is that the accuracy of the process control is much less dependent on the uniformity of the plasma, which makes a revolutionary difference to the plasma process as it becomes free from the primary hardware constraint. A typical doping of boron using B2H6/He gas mixture at dose of 1x1015 ions/cm2 can achieve a uniformity of less than 1.5% across a 300mm silicon wafer when the plasma uniformity above the wafer plane is as poor as 10%. The SRPD process also forms very abrupt junctions such as less than 2nm/decade at the junction depth of 10nm due to an instantaneous amorphization of the wafer surface within the first 5 seconds of the process duration. Combined with the throughput advantage at low energy against the conventional ion implantation, the SRPD offers an ideal performance for USJ formation for 45nm technology node and beyond

  2. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  3. Plasma methods for metals recovery from metal-containing waste.

    Science.gov (United States)

    Changming, Du; Chao, Shang; Gong, Xiangjie; Ting, Wang; Xiange, Wei

    2018-04-27

    Metal-containing waste, a kind of new wastes, has a great potential for recycling and is also difficult to deal with. Many countries pay more and more attention to develop the metal recovery process and equipment of this kind of waste as raw material, so as to solve the environmental pollution and comprehensively utilize the discarded metal resources. Plasma processing is an efficient and environmentally friendly way for metal-containing waste. This review mainly discuss various metal-containing waste types, such as printed circuit boards (PCBs), red mud, galvanic sludge, Zircon, aluminium dross and incinerated ash, and the corresponding plasma methods, which include DC extended transferred arc plasma reactor, DC non-transferred arc plasma torch, RF thermal plasma reactor and argon and argon-hydrogen plasma jets. In addition, the plasma arc melting technology has a better purification effect on the extraction of useful metals from metal-containing wastes, a great capacity of volume reduction of waste materials, and a low leaching toxicity of solid slag, which can also be used to deal with all kinds of metal waste materials, having a wide range of applications. Copyright © 2018 Elsevier Ltd. All rights reserved.

  4. Precision of a FDTD method to simulate cold magnetized plasmas

    International Nuclear Information System (INIS)

    Pavlenko, I.V.; Melnyk, D.A.; Prokaieva, A.O.; Girka, I.O.

    2014-01-01

    The finite difference time domain (FDTD) method is applied to describe the propagation of the transverse electromagnetic waves through the magnetized plasmas. The numerical dispersion relation is obtained in a cold plasma approximation. The accuracy of the numerical dispersion is calculated as a function of the frequency of the launched wave and time step of the numerical grid. It is shown that the numerical method does not reproduce the analytical results near the plasma resonances for any chosen value of time step if there is not a dissipation mechanism in the system. It means that FDTD method cannot be applied straightforward to simulate the problems where the plasma resonances play a key role (for example, the mode conversion problems). But the accuracy of the numerical scheme can be improved by introducing some artificial damping of the plasma currents. Although part of the wave power is lost in the system in this case but the numerical scheme describes the wave processes in an agreement with analytical predictions.

  5. New method to determine structures in thermonuclear plasmas; Nieuwe methode voor de bepaling van structuren in thermonucleaire plasma`s

    Energy Technology Data Exchange (ETDEWEB)

    Tanzi, C.P. [FOM-Instituut voor Plasmafysica Rijnhuizen, Nieuwegein (Netherlands)

    1998-01-01

    The information from tomographic methods is not always sufficient to determine fast changing structures, e.g. very hot plasmas. A new method has been developed by means of which, among other things, physical mechanisms of plasma instability can be disentangled. 4 refs.

  6. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  7. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  8. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  9. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  10. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  11. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  12. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  13. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  14. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  15. Computational Methods in Plasma Physics

    CERN Document Server

    Jardin, Stephen

    2010-01-01

    Assuming no prior knowledge of plasma physics or numerical methods, Computational Methods in Plasma Physics covers the computational mathematics and techniques needed to simulate magnetically confined plasmas in modern magnetic fusion experiments and future magnetic fusion reactors. Largely self-contained, the text presents the basic concepts necessary for the numerical solution of partial differential equations. Along with discussing numerical stability and accuracy, the author explores many of the algorithms used today in enough depth so that readers can analyze their stability, efficiency,

  16. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  17. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  18. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  19. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  20. Engineering design of plasma generation devices using Elmer finite element simulation methods

    Directory of Open Access Journals (Sweden)

    Daniel Bondarenko

    2017-02-01

    Full Text Available Plasma generation devices are important technology for many engineering disciplines. The process for acquiring experience for designing plasma devices requires practice, time, and the right tools. The practice and time depend on the individual and the access to the right tools can be a limiting factor to achieve experience and to get an idea on the possible risks. The use of Elmer finite element method (FEM software for verifying plasma engineering design is presented as an accessible tool that can help modeling multi-physics and verifying plasma generation devices. Furthermore, Elmer FEM will be suitable for experienced engineer and can be used for determining the risks in a design or a process that use plasma. A physical experiment was conducted to demonstrate new features of plasma generation technology where results are compared with plasma simulation using Elmer FEM.

  1. Lattice Boltzmann method for weakly ionized isothermal plasmas

    International Nuclear Information System (INIS)

    Li Huayu; Ki, Hyungson

    2007-01-01

    In this paper, a lattice Boltzmann method (LBM) for weakly ionized isothermal plasmas is presented by introducing a rescaling scheme for the Boltzmann transport equation. Without using this rescaling, we found that the nondimensional relaxation time used in the LBM is too large and the LBM does not produce physically realistic results. The developed model was applied to the electrostatic wave problem and the diffusion process of singly ionized helium plasmas with a 1-3% degree of ionization under an electric field. The obtained results agree well with theoretical values

  2. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  3. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  4. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  5. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  6. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  7. Plasma shape reconstruction of merging spherical tokamak based on modified CCS method

    Science.gov (United States)

    Ushiki, Tomohiko; Inomoto, Michiaki; Itagaki, Masafumi; McNamara, Steven

    2017-10-01

    The merging start-up method is the one of the CS-free start-up schemes that has the advantage of high plasma temperature and density because it involves reconnection heating and compression processes. In order to achieve optimal merging operations, the initial two STs should have identical plasma currents and shapes, and then move symmetrically toward the center of the device with appropriate velocity. Furthermore, from the viewpoint of the compression effect, controlling the plasma major radius is also important. To realize the active feedback control of the plasma currents, the positions, and the shapes of the two initial STs and to optimize the plasma parameters described above, accurate estimation of the plasma boundary shape is highly important. In the present work, the Modified-CCS method is demonstrated to reconstruct the plasma boundary shapes as well as the eddy current profiles in the UTST (The University of Tokyo) and ST40 device (Tokamak Energy Ltd). The present research results demonstrate the effectiveness of the M-CCS method in the reconstruction analyses of ST merging.

  8. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  9. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  10. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  11. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  12. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  13. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  14. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  15. Feasibility of arc-discharge and plasma-sputtering methods in cleaning plasma-facing and diagnostics components of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Hakola, Antti, E-mail: antti.hakola@vtt.fi [VTT Technical Research Centre of Finland, VTT (Finland); Likonen, Jari [VTT Technical Research Centre of Finland, VTT (Finland); Karhunen, Juuso; Korhonen, Juuso T. [Department of Applied Physics, Aalto University (Finland); Aints, Märt; Laan, Matti; Paris, Peeter [Department of Physics, University of Tartu (Estonia); Kolehmainen, Jukka; Koskinen, Mika; Tervakangas, Sanna [DIARC-Technology Oy, Espoo (Finland)

    2015-10-15

    Highlights: • Feasibility of the arc-discharge and plasma-sputtering techniques in removing deposited layers from ITER-relevant samples demonstrated. • Samples with the size of an A4 paper can be cleaned from 1-μm thick deposited layers in 10–20 minutes by the arc-discharge method. • The plasma-sputtering method is 5–10 times slower but the resulting surfaces are very smooth. • Arc-discharge method could be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER, plasma sputtering is preferred for diagnostics mirrors. - Abstract: We have studied the feasibility of arc-discharge and plasma-sputtering methods in removing deposited layers from ITER-relevant test samples. Prototype devices have been designed and constructed for the experiments and the cleaning process is monitored by a spectral detection system. The present version of the arc-discharge device is capable of removing 1-μm thick layers from 350-mm{sup 2} areas in 4–8 s, but due to the increased roughness of the cleaned surfaces and signs of local melting, mirror-like surfaces cannot be treated by this technique. The plasma-sputtering approach, for its part, is some 5–10 times slower in removing the deposited layers but no changes in surface roughness or morphology of the samples could be observed after the cleaning phase. The arc-discharge technique could therefore be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER while in the case of diagnostics mirrors plasma sputtering is preferred.

  16. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  17. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  18. Disposal of olive mill wastewater with DC arc plasma method.

    Science.gov (United States)

    Ibrahimoglu, Beycan; Yilmazoglu, M Zeki

    2018-07-01

    Olive mill wastewater is an industrial waste, generated as a byproduct of olive oil production process and generally contains components such as organic matter, suspended solids, oil, and grease. Although various methods have been developed to achieve the disposal of this industrial wastewater, due to the low cost, the most common disposal application is the passive storage in the lagoons. The main objective of this study is to reduce pollution parameters in olive mill wastewater and draw water to discharge limits by using plasma technology. Plasma-assisted disposal of olive mill wastewater method could be an alternative disposal technique when considering potential utilization of treated water in agricultural areas and economic value of flammable plasma gas which is the byproduct of disposal process. According to the experimental results, the rates of COD (chemical oxygen demand) and BOD (biological oxygen demand) of olive mill wastewater are decreased by 94.42% and 95.37%, respectively. The dissolved oxygen amount is increased from 0.36 to 6.97 mg/l. In addition, plasma gas with high H 2 content and treated water that can be used in agricultural areas for irrigation are obtained from non-dischargeable wastewater. Copyright © 2018 Elsevier Ltd. All rights reserved.

  19. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  20. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  1. New methods in plasma simulation

    International Nuclear Information System (INIS)

    Mason, R.J.

    1990-01-01

    The development of implicit methods of particle-in-cell (PIC) computer simulation in recent years, and their merger with older hybrid methods have created a new arsenal of simulation techniques for the treatment of complex practical problems in plasma physics. The new implicit hybrid codes are aimed at transitional problems that lie somewhere between the long time scale, high density regime associated with MHD modeling, and the short time scale, low density regime appropriate to PIC particle-in-cell techniques. This transitional regime arises in ICF coronal plasmas, in pulsed power plasma switches, in Z-pinches, and in foil implosions. Here, we outline how such a merger of implicit and hybrid methods has been carried out, specifically in the ANTHEM computer code, and demonstrate the utility of implicit hybrid simulation in applications. 25 refs., 5 figs

  2. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  3. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  4. Noncanonical Hamiltonian methods in plasma dynamics

    International Nuclear Information System (INIS)

    Kaufman, A.N.

    1981-11-01

    A Hamiltonian approach to plasma dynamics has numerous advantages over equivalent formulations which ignore the underlying Hamiltonian structure. In addition to achieving a deeper understanding of processes, Hamiltonian methods yield concise expressions (such as the Kubo form for linear susceptibility), greatly shorten the length of calculations, expose relationships (such as between the ponderomotive Hamiltonian and the linear susceptibility), determine invariants in terms of symmetry operations, and cover situations of great generality. In addition, they yield the Poincare invariants, in particular Liouville volume and adiabatic actions

  5. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  6. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  7. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  8. Sterilization Effect of Wet Oxygen Plasma in the Bubbling Method.

    Science.gov (United States)

    Tamazawa, Kaoru; Shintani, Hideharu; Tamazawa, Yoshinori; Shimauchi, Hidetoshi

    2015-01-01

    A new low-temperature sterilization method to replace the ethylene oxide gas sterilization is needed. Strong bactericidal effects of OH and O2H radicals are well known. The purpose of this study was to evaluate the sterilization effect of wet oxygen ("O2+H2O") plasma in the bubbling method, confirming the effect of humidity. Sterility assurance was confirmed by using a biological indicator (Geobacillus stearothermophilus ATCC7953, Namsa, USA). One hundred and eight samples (10(5) spores/carrier) were divided into three groups of 36 in each for treatment with a different type of gas (O2, O2+H2O, Air+H2O). Plasma processing was conducted using a plasma ashing apparatus (13.56 MHz, PACK-3(®), Y. A. C., Japan) under various gas pressures (13, 25, 50 Pa) and gas flows (50, 100, 200 sccm). Fixed plasma treatment parameters were power at 150 W, temperature of 60 ℃, treatment time of 10 min. The samples after treatment were incubated in trypticase soy broth at 58 ℃ for 72 h. The negative culture rate in the "O2+H2O" group was significantly (Mantel-Haenszel procedure, pbubbling method which is the method of introducing vapor into the chamber. The bubbling method seems able to generate OH and O2H radicals in a stable way.

  9. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    Science.gov (United States)

    Locke, Bruce R.; Shih, Kai-Yuan

    2011-06-01

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 × 10-2 to 80 g kWh-1. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  10. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    Energy Technology Data Exchange (ETDEWEB)

    Locke, Bruce R; Shih, Kai-Yuan [Department of Chemical and Biomedical Engineering, Florida State University, Tallahassee, FL 32310 (United States)

    2011-06-15

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 x 10{sup -2} to 80 g kWh{sup -1}. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  11. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  12. The pyrolytic-plasma method and the device for the utilization of hazardous waste containing organic compounds

    Energy Technology Data Exchange (ETDEWEB)

    Opalińska, Teresa [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Wnęk, Bartłomiej, E-mail: bartlomiej.wnek@itr.org.pl [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Witowski, Artur; Juszczuk, Rafał; Majdak, Małgorzata [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Bartusek, Stanilav [VŠB—Technical University of Ostrava, 17. listopadu 15/2172, 708 33 Ostrava − Poruba Czech Republic (Czech Republic)

    2016-11-15

    Highlights: • A first stage of the process of waste utilization consisted in pyrolysis of waste. • Then the pyrolytic gas was oxidized with a use of non-equilibrium plasma. • The device for the process implementation was built and characterized. • Correctness of the device operation was proven with a use of the decomposition of PE. • Usefulness of the method was proven in the process of utilization of EW. - Abstract: This paper is focused on the new method of waste processing. The waste, including hazardous waste, contain organic compounds. The method consists in two main processes: the pyrolysis of waste and the oxidation of the pyrolytic gas with a use of non-equilibrium plasma. The practical implementation of the method requires the design, construction and testing of the new device in large laboratory scale. The experiments were carried out for the two kinds of waste: polyethylene as a model waste and the electronic waste as a real waste. The process of polyethylene decomposition showed that the operation of the device is correct because 99.74% of carbon moles contained in the PE samples was detected in the gas after the process. Thus, the PE samples practically were pyrolyzed completely to hydrocarbons, which were completely oxidized in the plasma reactor. It turned out that the device is useful for decomposition of the electronic waste. The conditions in the plasma reactor during the oxidation process of the pyrolysis products did not promote the formation of PCDD/Fs despite the presence of the oxidizing conditions. An important parameter determining the efficiency of the oxidation of the pyrolysis products is gas temperature in the plasma reactor.

  13. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  14. Decomposition of methane hydrate for hydrogen production using microwave and radio frequency in-liquid plasma methods

    International Nuclear Information System (INIS)

    Rahim, Ismail; Nomura, Shinfuku; Mukasa, Shinobu; Toyota, Hiromichi

    2015-01-01

    This research involves two in-liquid plasma methods of methane hydrate decomposition, one using radio frequency wave (RF) irradiation and the other microwave radiation (MW). The ultimate goal of this research is to develop a practical process for decomposition of methane hydrate directly at the subsea site for fuel gas production. The mechanism for methane hydrate decomposition begins with the dissociation process of methane hydrate formed by CH_4 and water. The process continues with the simultaneously occurring steam methane reforming process and methane cracking reaction, during which the methane hydrate is decomposed releasing CH_4 into H_2, CO and other by-products. It was found that methane hydrate can be decomposed with a faster rate of CH_4 release using microwave irradiation over that using radio frequency irradiation. However, the radio frequency plasma method produces hydrogen with a purity of 63.1% and a CH conversion ratio of 99.1%, which is higher than using microwave plasma method which produces hydrogen with a purity of 42.1% and CH_4 conversion ratio of 85.5%. - Highlights: • The decomposition of methane hydrate is proposed using plasma in-liquid method. • Synthetic methane hydrate is used as the sample for decomposition in plasma. • Hydrogen can be produced from decomposition of methane hydrate. • Hydrogen purity is higher when using radio frequency stimulation.

  15. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  16. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces

    Science.gov (United States)

    Carr,; Jeffrey, W [Livermore, CA

    2009-03-31

    Fabrication apparatus and methods are disclosed for shaping and finishing difficult materials with no subsurface damage. The apparatus and methods use an atmospheric pressure mixed gas plasma discharge as a sub-aperture polisher of, for example, fused silica and single crystal silicon, silicon carbide and other materials. In one example, workpiece material is removed at the atomic level through reaction with fluorine atoms. In this example, these reactive species are produced by a noble gas plasma from trace constituent fluorocarbons or other fluorine containing gases added to the host argon matrix. The products of the reaction are gas phase compounds that flow from the surface of the workpiece, exposing fresh material to the etchant without condensation and redeposition on the newly created surface. The discharge provides a stable and predictable distribution of reactive species permitting the generation of a predetermined surface by translating the plasma across the workpiece along a calculated path.

  17. CHAPTER 7. BERYLLIUM ANALYSIS BY NON-PLASMA BASED METHODS

    Energy Technology Data Exchange (ETDEWEB)

    Ekechukwu, A

    2009-04-20

    The most common method of analysis for beryllium is inductively coupled plasma atomic emission spectrometry (ICP-AES). This method, along with inductively coupled plasma mass spectrometry (ICP-MS), is discussed in Chapter 6. However, other methods exist and have been used for different applications. These methods include spectroscopic, chromatographic, colorimetric, and electrochemical. This chapter provides an overview of beryllium analysis methods other than plasma spectrometry (inductively coupled plasma atomic emission spectrometry or mass spectrometry). The basic methods, detection limits and interferences are described. Specific applications from the literature are also presented.

  18. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  19. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  20. Plasma simulations using the Car-Parrinello method

    International Nuclear Information System (INIS)

    Clerouin, J.; Zerah, G.; Benisti, D.; Hansen, J.P.

    1990-01-01

    A simplified version of the Car-Parrinello method, based on the Thomas-Fermi (local density) functional for the electrons, is adapted to the simulation of the ionic dynamics in dense plasmas. The method is illustrated by an explicit application to a degenerate one-dimensional hydrogen plasma

  1. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  2. Low temperature plasma technology methods and applications

    CERN Document Server

    Chu, Paul K

    2013-01-01

    Written by a team of pioneering scientists from around the world, Low Temperature Plasma Technology: Methods and Applications brings together recent technological advances and research in the rapidly growing field of low temperature plasmas. The book provides a comprehensive overview of related phenomena such as plasma bullets, plasma penetration into biofilms, discharge-mode transition of atmospheric pressure plasmas, and self-organization of microdischarges. It describes relevant technology and diagnostics, including nanosecond pulsed discharge, cavity ringdown spectroscopy, and laser-induce

  3. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  4. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    cosmos collapsed from the uniform plasma stage into stars and empty space, practically nothing is in real equilibrium only in local equilibrium. How wrong we were. As our focus turned to anti particles, positrons and positronium, we realized that even in those early stages there was major non-equilibrium between matter and anti matter originating from the earliest stages of the Big Bang. Thus it is safe to correct the famous quote by the renowned natural philosopher Sheldon Cooper into: 'If you know the laws of [non-equilibrium] physics anything is possible'. From the matter-anti-matter ratio in the universe to life itself. But do we really need such farfetched introductory remarks to justify our scientific choices? It suffices to focus on non-equilibrium plasmas and transport of pollutants in the air and see how many new methods for diagnostics and treatment have been proposed for medicine in the past 10 years. So in addition to the past major achievements such as plasma etching for integrated circuit production, the field is full of possibilities and truly, almost anything is possible. We hope that some of the papers presented here summarize well how we learn about the laws of non-equilibrium physics in the given context of plasmas and air pollution and how we open new possibilities for further understanding and further applications. A wide range of topics is covered in this volume. This time we start with elementary collisional processes and a review of the data for excitation of polyatomic molecules obtained by the binary collision experiments carried out at the Institute of Physics in Belgrade by the group of Bratislav Marinković. A wide range of activities on the foundation of gaseous positronics ranging from new measurements in the binary regime to the simulation of collective transport in dense gases is presented by James Sullivan and coworkers. This work encompasses three continents, half a dozen groups and several lectures at the workshops while also covering

  5. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  6. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  7. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  8. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  9. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  10. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  11. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  12. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  13. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  14. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  15. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  16. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  17. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  18. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  19. Transport processes in multicomponent plasma

    International Nuclear Information System (INIS)

    Zissis, G.

    2002-01-01

    this book, at least the first few chapters, may be used as a very good complement to other textbooks for plasma physics Masters students (or even PhD beginners) and engineers who are looking for some specialization in this domain. It should be noticed here, and this is highly appreciated, that in all cases the author starts by treating the full problem and then continues with a case-study of different, commonly accepted situations. For example, in the first chapter the reader, starting from the Boltzmann equation, will find an excellent 'fundamental' discussion on the effective cross sections: beginning with the general case with an arbitrary interaction potential, the author then develops the case of the simplest approximation (hard spheres potential) followed by the 'power-law' potential which is more realistic for elastic scattering between neutral particles. He then continues with charged-neutral interactions with special mention of charge transfer reactions. The cases of the Coulomb potential and shielded Coulomb potential are discussed for the description of interactions between charged particles. Last, but not the least, other types of interactions described by the Lennard-Jones and Born-Mayer potentials are also stated and discussed. This very methodical way of description is used in all chapters of this book-this is highly appreciated! This book fills a gap in the literature of this subject-to my knowledge, during the last years very few new studies have been published in the crucial domain of multicomponent plasmas. In most cases, all the studies are based on the Chapman-Enskog expansion method while the present document mainly uses the moment expansion better known as 'Grand's' method. However, the author systematically gives a critical comparison between the two methods; this is very useful for the reader who has to decide which one of these formalisms is convenient for him. Besides all the positive points stated in the above paragraphs, there are

  20. New method to determine structures in thermonuclear plasmas

    International Nuclear Information System (INIS)

    Tanzi, C.P.

    1998-01-01

    The information from tomographic methods is not always sufficient to determine fast changing structures, e.g. very hot plasmas. A new method has been developed by means of which, among other things, physical mechanisms of plasma instability can be disentangled. 4 refs

  1. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  2. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  3. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  4. Platelet-rich plasma differs according to preparation method and human variability.

    Science.gov (United States)

    Mazzocca, Augustus D; McCarthy, Mary Beth R; Chowaniec, David M; Cote, Mark P; Romeo, Anthony A; Bradley, James P; Arciero, Robert A; Beitzel, Knut

    2012-02-15

    Varying concentrations of blood components in platelet-rich plasma preparations may contribute to the variable results seen in recently published clinical studies. The purposes of this investigation were (1) to quantify the level of platelets, growth factors, red blood cells, and white blood cells in so-called one-step (clinically used commercial devices) and two-step separation systems and (2) to determine the influence of three separate blood draws on the resulting components of platelet-rich plasma. Three different platelet-rich plasma (PRP) separation methods (on blood samples from eight subjects with a mean age [and standard deviation] of 31.6 ± 10.9 years) were used: two single-spin processes (PRPLP and PRPHP) and a double-spin process (PRPDS) were evaluated for concentrations of platelets, red and white blood cells, and growth factors. Additionally, the effect of three repetitive blood draws on platelet-rich plasma components was evaluated. The content and concentrations of platelets, white blood cells, and growth factors for each method of separation differed significantly. All separation techniques resulted in a significant increase in platelet concentration compared with native blood. Platelet and white blood-cell concentrations of the PRPHP procedure were significantly higher than platelet and white blood-cell concentrations produced by the so-called single-step PRPLP and the so-called two-step PRPDS procedures, although significant differences between PRPLP and PRPDS were not observed. Comparing the results of the three blood draws with regard to the reliability of platelet number and cell counts, wide variations of intra-individual numbers were observed. Single-step procedures are capable of producing sufficient amounts of platelets for clinical usage. Within the evaluated procedures, platelet numbers and numbers of white blood cells differ significantly. The intra-individual results of platelet-rich plasma separations showed wide variations in

  5. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  6. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  7. Process for forming exoergic structures with the use of a plasma

    Science.gov (United States)

    Kelly, M.D.

    1987-05-29

    A method of forming exoergic structures, as well as exoergic structures produced by the method, is provided. The method comprises the steps of passing a plasma-forming gas through a plasma spray gun, forming a plasma spray, introducing exoergic material into the plasma spray and directing the plasma spray toward a substrate, and allowing the exoergic material to become molten in the plasma spray and to thereafter impinge on the substrate to form a solid mass of exoergic material, the shape of which corresponds to the shape of the substrate.

  8. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  9. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  10. An isotopic analysis process with optical emission spectrometry on a laser-produced plasma

    International Nuclear Information System (INIS)

    Mauchien, P.; Pietsch, W.; Petit, A.; Briand, A.

    1994-01-01

    The sample that is to be analyzed is irradiated with a laser beam to produce a plasma at the sample surface; the spectrum of the light emitted by the plasma is analyzed and the isotope composition of the sample is derived from the spectrometry. The process is preferentially applied to uranium and plutonium; it is rapid, simpler and cheaper than previous methods, and may be applied to 'in-situ' isotopic analysis in nuclear industry. 2 figs

  11. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  12. Novel magnetic controlled plasma sputtering method

    International Nuclear Information System (INIS)

    Axelevich, A.; Rabinovich, E.; Golan, G.

    1996-01-01

    A novel method to improve thin film vacuum sputtering is presented. This method is capable of controlling the sputtering plasma via an external set of magnets, in a similar fashion to the tetrode sputtering method. The main advantage of the Magnetic Controlled Plasma Sputtering (MCPS) is its ability to independently control all deposition parameters without any interference or cross-talk. Deposition rate, using the MCPS, is found to be almost twice the rate of triode and tetrode sputtering techniques. Experimental results using the MCPS to deposit Ni layers are described. It was demonstrated that using the MCPS method the ion beam intensity at the target is a result of the interaction of a homogeneous external magnetic field and the controlling magnetic fields. The MCPS method was therefore found to be beneficial for the production of pure stoichiometric thin solid films with high reproducibility. This method could be used for the production of compound thin films as well. (authors)

  13. Design of a uranium-dioxide powder spheroidization system by plasma processing

    Science.gov (United States)

    Cavender, Daniel

    The plasma spheroidization system (PSS) is the first process in the development of a tungsten-uranium dioxide (W-UO2) ceramic-metallic (cermet) fuel for nuclear thermal rocket (NTR) propulsion. For the purposes of fissile fuel retention, UO2 spheroids ranging in size from 50 - 100 micrometers (μm) in diameter will be encapsulated in a tungsten shell. The PSS produces spherical particles by melting angular stock particles in an argon-hydrogen plasma jet where they become spherical due to surface tension. Surrogate CeO 2 powder was used in place of UO2 for system and process parameter development. Stock and spheroidized powders were micrographed using optical and scanning electron microscopy and evaluated by statistical methods to characterize and compare the spherocity of pre and post process powders. Particle spherocity was determined by irregularity parameter. Processed powders showed a statistically significant improvement in spherocity, with greater that 60% of the examined particles having an irregularity parameter of equal to or lower than 1.2, compared to stock powder.

  14. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  15. Energy expenditures of plasma method of isotope separation

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    1986-01-01

    The estimations are performed of specific energy expenditares in isotope separation of binary mixtures in different plasma systems with weak medium ionization (plasma centrifuge, gas discharge system with travelling magnetic field, direct current discharge). Potential advantages of plasma centrifuge over other gas discharge facilities are pointed out. The comparison of specific energy expenditure values in case of using plasma and conventional methods of isotope separation is carried out

  16. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  17. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  18. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  19. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  20. Centrifugation: an important pre-analytic procedure that influences plasma microRNA quantification during blood processing.

    Science.gov (United States)

    Zheng, Xiao-Hui; Cui, Cui; Zhou, Xin-Xi; Zeng, Yi-Xin; Jia, Wei-Hua

    2013-12-01

    Circulating microRNAs are robustly present in plasma or serum and have become a research focus as biomarkers for tumor diagnosis and prognosis. Centrifugation is a necessary procedure for obtaining high-quality blood supernatant. Herein, we investigated one-step and two-step centrifugations, two centrifugal methods routinely used in microRNA study, to explore their effects on plasma microRNA quantification. The microRNAs obtained from one-step and two-step centrifugations were quantified by microarray and TaqMan-based real-time quantitative polymerase chain reaction (Q-PCR). Dynamic light scattering was performed to explore the difference underlying the two centrifugal methods. The results from the microarray containing 1,347 microRNAs showed that the signal detection rate was greatly decreased in the plasma sample prepared by two-step centrifugation. More importantly, the microRNAs missing in this plasma sample could be recovered and detected in the precipitate generated from the second centrifugation. Consistent with the results from microarray, a marked decrease of three representative microRNAs in two-step centrifugal plasma was validated by Q-PCR. According to the size distribution of all nanoparticles in plasma, there were fewer nanoparticles with size >1,000 nm in two-step centrifugal plasma. Our experiments directly demonstrated that different centrifugation methods produced distinct quantities of plasma microRNAs. Thus, exosomes or protein complexes containing microRNAs may be involved in large nanoparticle formation and may be precipitated after two-step centrifugation. Our results remind us that sample processing methods should be first considered in conducting research.

  1. Evaluation of two platelet-rich plasma processing methods and two platelet-activation techniques for use in llamas and alpacas.

    Science.gov (United States)

    Semevolos, Stacy A; Youngblood, Cori D; Grissom, Stephanie K; Gorman, M Elena; Larson, Maureen K

    2016-11-01

    OBJECTIVE To evaluate 2 processing methods (commercial kit vs conical tube centrifugation) for preparing platelet rich plasma (PRP) for use in llamas and alpacas. SAMPLES Blood samples (30 mL each) aseptically collected from 6 healthy llamas and 6 healthy alpacas. PROCEDURES PRP was prepared from blood samples by use of a commercial kit and by double-step conical tube centrifugation. A CBC was performed for blood and PRP samples. Platelets in PRP samples were activated by means of a freeze-thaw method with or without 23mM CaCl 2 , and concentrations of platelet-derived growth factor-BB and transforming growth factor-β 1 were measured. Values were compared between processing methods and camelid species. RESULTS Blood CBC values for llamas and alpacas were similar. The commercial kit yielded a significantly greater degree of platelet enrichment (mean increase, 8.5 fold vs 2.8 fold) and WBC enrichment (mean increase, 3.7 fold vs 1.9 fold) than did conical tube centrifugation. Llamas had a significantly greater degree of platelet enrichment than alpacas by either processing method. No difference in WBC enrichment was identified between species. Concentrations of both growth factors were significantly greater in PRP samples obtained by use of the commercial kit versus those obtained by conical tube centrifugation. CONCLUSIONS AND CLINICAL RELEVANCE For blood samples from camelids, the commercial kit yielded a PRP product with a higher platelet and WBC concentration than achieved by conical tube centrifugation. Optimal PRP platelet and WBC concentrations for various applications need to be determined for llamas and alpacas.

  2. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  3. Numerical Simulation of Plasma Antenna with FDTD Method

    International Nuclear Information System (INIS)

    Chao, Liang; Yue-Min, Xu; Zhi-Jiang, Wang

    2008-01-01

    We adopt cylindrical-coordinate FDTD algorithm to simulate and analyse a 0.4-m-long column configuration plasma antenna. FDTD method is useful for solving electromagnetic problems, especially when wave characteristics and plasma properties are self-consistently related to each other. Focus on the frequency from 75 MHz to 400 MHz, the input impedance and radiation efficiency of plasma antennas are computed. Numerical results show that, different from copper antenna, the characteristics of plasma antenna vary simultaneously with plasma frequency and collision frequency. The property can be used to construct dynamically reconBgurable antenna. The investigation is meaningful and instructional for the optimization of plasma antenna design

  4. Numerical simulation of plasma antenna with FDTD method

    International Nuclear Information System (INIS)

    Liang Chao; Xu Yuemin; Wang Zhijiang

    2008-01-01

    We adopt cylindrical-coordinate FDTD algorithm to simulate and analyse a 0.4-m-long column configuration plasma antenna. FDTD method is useful for solving electromagnetic problems, especially when wave characteristics and plasma properties are self-consistently related to each other. Focus on the frequency from 75 MHz to 400 MHz, the input impedance and radiation efficiency of plasma antennas are computed. Numerical results show that, different from copper antenna, the characteristics of plasma antenna vary simultaneously with plasma frequency and collision frequency. The property can be used to construct dynamically reconfigurable antenna. The investigation is meaningful and instructional for the optimization of plasma antenna design. (authors)

  5. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  6. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  7. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  8. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  9. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  10. Accurate methods for calculating atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Keady, J.J.; Abdallah, J.A. Jr.; Clark, R.E.H.

    1992-01-01

    A technique for computing monochromatic X-ray absorption is described and compared to experimental data. Calculations of power loss from carbon plasmas with comprehensive new datasets confirm that the direct inclusion of metastable states can noticeably decrease the calculated power loss

  11. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  12. Electrode assemblies, plasma apparatuses and systems including electrode assemblies, and methods for generating plasma

    Science.gov (United States)

    Kong, Peter C; Grandy, Jon D; Detering, Brent A; Zuck, Larry D

    2013-09-17

    Electrode assemblies for plasma reactors include a structure or device for constraining an arc endpoint to a selected area or region on an electrode. In some embodiments, the structure or device may comprise one or more insulating members covering a portion of an electrode. In additional embodiments, the structure or device may provide a magnetic field configured to control a location of an arc endpoint on the electrode. Plasma generating modules, apparatus, and systems include such electrode assemblies. Methods for generating a plasma include covering at least a portion of a surface of an electrode with an electrically insulating member to constrain a location of an arc endpoint on the electrode. Additional methods for generating a plasma include generating a magnetic field to constrain a location of an arc endpoint on an electrode.

  13. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  14. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  15. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  16. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  17. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  18. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  19. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  20. Pharmacokinetic study of arctigenin in rat plasma and organ tissue by RP-HPLC method.

    Science.gov (United States)

    He, Fan; Dou, De-Qiang; Hou, Qiang; Sun, Yu; Kang, Ting-Guo

    2013-01-01

    A high-performance liquid chromatography (HPLC) technique was developed for the determination of arctigenin in plasma and various organs of rats after the oral administration of 30, 50 and 70 mgkg(-1) of arctigenin to the Sprague-Dawley rats. Results showed that the validated HPLC method was simple, fast, reproducible and suitable to the determination of arctigenin in rat plasma and organ tissue and one-compartmental model with zero-order absorption process can well describe the changes of arctigenin concentration in the plasma. The concentration of compound was highest in the spleen, less in the liver and the least in the lung.

  1. Numerical Simulation of Spheroidization Process of TiAl Alloy Powders in Radio Frequency Plasma

    OpenAIRE

    ZHU Langping; LU Xin; LIU Chengcheng; LI Jianchong; NAN Hai

    2017-01-01

    A numerical simulation method was used to study the radio frequency plasma spheroidization process of TiAl alloy powder. The effects of velocity field and temperature field on the motion trajectory and mass change of TiAl alloy powder with different particle size were analyzed.The results show that the temperature of powder particles increases rapidly under high temperature plasma, surface evaporation cause the reduction of particle size, and particles with small size tend to evaporate quickl...

  2. Evaluation of radioimmunological methods for assay of plasma and urinary aldosterone

    International Nuclear Information System (INIS)

    Pakarinen, A.; Koskinen, L.; Adlercreutz, H.

    1976-01-01

    Two radioimmunological methods for assay of plasma and urinary aldosterone were carefully evaluated. In the plasma method a radioimmunoassay is preceded by chromatography on a Sephadex LH-20 column. The method for urine includes a preextraction, hydrolysis of the acid-labile conjugates of aldosterone, and a radioimmunoassay. Both methods fulfill the criteria of reliability and are suitable for both routine and demanding research assays. The plasma method, using columns of double length is also applicable to analysis of aldosterone on plasma of newborn children, and pregnant females and in cord plasma. The concentration of plasma aldosterone in healthy subjects on an ad lib salt diet was 162 π+ 93 (S.D.) pmol/l in the supine position and 312 π+ 217 (S.D.) pmol/l upright. The urinary excretion of aldosterone in healthy subjects was 28.3 π+ 16.7 (S.D.) nmol/24 h. (Auth.)

  3. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  4. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  5. Characterization of Mullite-Zirconia Composite Processed by Non-Transferred and Transferred Arc Plasma

    International Nuclear Information System (INIS)

    Yugeswaran, S.; Selvarajan, V.; Lusvarghi, L.; Tok, A. I. Y.; Krishna, D. Siva Rama

    2009-01-01

    The arc plasma melting technique is a simple method to synthesize high temperature reaction composites. In this study, mullite-zirconia composite was synthesized by transferred and non-transferred arc plasma melting, and the results were compared. A mixture of alumina and zircon powders with a mole ratio of 3: 2 were ball milled for four hours and melted for two minutes in the transferred and non-transferred mode of plasma arcs. Argon and air were used as plasma forming gases. The phase and microstructural formation of melted samples were investigated by X-ray diffraction (XRD) and scanning electron microscope (SEM). The microstructure of the composites was found to be affected by the mode of melting. In transferred arc melting, zirconia flowers with uniform lines along with mullite whiskers were obtained. In the case of non-transferred arc plasma melting, mullite whiskers along with star shape zirconia were formed. Differential thermal analysis (DTA) of the synthesized mullite-zirconia composites provided a deeper understanding of the mechanisms of mullite formation during the two different processes. (plasma technology)

  6. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  7. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  8. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  9. Mechanisms of dust grain charging in plasma with allowance for electron emission processes

    Energy Technology Data Exchange (ETDEWEB)

    Mol’kov, S. I.; Savin, V. N., E-mail: moped@onego.ru [Petrozavodsk State University (Russian Federation)

    2017-02-15

    The process of dust grain charging is described with allowance for secondary, ion-induced, photoelectric, and thermal electron emission from the grain surface. The roughness of the grain surface is taken into account. An intermediate charging regime involving ion–atom collisions and electron ionization in the perturbed plasma region is analyzed using the moment equations and Poisson’s equation. A calculation method is proposed that allows one to take into account the influence of all the above effects and determine the radius of the plasma region perturbed by the dust grain.

  10. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  11. Spectral Methods in Numerical Plasma Simulation

    DEFF Research Database (Denmark)

    Coutsias, E.A.; Hansen, F.R.; Huld, T.

    1989-01-01

    An introduction is given to the use of spectral methods in numerical plasma simulation. As examples of the use of spectral methods, solutions to the two-dimensional Euler equations in both a simple, doubly periodic region, and on an annulus will be shown. In the first case, the solution is expanded...

  12. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  13. Methods for studying plasma charge transport across a magnetic field

    International Nuclear Information System (INIS)

    Popovich, A.S.

    1978-01-01

    A comparative analysis of experimental methods for the diffusion transfer of plasma charged particles accross the magnetic field at the study of its confinement effectiveness, instability effect is carried out. Considered are the methods based on the analysis of particle balance in the charge and possibilities of diffusion coefficient determination according to measuring parameters of density gradient and particle flow on the wall, rate of plasma decay after switching off ionization source radial profile of plasma density outside the active region of stationary charge. Much attension is payed to the research methods of diffusion transfer, connected with the study of propagation of periodic and aperiodic density perturbation in a plasma. Analysed is the Golubev and Granovsky method of diffusion waves and its different modifications, phase analysis method of ''test charges'' movement, as well as different modifications of correlation methods. Considered are physical preconditions of the latter and criticized is unilateral interpretation of correlation measurings, carried out in a number of works. The analysis of study possibilities of independent (non-ambipolar) diffusion of electrons and ions in a plasma in the magnetic field is executed

  14. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  15. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  16. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  17. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  18. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  19. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  20. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  1. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  2. The investigation of order–disorder transition process of ZSM-5 induced by spark plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Liang [State Key Laboratory for Modification of Chemical Fibers and Polymer Materials, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Wang, Lianjun, E-mail: wanglj@dhu.edu.cn [State Key Laboratory for Modification of Chemical Fibers and Polymer Materials, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Jiang, Wan [Engineering Research Center of Advanced Glasses Manufacturing Technology, MOE, Donghua University, 2999 North Renmin Road, Songjiang, Shanghai 201620 (China); Lin, He, E-mail: linhe@sinap.ac.cn [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, 239 Zhangheng Road, Pudong, Shanghai 200120 (China)

    2014-04-01

    Based on the amorphization of zeolites, an order–disorder transition method was used to prepare silica glass via Spark Plasma Sintering (SPS). In order to get a better understanding about the mechanism of amorphization induced by SPS, the intermediate products in this process were prepared and characterized by different characterization techniques. X-ray diffraction and High-energy synchrotron X-ray scattering show a gradual transformation from ordered crystal to glass. Local structural changes in glass network including Si–O bond length, O–Si–O bond angle, size of rings, coordination were detected by Infrared spectroscopy and {sup 29}Si magic-angle spinning nuclear magnetic resonance (NMR) spectroscopy. Topologically ordered, amorphous material with a different intermediate-range structure can be obtained by precise control of intermediate process which can be expected to optimize and design material. - Graphical abstract: Low-density, ordered zeolites collapse to the rigid amorphous glass through spark plasma sintering. The intermediate-range structure formed in the process of order–disorder transition may give rise to specific property. - Highlights: • Order–disorder transition process of ZSM-5 induced by spark plasma sintering was investigated using several methods including XRD, High-energy synchrotron X-ray scattering, SAXS, IR, NMR, ect. • Order–disorder transition induced by SPS was compared with TIA and PIA. • Three stages has been divided during the whole process. • The collapse temperature range which may give rise to intermediate-range structure has been located.

  3. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min Suk

    2017-08-10

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode submerged in the liquid to produce the plasma. A variety of devices are provided, including for continuous operation. The devices and methods can be used to generate a plasma in a variety of liquids, for example for water treatment, hydrocarbon reformation, or synthesis of nanomaterial.

  4. Practical applications of ion beam and plasma processing for improving corrosion and wear protection

    CERN Document Server

    Klingenberg, M L; Wei, R; Demaret, J; Hirvonen, J

    2002-01-01

    A multi-year project for the US Army has been investigating the use of various ion beam and plasma-based surface treatments to improve the corrosion and wear properties of military hardware. These processes are intended to be complementary to, rather than competing with, other promising macro scale coating processes such high velocity oxy-fuel (HVOF) deposition, particularly in non-line-of- sight and flash chrome replacement applications. It is believed that these processes can improve the tribological and corrosion behavior of parts without significantly altering the dimensions of the part, thereby eliminating the need for further machining operations and reducing overall production costs. The ion beam processes chosen are relatively mature, low-cost processes that can be scaled-up. The key methods that have been considered under this program include nitrogen ion implantation into electroplated hard chrome, ion beam assisted chromium and chromium nitride coatings, and plasma-deposited diamond- like carbon an...

  5. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  6. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  7. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  8. Beam deviation method as a diagnostic tool for the plasma focus

    International Nuclear Information System (INIS)

    Schmidt, H.; Rueckle, B.

    1978-01-01

    The application of an optical method for density measurements in cylindrical plasmas is described. The angular deviation of a probing light beam sent through the plasma is proportional to the maximum of the density in the plasma column. The deviation does not depend on the plasma dimensions, however, it is influenced to a certain degree by the density profile. The method is successfully applied to the investigation of a dense plasma focus with a time resolution of 2 ns and a spatial resolution (in axial direction) of 2 mm. (orig.) [de

  9. Multi-Level iterative methods in computational plasma physics

    International Nuclear Information System (INIS)

    Knoll, D.A.; Barnes, D.C.; Brackbill, J.U.; Chacon, L.; Lapenta, G.

    1999-01-01

    Plasma physics phenomena occur on a wide range of spatial scales and on a wide range of time scales. When attempting to model plasma physics problems numerically the authors are inevitably faced with the need for both fine spatial resolution (fine grids) and implicit time integration methods. Fine grids can tax the efficiency of iterative methods and large time steps can challenge the robustness of iterative methods. To meet these challenges they are developing a hybrid approach where multigrid methods are used as preconditioners to Krylov subspace based iterative methods such as conjugate gradients or GMRES. For nonlinear problems they apply multigrid preconditioning to a matrix-few Newton-GMRES method. Results are presented for application of these multilevel iterative methods to the field solves in implicit moment method PIC, multidimensional nonlinear Fokker-Planck problems, and their initial efforts in particle MHD

  10. Novel methods of ozone generation by micro-plasma concept

    Energy Technology Data Exchange (ETDEWEB)

    Fateev, A.; Chiper, A.; Chen, W.; Stamate, E.

    2008-02-15

    The project objective was to study the possibilities for new and cheaper methods of generating ozone by means of different types of micro-plasma generators: DBD (Dielectric Barrier Discharge), MHCD (Micro-Hollow Cathode Discharge) and CPED (Capillary Plasma Electrode Discharge). This project supplements another current project where plasma-based DeNOx is being studied and optimised. The results show potentials for reducing ozone generation costs by means of micro-plasmas but that further development is needed. (ln)

  11. Development of procedure using plasma welding process to produce 125I seeds

    International Nuclear Information System (INIS)

    Feher, Anselmo

    2006-01-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing 125 I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed 125 I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal 125 I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  12. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  13. High speed manyframe optical methods for plasma diagnostics

    International Nuclear Information System (INIS)

    Erokhin, A.A.; Shikanov, A.S.; Sklizkov, G.V.; Zakharenkov, Yu.A.; Zorev, N.N.

    1979-01-01

    A complex of active optical plasma and strong ionized shock wave diagnostics is described. The complex consisted of a specially developed high speed manyframe systems of shadow, schlieren and interferometric photography. The comparison of results obtained by a simultaneous registration of investigated object by means of different optical methods allowed us to determine optimal employment range for the methods. The sensitivity, temporal and space resolution of each optical method under conditions of high probe radiation refraction are discussed. The application boundaries of these methods for ionized shock wave investigation were found to depend on the shock wave front width. The methods described were used for the study of laser-produced plasma phenomena, occuring in the experiments on powerful nine-channel laser installation ''Kalmar''. (author)

  14. Simple, miniaturized blood plasma extraction method.

    Science.gov (United States)

    Kim, Jin-Hee; Woenker, Timothy; Adamec, Jiri; Regnier, Fred E

    2013-12-03

    A rapid plasma extraction technology that collects a 2.5 μL aliquot of plasma within three minutes from a finger-stick derived drop of blood was evaluated. The utility of the plasma extraction cards used was that a paper collection disc bearing plasma was produced that could be air-dried in fifteen minutes and placed in a mailing envelop for transport to an analytical laboratory. This circumvents the need for venipuncture and blood collection in specialized vials by a phlebotomist along with centrifugation and refrigerated storage. Plasma extraction was achieved by applying a blood drop to a membrane stack through which plasma was drawn by capillary action. During the course of plasma migration to a collection disc at the bottom of the membrane stack blood cells were removed by a combination of adsorption and filtration. After the collection disc filled with an aliquot of plasma the upper membranes were stripped from the collection card and the collection disc was air-dried. Intercard differences in the volume of plasma collected varied approximately 1% while volume variations of less than 2% were seen with hematocrit levels ranging from 20% to 71%. Dried samples bearing metabolites and proteins were then extracted from the disc and analyzed. 25-Hydroxy vitamin D was quantified by LC-MS/MS analysis following derivatization with a secosteroid signal enhancing tag that imparted a permanent positive charge to the vitamin and reduced the limit of quantification (LOQ) to 1 pg of collected vitamin on the disc; comparable to values observed with liquid-liquid extraction (LLE) of a venipuncture sample. A similar study using conventional proteomics methods and spectral counting for quantification was conducted with yeast enolase added to serum as an internal standard. The LOQ with extracted serum samples for enolase was 1 μM, linear from 1 to 40 μM, the highest concentration examined. In all respects protein quantification with extracted serum samples was comparable to

  15. A radioimmunoassay method for the novel gastrointestinal prokinetic agent, renzapride, in plasma

    International Nuclear Information System (INIS)

    Al-Azawie, D.M.; Webb, A.J.; Kelly, H.C.; Davies, B.E.

    1990-01-01

    Renzapride, BRL 24924 {4-amino-5-chloro-2- methoxy-N[4-(1-azabicyclo- [3,3,1] nonyl) benzamide]} is a novel compound that enhances gut motility without causing CNS dopamine block or prolactin release. It is being processed as a potential stimulant of upper gut motility and gastric emptying. Until now the only available method used to determine the concentrations of renzapride during clinical studies was a reversed phase h.p.l.c. assay (Kelly and Davies, 1988). Although the h.p.l.c. assay was used to determine renzapride in urine, the technique lacked the sensitivity required to quantify the low concentrations of the drug in plasma. The authors describe the development of a sensitive, specific, and simple radioimmunoassay method that can be used to determine renzapride concentrations in human plasma following therapeutic doses. (author)

  16. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  17. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    Science.gov (United States)

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  18. Review: engineering particles using the aerosol-through-plasma method

    Energy Technology Data Exchange (ETDEWEB)

    Phillips, Jonathan [Los Alamos National Laboratory; Luhrs, Claudia C [UNM; Richard, Monique [TEMA

    2009-01-01

    For decades, plasma processing of materials on the nanoscale has been an underlying enabling technology for many 'planar' technologies, particularly virtually every aspect of modern electronics from integrated-circuit fabrication with nanoscale elements to the newest generation of photovoltaics. However, it is only recent developments that suggest that plasma processing can be used to make 'particulate' structures of value in fields, including catalysis, drug delivery, imaging, higher energy density batteries, and other forms of energy storage. In this paper, the development of the science and technology of one class of plasma production of particulates, namely, aerosol-through-plasma (A-T-P), is reviewed. Various plasma systems, particularly RF and microwave, have been used to create nanoparticles of metals and ceramics, as well as supported metal catalysts. Gradually, the complexity of the nanoparticles, and concomitantly their potential value, has increased. First, unique two-layer particles were generated. These were postprocessed to create unique three-layer nanoscale particles. Also, the technique has been successfully employed to make other high-value materials, including carbon nanotubes, unsupported graphene, and spherical boron nitride. Some interesting plasma science has also emerged from efforts to characterize and map aerosol-containing plasmas. For example, it is clear that even a very low concentration of particles dramatically changes plasma characteristics. Some have also argued that the local-thermodynamic-equilibrium approach is inappropriate to these systems. Instead, it has been suggested that charged- and neutral-species models must be independently developed and allowed to 'interact' only in generation terms.

  19. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  20. Electrostatic plasma simulation by Particle-In-Cell method using ANACONDA package

    International Nuclear Information System (INIS)

    Blandón, J S; Grisales, J P; Riascos, H

    2017-01-01

    Electrostatic plasma is the most representative and basic case in plasma physics field. One of its main characteristics is its ideal behavior, since it is assumed be in thermal equilibrium state. Through this assumption, it is possible to study various complex phenomena such as plasma oscillations, waves, instabilities or damping. Likewise, computational simulation of this specific plasma is the first step to analyze physics mechanisms on plasmas, which are not at equilibrium state, and hence plasma is not ideal. Particle-In-Cell (PIC) method is widely used because of its precision for this kind of cases. This work, presents PIC method implementation to simulate electrostatic plasma by Python, using ANACONDA packages. The code has been corroborated comparing previous theoretical results for three specific phenomena in cold plasmas: oscillations, Two-Stream instability (TSI) and Landau Damping(LD). Finally, parameters and results are discussed. (paper)

  1. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  2. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  3. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  4. Plasma astrophysics

    CERN Document Server

    Kaplan, S A; ter Haar, D

    2013-01-01

    Plasma Astrophysics is a translation from the Russian language; the topics discussed are based on lectures given by V.N. Tsytovich at several universities. The book describes the physics of the various phenomena and their mathematical formulation connected with plasma astrophysics. This book also explains the theory of the interaction of fast particles plasma, their radiation activities, as well as the plasma behavior when exposed to a very strong magnetic field. The text describes the nature of collective plasma processes and of plasma turbulence. One author explains the method of elementary

  5. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  6. Diagnostic methods of thermal dusty plasma flows

    International Nuclear Information System (INIS)

    Nefedov, A.P.

    1995-01-01

    The presence in the high-temperature flows of condensed disperse phase (CDP) particles may lead either to an increase of the electron number density n e if the particles assume a positive charge or to its decrease if the charge is negative. The existence of CDP also may effect on optical parameters of the thermal dusty plasma flows, on heat and radiative transfer in the plasma. The entire range of states, from a Debye plasma to a highly nonideal system of charged particles, is realized in a thermal dusty plasma under standard conditions T=2000-3000 K, n e =10 8 - 10 14 cm -3 . The advanced probe and optical diagnostic instruments are needed to study the optical and electrophysical properties of thermal dusty plasma flows. The diagnostic techniques must give the data about such parameters of gas and dispersed phase as temperatures of gas and particles, number densities of electrons, atoms and ions of alkali metals, sizes, velocities and concentrations of CDP particles. It should be noted that number density of alkali metal atoms and gas temperature may be measured by the well known full absorption and generalized reversal methods. This paper describes the probe and optical techniques for diagnostic of dusty plasma flows developed in High Energy Density Research Center of Russian Academy of Sciences. The Forward Angle Scattering Transmissometer (FAST) allows measurement of the average size (Sauter diameter), mass number density, and refractive index of particles in the 0.5-15.0 gm size range. The basis of the method is a dependence of the measured extinction of radiation upon an angular acceptance aperture of the photo detector. The FAST instrument allows one to determine the mass density and the Sauter diameter of a polydispersion of particles without a priori specification of the particle size distribution model and exact data about the article refractive index

  7. Diagnostic methods of thermal dusty plasma flows

    International Nuclear Information System (INIS)

    Nefedov, A.P.

    1995-01-01

    The presence in the high-temperature flows of condensed disperse phase (CDP) particles may lead either to an increase of the electron number density n e if the particles assume a positive charge or to its decrease if the charge is negative. The existence of CDP also may effect on optical parameters of the thermal dusty plasma flows, on heat and radiative transfer in the plasma. The entire range of states, from a Debye plasma to a highly nonideal system of charged particles, is realized in a thermal dusty plasma under standard conditions T=2000-3000 K, n e =10 8 -10 14 cm -3 . The advanced probe and optical diagnostic instruments are needed to study the optical and electrophysical properties of thermal dusty plasma flows. The diagnostic techniques must give the data about such parameters of gas and dispersed phase as temperatures of gas and particles, number densities of electrons, atoms and ions of alkali metals, sizes, velocities and concentrations of CDP particles. It should be noted that number density of alkali metal atoms and gas temperature may be measured by the well known full absorption and generalized reversal methods. This paper describes the probe and optical techniques for diagnostic of dusty plasma flows developed in High Energy Density Research Center of Russian Academy of Sciences. The Forward Angle Scattering Transmissometer (FAST) allows measurement of the average size (Sauter diameter), mass number density, and refractive index of particles in the 0.5-15.0 μm size range. The basis of the method is a dependence of the measured extinction of radiation upon an angular acceptance aperture of the photo detector. The FAST instrument allows one to determine the mass density and the Sauter diameter of a polydispersion of particles without a priori specification of the particle size distribution model and exact data about the particle refractive index

  8. Electron density measurement in gas discharge plasmas by optical and acoustic methods

    International Nuclear Information System (INIS)

    Biagioni, A.; Anania, M.P.; Bellaveglia, M.; Chiadroni, E.; Giovenale, D. Di; Pirro, G. Di; Ferrario, M.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Cianchi, A.; Filippi, F.; Mostacci, A.; Zigler, A.

    2016-01-01

    Plasma density represents a very important parameter for both laser wakefield and plasma wakefield acceleration, which use a gas-filled capillary plasma source. Several techniques can be used to measure the plasma density within a capillary discharge, which are mainly based on optical diagnostic methods, as for example the well-known spectroscopic method using the Stark broadening effect. In this work, we introduce a preliminary study on an alternative way to detect the plasma density, based on the shock waves produced by gas discharge in a capillary. Firstly, the measurements of the acoustic spectral content relative to the laser-induced plasmas by a solid target allowed us to understand the main properties of the acoustic waves produced during this kind of plasma generation; afterwards, we have extended such acoustic technique to the capillary plasma source in order to calibrate it by comparison with the stark broadening method.

  9. A method for measuring plasma position in TJ-I Tokamak

    International Nuclear Information System (INIS)

    Quin, J.; TJ-I Team.

    1993-01-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author)

  10. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  11. Diagnostics of microdischarge-integrated plasma sources for display and materials processing

    International Nuclear Information System (INIS)

    Tachibana, K; Kishimoto, Y; Kawai, S; Sakaguchi, T; Sakai, O

    2005-01-01

    Two different types of microdischarge-integrated plasma sources have been operated at around the atmospheric pressure range. The discharge characteristics were diagnosed by optical emission spectroscopy (OES), laser absorption spectroscopy (LAS) and microwave transmission (MT) techniques. The dynamic spatiotemporal behaviour of excited atoms was analysed using OES and LAS and the temporal behaviour of the electron density was estimated using the MT method. In Ar and Xe/Ne gases, waveforms of the MT signal followed the current waveform in the rise period and lasted longer according to the recombination losses. However, in He the waveform followed the density of metastable atoms, reflecting the production of a large amount of electrons by the Penning ionization process with impurities. The estimated peak electron density in those plasma sources is of the order of 10 12 cm -3 , and the metastable atom density can reach 10 13 cm -3 . Thus, it is suggested that these sources can be potentially applied to convenient material processing tools of large area operated stably at atmospheric pressure

  12. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  13. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  14. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  15. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  16. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  17. A Comprehensive Software and Database Management System for Glomerular Filtration Rate Estimation by Radionuclide Plasma Sampling and Serum Creatinine Methods.

    Science.gov (United States)

    Jha, Ashish Kumar

    2015-01-01

    Glomerular filtration rate (GFR) estimation by plasma sampling method is considered as the gold standard. However, this method is not widely used because the complex technique and cumbersome calculations coupled with the lack of availability of user-friendly software. The routinely used Serum Creatinine method (SrCrM) of GFR estimation also requires the use of online calculators which cannot be used without internet access. We have developed user-friendly software "GFR estimation software" which gives the options to estimate GFR by plasma sampling method as well as SrCrM. We have used Microsoft Windows(®) as operating system and Visual Basic 6.0 as the front end and Microsoft Access(®) as database tool to develop this software. We have used Russell's formula for GFR calculation by plasma sampling method. GFR calculations using serum creatinine have been done using MIRD, Cockcroft-Gault method, Schwartz method, and Counahan-Barratt methods. The developed software is performing mathematical calculations correctly and is user-friendly. This software also enables storage and easy retrieval of the raw data, patient's information and calculated GFR for further processing and comparison. This is user-friendly software to calculate the GFR by various plasma sampling method and blood parameter. This software is also a good system for storing the raw and processed data for future analysis.

  18. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  19. A method for measuring plasma position in TJ-I Tokamak

    International Nuclear Information System (INIS)

    Quin, J.; TJ-I, Team

    1993-01-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author) 5 refs

  20. A method for measuring plasma position in TJ-I Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Quin, J.; TJ-I, Team

    1993-07-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author) 5 refs.

  1. METHOD FOR EXCHANGING ENERGY WITH A PLASMA BY MAGNETIC PUMPING

    Science.gov (United States)

    Hall, L.S.

    1963-12-31

    A method of heating a plasma confined by a static magnetic field is presented. A time-varying magnetic field having a rise time to a predetermined value substantially less than its fall time is applied to a portion of the plasma. Because of the much shorter rise time, the plasma is reversibly heated. This cycle is repeated until the desired plasma temperature is reached. (AEC)

  2. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  3. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  4. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  5. Liver plasma membranes: an effective method to analyze membrane proteome.

    Science.gov (United States)

    Cao, Rui; Liang, Songping

    2012-01-01

    Plasma membrane proteins are critical for the maintenance of biological systems and represent important targets for the treatment of disease. The hydrophobicity and low abundance of plasma membrane proteins make them difficult to analyze. The protocols given here are the efficient isolation/digestion procedures for liver plasma membrane proteomic analysis. Both protocol for the isolation of plasma membranes and protocol for the in-gel digestion of gel-embedded plasma membrane proteins are presented. The later method allows the use of a high detergent concentration to achieve efficient solubilization of hydrophobic plasma membrane proteins while avoiding interference with the subsequent LC-MS/MS analysis.

  6. Influence of micro- and macro-processes on the high-order harmonic generation in laser-produced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ganeev, R. A., E-mail: rashid-ganeev@mail.ru [Ophthalmology and Advanced Laser Medical Center, Saitama Medical University, 38 Morohongo, Moroyama-machi, Iruma-gun, Saitama 350-0495 (Japan); Physical Department, Voronezh State University, Voronezh 394006 (Russian Federation)

    2016-03-21

    We compare the resonance-induced enhancement of single harmonic and the quasi-phase-matching-induced enhancement of the group of harmonics during propagation of the tunable mid-infrared femtosecond pulses through the perforated laser-produced indium plasma. We show that the enhancement of harmonics using the macro-process of quasi-phase-matching is comparable with the one using micro-process of resonantly enhanced harmonic. These studies show that joint implementation of the two methods of the increase of harmonic yield could be a useful tool for generation of strong short-wavelength radiation in different spectral regions. We compare these effects in indium, as well as in other plasmas.

  7. Analysis of possible designs of processing units with radial plasma flows

    Science.gov (United States)

    Kolesnik, V. V.; Zaitsev, S. V.; Vashilin, V. S.; Limarenko, M. V.; Prochorenkov, D. S.

    2018-03-01

    Analysis of plasma-ion methods of obtaining thin-film coatings shows that their development goes along the path of the increasing use of sputter deposition processes, which allow one to obtain multicomponent coatings with varying percentage of particular components. One of the methods that allow one to form multicomponent coatings with virtually any composition of elementary components is the method of coating deposition using quasi-magnetron sputtering systems [1]. This requires the creation of an axial magnetic field of a defined configuration with the flux density within the range of 0.01-0.1 T [2]. In order to compare and analyze various configurations of processing unit magnetic systems, it is necessary to obtain the following dependencies: the dependency of magnetic core section on the input power to inductors, the distribution of magnetic induction within the equatorial plane in the corresponding sections, the distribution of the magnetic induction value in the area of cathode target location.

  8. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  9. All Plasma Products Are Not Created Equal: Characterizing Differences Between Plasma Products

    Science.gov (United States)

    2015-06-01

    Research and the US Department of Defense. The pooling and solvent detergent treatment processes performed to manufacture the Octaplas and Kedrion products ...All plasma products are not created equal: Characterizing differences between plasma products Philip C. Spinella, MD, Elfaridah Frazier, PhD, Heather... products that may affect efficacy and safety. METHODS: Four different plasma products were analyzed to include fresh frozen plasma (FFP), liquid plasma

  10. LOMEGA: a low frequency, field implicit method for plasma simulation

    International Nuclear Information System (INIS)

    Barnes, D.C.; Kamimura, T.

    1982-04-01

    Field implicit methods for low frequency plasma simulation by the LOMEGA (Low OMEGA) codes are described. These implicit field methods may be combined with particle pushing algorithms using either Lorentz force or guiding center force models to study two-dimensional, magnetized, electrostatic plasmas. Numerical results for ωsub(e)deltat>>1 are described. (author)

  11. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  12. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  13. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  14. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  15. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  16. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  17. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  18. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  19. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  20. A Revised Piecewise Linear Recursive Convolution FDTD Method for Magnetized Plasmas

    International Nuclear Information System (INIS)

    Liu Song; Zhong Shuangying; Liu Shaobin

    2005-01-01

    The piecewise linear recursive convolution (PLRC) finite-different time-domain (FDTD) method improves accuracy over the original recursive convolution (RC) FDTD approach and current density convolution (JEC) but retains their advantages in speed and efficiency. This paper describes a revised piecewise linear recursive convolution PLRC-FDTD formulation for magnetized plasma which incorporates both anisotropy and frequency dispersion at the same time, enabling the transient analysis of magnetized plasma media. The technique is illustrated by numerical simulations of the reflection and transmission coefficients through a magnetized plasma layer. The results show that the revised PLRC-FDTD method has improved the accuracy over the original RC FDTD method and JEC FDTD method

  1. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  2. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  3. Modelling of plasma generation and expansion in a vacuum arc: application to the vacuum arc remelting process

    International Nuclear Information System (INIS)

    Chapelle, P.; Bellot, J.P.; Duval, H.; Jardy, A.; Ablitzer, D.

    2002-01-01

    As part of a complete theoretical description of the behaviour of the electric arc in the vacuum arc remelting process, a model has been developed for the column of plasma generated by a single cluster of cathode spots. The model combines a kinetic approach, taking into account the formation of the plasma in the cathodic region, and a hydrodynamic approach, describing the expansion of the plasma in the vacuum between the electrodes. The kinetic model is based on a system of Boltzmann-Vlasov-Poisson equations and uses a particle-type simulation procedure, combining the PIC (particle in cell) and FPM (finite point set method) methods. In the two-dimensional hydrodynamic model, the plasma is assimilated to a mixture of two continuous fluids (the electrons and the ions), each described by a system of coupled transport equations. Finally, a simplified method has been defined for calculating the electric current density and the energy flux density transmitted by the plasma to the anode. The results of the numerical simulation presented are consistent with a certain number of experimental data available in the literature. In particular, the model predicts a percentage of the electric power of the cluster transmitted to the anode (25%) in good agreement with the value indicated in the literature. (author)

  4. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  5. An auxiliary differential equation FDTD method for anisotropic magnetized plasmas

    International Nuclear Information System (INIS)

    Liu Shaobin; Mo Jinjun; Yuan Naichang

    2004-01-01

    An auxiliary differential equation finite-difference time-domain (ADE-FDTD) methodology for anisotropic magnetized plasmas is derived. The method is based on a difference approximation of the auxiliary differential equation. A comparison with the JEC method is included. The CPU time saving by several times and accuracy of the method are confirmed by computing the reflection and transmission through a magnetized plasma layer with the direction of propagation parallel to the direction of the biasing field

  6. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  7. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  8. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  9. Electron capture and excitation processes in H+-H collisions in dense quantum plasmas

    Science.gov (United States)

    Jakimovski, D.; Markovska, N.; Janev, R. K.

    2016-10-01

    Electron capture and excitation processes in proton-hydrogen atom collisions taking place in dense quantum plasmas are studied by employing the two-centre atomic orbital close-coupling (TC-AOCC) method. The Debye-Hückel cosine (DHC) potential is used to describe the plasma screening effects on the Coulomb interaction between charged particles. The properties of a hydrogen atom with DHC potential are investigated as a function of the screening strength of the potential. It is found that the decrease in binding energy of nl levels with increasing screening strength is considerably faster than in the case of the Debye-Hückel (DH) screening potential, appropriate for description of charged particle interactions in weakly coupled classical plasmas. This results in a reduction in the number of bound states in the DHC potential with respect to that in the DH potential for the same plasma screening strength, and is reflected in the dynamics of excitation and electron capture processes for the two screened potentials. The TC-AOCC cross sections for total and state-selective electron capture and excitation cross sections with the DHC potential are calculated for a number of representative screening strengths in the 1-300 keV energy range and compared with those for the DH and pure Coulomb potential. The total capture cross sections for a selected number of screening strengths are compared with the available results from classical trajectory Monte Carlo calculations.

  10. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  11. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  12. [Research on the identification method of LTE condition in the laser-induced plasma].

    Science.gov (United States)

    Fan, Juan-juan; Huang, Dan; Wang, Xin; Zhang, Lei; Ma, Wei-guang; Dong, Lei; Yin, Wang-bao; Jia, Suo-tang

    2014-12-01

    Because of the poor accuracy of the commonly used Boltzmann plot method and double-line method, the Boltzmann-Maxwell distribution combined with the Saha-Eggert formula is proposed to improve the measurement accuracy of the plasma temperature; the simple algorithm for determining the linewidth of the emission line was established according to the relationship between the area and the peak value of the Gaussian formula, and the plasma electron density was calculated through the Stark broadening of the spectral lines; the method for identifying the plasma local thermal equilibrium (LTE) condition was established based on the McWhirter criterion. The experimental results show that with the increase in laser energy, the plasma temperature and electron density increase linearly; when the laser energy changes within 127~510 mJ, the plasma electron density changes in the range of 1.30532X10(17)~1.87322X10(17) cm(-3), the plasma temperature changes in the range of 12586~12957 K, and all the plasma generated in this experiment meets the LTE condition threshold according to the McWhirter criterion. For element Al, there exist relatively few observable lines at the same ionization state in the spectral region of the spectrometer, thus it is unable to use the Boltzmann plane method to calculate temperature. One hundred sets of Al plasma spectra were used for temperature measurement by employing the Saha-Boltzmann method and the relative standard deviation (RSD) value is 0.4%, and compared with 1.3% of the double line method, the accuracy has been substantially increased. The methods proposed can be used for rapid plasma temperature and electron density calculation, the LTE condition identification, and are valuable in studies such as free calibration, spectral effectiveness analysis, spectral temperature correction, the best collection location determination, LTE condition distribution in plasma, and so on.

  13. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  14. FORMATION OF THE INITIAL DISTRIBUTION OF PLASMA COMPONENTS ON THE PHASE PLANE OF LARGE PARTICLES METHOD IN ELECTRIC ARC SYNTHESIS CNS

    Directory of Open Access Journals (Sweden)

    G. V. Abramov

    2014-01-01

    Full Text Available The article deals with the modeling of charged particles in a multicomponent plasma of electric arc discharge with binary collisions in the synthesis of carbon nanostructures (CNS. One of the common methods of obtaining the quality of fullerenes and nanotubes is arc synthesis under inert gas (helium. The determination of the necessary conditions and the mechanism of formation of carbon clusters in the plasma forming set CNS will more effectively and efficiently manage this process. Feature of the problem is that in a plasma arc discharge is a large number of particle interactions and on the cathode surface. Due to the high temperatures and high energy concentration in plasma detailed experimental investigation difficult to carry out. With the aim of avoiding difficult and costly physical experiments developed numerical methods for the analysis of plasma processes. In this article to solve a system of equations of Maxwell - Boltzmann basis for the authors had taken the method of large particles, which reduces the amount of computation and reduce the demands on computing resources. The authors cites the general design scheme of the large particles, and the algorithm of particle distribution of a multicomponent plasma in the phase plane at the initial time. In conclusion, the author argues that the results in the future will define the zone satisfies the energy conditions, the probability of formation of a plasma cluster groups of carbon involved in the synthesis of the CNS.

  15. Studies on spheromak plasma production by external-flux-core method, (2)

    International Nuclear Information System (INIS)

    Arata, Masanori; Katsurai, Makoto

    1984-01-01

    The spheromak technique, one of magnetic plasma containment techniques, has such arrangement of magnetic fields that the toroidal magnetic field is produced by the poloidal current flowing in plasma, and the poloidal magnetic field is produced by the toroidal current in plasma and the current in external coils. The authors proposed external flux core method as the technique of plasma formation by this spheromak method, in which the toroidal magnetic field is injected by the discharge using electrodes, whereas the poloidal magnetic field is injected by induction discharge without electrode. Its fundamental action was analyzed by computer simulation and confirmed by experiment. In this study, the behavior of the spheromak plasma produced was investigated in detail and summarized. The contents were the measurement of the spheromak configuration produced and the estimation of plasma parameters. The experimental setup, the principle of action, and the experimental results of magnetic field distribution obtained by a magnetic probe, ion current measured by an electrostatic probe, electron temperature by spectroscopic measurement and the behavior of spheromak plasma observed with an image converter camera are reported. (Kako, I.)

  16. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  17. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  18. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  19. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  20. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  1. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  2. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  3. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  4. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  5. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  6. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  7. Method and apparatus for generating and utilizing a compound plasma configuration

    International Nuclear Information System (INIS)

    Koloc, P.M.

    1977-01-01

    A method and apparatus for generating and utilizing a compound plasma configuration is disclosed. The plasma configuration includes a central toroidal plasma with electrical currents surrounded by a generally ellipsoidal mantle of ionized particles or electrically conducting matter. The preferred methods of forming this compound plasma configuration include the steps of forming a helical ionized path in a gaseous medium and simultaneously discharging a high potential through the ionized path to produce a helical or heliform current which collapses on itself to produce a toroidal current, or generating a toroidal plasmoid, supplying magnetic energy to the plasmoid, and applying fluid pressure external to the plasmoid. The apparatus of the present invention includes a pressure chamber wherein the compound plasma configuration can be isolated or compressed by fluid or other forms of mechanical or magnetic pressure. 47 claims, 10 figures

  8. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  9. Control method for thermonuclear plasma

    International Nuclear Information System (INIS)

    Azuma, Kingo; Oda, Yasushi.

    1997-01-01

    CT (Compact Troid) is a doughnut-like shaped plasmas having a toroidal current and a poloidal current at the inside and forming a poloidal magnetic fluxes and toroidal magnetic flux. The structure of the CT is collapsed at a time of stationary state, accordingly, when it is injected to thermonuclear plasmas, particles can be supplied locally, and the state of the plasmas to be supplied can be changed by changing the direction of the injection. If a CT which is reverse to the poloidal magnetic fields is injected, plasmas with excessive ions can be supplied locally thereby enabling to form magnetic field in the thermonuclear plasmas. If the magnetic fields are formed in the vicinity of the surface of the thermonuclear plasmas, fast ions which have come over the magnetic field structure can be returned to the central portion of the plasmas. Then, confining performance of thermonuclear plasmas can be greatly improved, the efficiency for fuel supply can be increased, and energy required for ignition can be suppressed. (N.H.)

  10. Efficient data retrieval method for similar plasma waveforms in EAST

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Ying, E-mail: liuying-ipp@szu.edu.cn [SZU-CASIPP Joint Laboratory for Applied Plasma, Shenzhen University, Shenzhen 518060 (China); Huang, Jianjun; Zhou, Huasheng; Wang, Fan [SZU-CASIPP Joint Laboratory for Applied Plasma, Shenzhen University, Shenzhen 518060 (China); Wang, Feng [Institute of Plasma Physics Chinese Academy of Sciences, Hefei 230031 (China)

    2016-11-15

    Highlights: • The proposed method is carried out by means of bounding envelope and angle distance. • It allows retrieving for whole similar waveforms of any time length. • In addition, the proposed method is also possible to retrieve subsequences. - Abstract: Fusion research relies highly on data analysis due to its massive-sized database. In the present work, we propose an efficient method for searching and retrieving similar plasma waveforms in Experimental Advanced Superconducting Tokamak (EAST). Based on Piecewise Linear Aggregate Approximation (PLAA) for extracting feature values, the searching process is accomplished in two steps. The first one is coarse searching to narrow down the search space, which is carried out by means of bounding envelope. The second step is fine searching to retrieval similar waveforms, which is implemented by the angle distance. The proposed method is tested in EAST databases and turns out to have good performance in retrieving similar waveforms.

  11. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  12. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  13. Potential of electric discharge plasma methods in abatement of volatile organic compounds originating from the food industry.

    Science.gov (United States)

    Preis, S; Klauson, D; Gregor, A

    2013-01-15

    Increased volatile organic compounds emissions and commensurate tightening of applicable legislation mean that the development and application of effective, cost-efficient abatement methods are areas of growing concern. This paper reviews the last two decades' publications on organic vapour emissions from food processing, their sources, impacts and treatment methods. An overview of the latest developments in conventional air treatment methods is presented, followed by the main focus of the paper, non-thermal plasma technology. The results of the review suggest that non-thermal plasma technology, in its pulsed corona discharge configuration, is an emerging treatment method with potential for low-cost, effective abatement of a wide spectrum of organic air pollutants. It is found that the combination of plasma treatment with catalysis is a development trend that demonstrates considerable potential. The as yet relatively small number of plasma treatment applications is considered to be due to the novelty of pulsed electric discharge techniques and a lack of reliable pulse generators and reactors. Other issues acting as barriers to widespread adoption of the technique include the possible formation of stable oxidation by-products, residual ozone and nitrogen oxides, and sensitivity towards air humidity. Copyright © 2012 Elsevier Ltd. All rights reserved.

  14. Method validation for preparing serum and plasma samples from human blood for downstream proteomic, metabolomic, and circulating nucleic acid-based applications.

    Science.gov (United States)

    Ammerlaan, Wim; Trezzi, Jean-Pierre; Lescuyer, Pierre; Mathay, Conny; Hiller, Karsten; Betsou, Fay

    2014-08-01

    Formal method validation for biospecimen processing in the context of accreditation in laboratories and biobanks is lacking. Serum and plasma processing protocols were validated for fitness-for-purpose in terms of key downstream endpoints, and this article demonstrates methodology for biospecimen processing method validation. Serum and plasma preparation from human blood was optimized for centrifugation conditions with respect to microparticle counts. Optimal protocols were validated for methodology and reproducibility in terms of acceptance criteria based on microparticle counts, DNA and hemoglobin concentration, and metabolomic and proteomic profiles. These parameters were also used to evaluate robustness for centrifugation temperature (4°C versus room temperature [RT]), deceleration (low, medium, high) and blood stability (after a 2-hour delay). Optimal protocols were 10-min centrifugation for serum and 20-min for plasma at 2000 g, medium brake, RT. Methodology and reproducibility acceptance criteria were met for both protocols except for reproducibility of plasma metabolomics. Overall, neither protocol was robust for centrifugation at 4°C versus RT. RT gave higher microparticles and free DNA yields in serum, and fewer microparticles with less hemolysis in plasma. Overall, both protocols were robust for fast, medium, and low deceleration, with a medium brake considered optimal. Pre-centrifugation stability after a 2-hour delay was seen at both temperatures for hemoglobin concentration and proteomics, but not for microparticle counts. We validated serum and plasma collection methods suitable for downstream protein, metabolite, or free nucleic acid-based applications. Temperature and pre-centrifugation delay can influence analytic results, and laboratories and biobanks should systematically record these conditions in the scope of accreditation.

  15. A new method of solution for one-dimensional quasi-neutral bounded plasmas

    Science.gov (United States)

    Kamran, M.; Kuhn, S.

    2010-08-01

    A new method is proposed for calculating the potential distribution Φ(z) in a one-dimensional quasi-neutral bounded plasma; Φ(z) is assumed to satisfy a quasi-neutrality condition (plasma equation) of the form ni{Φ(z)} = ne(Φ), where the electron density ne is a given function of Φ and the ion density ni is expressed in terms of trajectory integrals of the ion kinetic equation. While previous methods relied on formally solving a global integral equation (Riemann, Phys. Plasmas, vol. 13, 2006, paper no. 013503; Kos et al., Phys. Plasmas, vol. 16, 2009, paper no. 093503), the present method is characterized by piecewise analytic solution of the plasma equation in reasonably small intervals of z. As a first concrete application, Φ(z) is found analytically through order z4 near the center of a collisionless Tonks-Langmuir discharge with a cold-ion source.

  16. Isotope separation in plasma by ion-cyclotron resonance method

    International Nuclear Information System (INIS)

    Dubinov, A.E.; Kornilova, I.Yu.; Selemir, V.D.

    2001-01-01

    Contemporary state of investigation on isotope separation in plasma using selective ion-cyclotron resonance (ICR) heating is considered. The main attention is paid to necessary conditions of heating selectivity, plasma creation methods in isotope ICR-separation facilities, selection of antenna systems for heating, and principles of more-heated component selection. Experimental results obtained at different isotope mixtures separation are presented [ru

  17. A simple method for plasma total vitamin C analysis suitable for routine clinical laboratory use.

    Science.gov (United States)

    Robitaille, Line; Hoffer, L John

    2016-04-21

    In-hospital hypovitaminosis C is highly prevalent but almost completely unrecognized. Medical awareness of this potentially important disorder is hindered by the inability of most hospital laboratories to determine plasma vitamin C concentrations. The availability of a simple, reliable method for analyzing plasma vitamin C could increase opportunities for routine plasma vitamin C analysis in clinical medicine. Plasma vitamin C can be analyzed by high performance liquid chromatography (HPLC) with electrochemical (EC) or ultraviolet (UV) light detection. We modified existing UV-HPLC methods for plasma total vitamin C analysis (the sum of ascorbic and dehydroascorbic acid) to develop a simple, constant-low-pH sample reduction procedure followed by isocratic reverse-phase HPLC separation using a purely aqueous low-pH non-buffered mobile phase. Although EC-HPLC is widely recommended over UV-HPLC for plasma total vitamin C analysis, the two methods have never been directly compared. We formally compared the simplified UV-HPLC method with EC-HPLC in 80 consecutive clinical samples. The simplified UV-HPLC method was less expensive, easier to set up, required fewer reagents and no pH adjustments, and demonstrated greater sample stability than many existing methods for plasma vitamin C analysis. When compared with the gold-standard EC-HPLC method in 80 consecutive clinical samples exhibiting a wide range of plasma vitamin C concentrations, it performed equivalently. The easy set up, simplicity and sensitivity of the plasma vitamin C analysis method described here could make it practical in a normally equipped hospital laboratory. Unlike any prior UV-HPLC method for plasma total vitamin C analysis, it was rigorously compared with the gold-standard EC-HPLC method and performed equivalently. Adoption of this method could increase the availability of plasma vitamin C analysis in clinical medicine.

  18. Field simulation of axisymmetric plasma screw pinches by alternating-direction-implicit methods

    International Nuclear Information System (INIS)

    Lambert, M.A.

    1996-06-01

    An axisymmetric plasma screw pinch is an axisymmetric column of ionized gaseous plasma radially confined by forces from axial and azimuthal currents driven in the plasma and its surroundings. This dissertation is a contribution to detailed, high resolution computer simulation of dynamic plasma screw pinches in 2-d rz-coordinates. The simulation algorithm combines electron fluid and particle-in-cell (PIC) ion models to represent the plasma in a hybrid fashion. The plasma is assumed to be quasineutral; along with the Darwin approximation to the Maxwell equations, this implies application of Ampere's law without displacement current. Electron inertia is assumed negligible so that advective terms in the electron momentum equation are ignored. Electrons and ions have separate scalar temperatures, and a scalar plasma electrical resistivity is assumed. Altemating-direction-implicit (ADI) methods are used to advance the electron fluid drift velocity and the magnetic fields in the simulation. The ADI methods allow time steps larger than allowed by explicit methods. Spatial regions where vacuum field equations have validity are determined by a cutoff density that invokes the quasineutral vacuum Maxwell equations (Darwin approximation). In this dissertation, the algorithm was first checked against ideal MM stability theory, and agreement was nicely demonstrated. However, such agreement is not a new contribution to the research field. Contributions to the research field include new treatments of the fields in vacuum regions of the pinch simulation. The new treatments predict a level of magnetohydrodynamic turbulence near the bulk plasma surface that is higher than predicted by other methods

  19. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  20. [Removal of high-abundance proteins in plasma of the obese by improved TCA/acetone precipitation method].

    Science.gov (United States)

    Wang, Jun; Feng, Liru; Yu, Wei; Xu, Jian; Yang, Hui; Liu, Xiaoli

    2013-09-01

    To develop an improved trichloroacetic acid (TCA)/acetone precipitation method for removal of high-abundance proteins in plasma of the obese. Volumes of TCA/acetone solution (1, 3, 4, 5, 6, 8, 10 and 20 times of the sample) and concentrations of TCA (10%, 30%, 50%, 60%, 70% TCA/acetone solution) have been investigated to optimize the conditions of sample preparation. SDS-PAGE were used to separate and tested proteins in the supernatant and sediment. The best concentration of the TCA/acetone solution was first determined by SDS-PAGE. The protein in precipitation from 10% TCA/acetone solution processing and the new determined concentration TCA/acetone solution processing were verified by 2-D-SDS-PAGE. And then the digested products of the protein in precipitation and supernatant by trypsin were analyzed by nano HPLC-Chip-MS/MS to verify which is the best concentration to process the plasma. The best volume of TCA/acetone is four times to sample, which less or more TCA/acetone would reduce the removal efficiency of high-abundance proteins. The concentration of TCA in acetone solution should be 60%, which may remove more high-abundance proteins in plasma than 10%, 30%, 50% TCA in acetone solution. If the TCA concentration is more than 60%, the reproducibility will be much poorer due to fast precipitation of proteins. The results of mass identification showed that human plasma prepared with 60% TCA/acetone (4 times sample volume) could be verified more low-abundance proteins than 10%. The most desirable conditions for removal of high-abundance proteins in plasma is 60% TCA/acetone (4 times sample volume), especially for the plasma of obesity.

  1. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  3. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  4. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  5. Measuring methods for the TFR plasma diagnostics

    International Nuclear Information System (INIS)

    Etievant, C.

    1975-02-01

    The measuring methods in operation or still under development for the diagnostics of the TFR plasma parameters (ion and electron temperatures, electron density, current density are reviewed, the diagnostics of the electrical behavior of the discharge, the neutral gas densities, the impurities and the parameters of the plasma turbulence being also investigated. Actual works are principally devoted to: improving ion temperature measurements by the possible use of the Doppler effect or infra-red incoherent scattering; improving n(e) and T(e) measurement by Thomson scattering; measuring the poloidal field and current density; measuring impurities by X and UV spectroscopy and measuring instabilities and turbulence [fr

  6. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  7. A conservative finite difference method for the numerical solution of plasma fluid equations

    International Nuclear Information System (INIS)

    Colella, P.; Dorr, M.R.; Wake, D.D.

    1999-01-01

    This paper describes a numerical method for the solution of a system of plasma fluid equations. The fluid model is similar to those employed in the simulation of high-density, low-pressure plasmas used in semiconductor processing. The governing equations consist of a drift-diffusion model of the electrons, together with an internal energy equation, coupled via Poisson's equation to a system of Euler equations for each ion species augmented with electrostatic force, collisional, and source/sink terms. The time integration of the full system is performed using an operator splitting that conserves space charge and avoids dielectric relaxation timestep restrictions. The integration of the individual ion species and electrons within the time-split advancement is achieved using a second-order Godunov discretization of the hyperbolic terms, modified to account for the significant role of the electric field in the propagation of acoustic waves, combined with a backward Euler discretization of the parabolic terms. Discrete boundary conditions are employed to accommodate the plasma sheath boundary layer on underresolved grids. The algorithm is described for the case of a single Cartesian grid as the first step toward an implementation on a locally refined grid hierarchy in which the method presented here may be applied on each refinement level

  8. Plasma nuclear fusion method

    International Nuclear Information System (INIS)

    Yamazaki, Shunpei; Miyanaga, Shoji; Wakaizumi, Kazuhiro; Takemura, Yasuhiko.

    1990-01-01

    Nuclear fusion reactions are attained by plasma gas phase reactions using magnetic fields and microwaves, and the degree of the reactions is controlled. That is, deuterium (D 2 ) is introduced into a plasma container by utilizing the resonance of microwaves capable of generating plasmas at high density higher by more than 10 - 10 3 times as compared with the high frequency and magnetic fields, and an electric energy is applied to convert gaseous D 2 into plasmas and nuclear fusion is conducted. Further, the deuterium ions in the plasmas are attracted to a surface of a material causing nuclear fusion under a negatively biased electric field from the outside (typically represented by Pd or Ti). Then, deuterium nuclei (d) or deuterium ions collide to the surface of the cathode on the side of palladium to conduct nuclear reaction at the surface or the inside (vicinity) thereof. However, a DC bias is applied as an external bias with the side of the palladium being negative. The cold nuclear fusion was demonstrated by placing a neutron counter in the vicinity of the container and confirming neutrons generated there. (I.S.)

  9. Improved the accuracy of 99mTc-MAG3 plasma clearance method. The problem of the calculated plasma volume and its modification

    International Nuclear Information System (INIS)

    Watanabe, Nami; Komatani, Akio; Yamaguchi, Koichi; Takahashi, Kazuei

    1998-01-01

    The 99m Tc-MAG 3 plasma clearance method (MPC method), reported by Oriuchi et al., is a simple and useful count-based gamma camera method for calculating the 99m Tc-MAG 3 plasma clearance (CL MAG ). However, a discrepancy of CL MAG calculated by MPC method (MPC-CL MAG ) from the tubular extraction rate (TER) calculated by Russell's single-sample clearance determination (Russell-TER) was noted. The calculated plasma volume is assumed to be the cause. Since the plasma volume is reported to have a linear correlation with body surface area, Dissmann's formula was applied to calculate the plasma volume. Then Dissmann's formula was replaced by Ogawa's formula in the MPC method, and the procedure was then called the modified MPC method. The CL MAG were obtained using MPC method, modified MPC method and the TER was obtained Russell's method in 95 patients with urological disorders. Then the MPC-CL MAG and modified MPC-CL MAG were compared with Russell-TER. Comparison of the MPC-CL MAG with the Russell-TER demonstrated a coefficient of correlation of 0.82, but dissociation of the slope of regression lines was found between males and females. The modified MPC-CL MAG improved the coefficient of correlation to 0.92, and diminished the dissociation of the slope of regression lines between males and females. We verified that the dissociation was due to the plasma volume calculated by Ogawa's formula. Ogawa's formula included hematocrit, body weight, body height and different coefficients for gender. The plasma volume calculated by Ogawa's formula were lower in males and higher in females than that calculated by Dissmann's formula. And marked discrepancy in the plasma volume in patients with a body surface area below 0.5 m 2 was observed. So the MPC method might become more accurate by substituting Dissmann's formula for Ogawa's formula resoluting in a method that is applicable to both males and females, children and adults in clinical use. (author)

  10. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  11. Quasilinear theory of plasma turbulence. Origins, ideas, and evolution of the method

    Science.gov (United States)

    Bakunin, O. G.

    2018-01-01

    The quasilinear method of describing weak plasma turbulence is one of the most important elements of current plasma physics research. Today, this method is not only a tool for solving individual problems but a full-fledged theory of general physical interest. The author's objective is to show how the early ideas of describing the wave-particle interactions in a plasma have evolved as a result of the rapid expansion of the research interests of turbulence and turbulent transport theorists.

  12. Numerical Modeling of the Work Piece Region in the Plasma Arc Cutting Process

    Science.gov (United States)

    Osterhouse, David

    The plasma arc cutting process is widely used for the cutting of metals. The process, however, is not fully understood and further understanding will lead to further improvements. This work aims to elucidate the fundamental physical phenomena in the region where the plasma interacts with the work piece through the use of numerical modeling techniques. This model follows standard computational fluid dynamic methods that have been suitably modified to include plasma effects, assuming either local thermodynamic equilibrium or a slight non-equilibrium captured by the two-temperature assumption. This is implemented in the general purpose, open source CFD package, OpenFOAM. The model is applied to a plasma flow through a geometry that extends from inside the plasma torch to the bottom of the slot cut in the work piece. The shape of the kerf is taken from experimental measurements. The results of this model include the temperature, velocity, and electrical current distribution throughout the plasma. From this, the heat flux to and drag force on the work piece are calculated. The location of the arc attachment in the cut slot is also noted because it is a matter of interest in the published literature as well as significantly effecting the dynamics of the heat flux and drag force. The results of this model show that the LTE formulation is not sufficient to capture the physics present due to unphysical fluid dynamic instabilities and numerical problems with the arc attachment. The two-temperature formulation, however, captures a large part of the physics present. Of particular note, it is found that an additional inelastic collision factor is necessary to describe the increased energy transfer between electrons and diatomic molecules, which is widely neglected in published literature. It is also found that inclusion of the oxygen molecular ion is necessary to accurately describe the plasma flow, which has been neglected in all published two-temperature oxygen calculations

  13. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  14. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  15. A general strategy toward the rational synthesis of metal tungstate nanostructures using plasma electrolytic oxidation method

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Yanan; Liu, Baodan, E-mail: baodanliu@imr.ac.cn; Zhai, Zhaofeng; Liu, Xiaoyuan; Yang, Bing; Liu, Lusheng; Jiang, Xin, E-mail: xjiang@imr.ac.cn

    2015-11-30

    Graphical abstract: A general strategy for the rational synthesis of tungstate nanostructure has been developed based on plasma electrolytic oxidation (PEO) technology (up). Using this method, ZnWO{sub 4} and NiWO{sub 4} nanostructures with controllable morphologies and superior crystallinity can be easily obtained (down), showing obvious advantage in comparison with conventional hydrothermal and sol–gel methods. - Highlights: • Plasma electrolyte oxidation (PEO) method has been used for the rational synthesis of tungstate nanostructures. • ZnWO{sub 4} nanoplates have strong mechanical adhesion with porous TiO{sub 2} film substrate. • The morphology and dimensional size of ZnWO{sub 4} nanostructures can be selectively tailored by controlling the annealing temperature and growth time. • The PEO method can be widely applied to the growth of various metal oxides. - Abstract: A new method based on conventional plasma electrolytic oxidation (PEO) technology has been developed for the rational synthesis of metal tungstate nanostructures. Using this method, ZnWO{sub 4} and NiWO{sub 4} nanostructures with controllable morphologies (nanorods, nanosheets and microsheets) and superior crystallinity have been synthesized. It has been found that the morphology diversity of ZnWO{sub 4} nanostructures can be selectively tailored through tuning the electrolyte concentration and annealing temperatures, showing obvious advantages in comparison to traditional hydrothermal and sol–gel methods. Precise microscopy analyses on the cross section of the PEO coating and ZnWO{sub 4} nanostructures confirmed that the precursors initially precipitated in the PEO coating and its surface during plasma discharge process are responsible for the nucleation and subsequent growth of metal tungstate nanostructures by thermal annealing. The method developed in this work represents a general strategy toward the rational synthesis of metal oxide nanostructures and the formation mechanism of

  16. A general strategy toward the rational synthesis of metal tungstate nanostructures using plasma electrolytic oxidation method

    International Nuclear Information System (INIS)

    Jiang, Yanan; Liu, Baodan; Zhai, Zhaofeng; Liu, Xiaoyuan; Yang, Bing; Liu, Lusheng; Jiang, Xin

    2015-01-01

    Graphical abstract: A general strategy for the rational synthesis of tungstate nanostructure has been developed based on plasma electrolytic oxidation (PEO) technology (up). Using this method, ZnWO 4 and NiWO 4 nanostructures with controllable morphologies and superior crystallinity can be easily obtained (down), showing obvious advantage in comparison with conventional hydrothermal and sol–gel methods. - Highlights: • Plasma electrolyte oxidation (PEO) method has been used for the rational synthesis of tungstate nanostructures. • ZnWO 4 nanoplates have strong mechanical adhesion with porous TiO 2 film substrate. • The morphology and dimensional size of ZnWO 4 nanostructures can be selectively tailored by controlling the annealing temperature and growth time. • The PEO method can be widely applied to the growth of various metal oxides. - Abstract: A new method based on conventional plasma electrolytic oxidation (PEO) technology has been developed for the rational synthesis of metal tungstate nanostructures. Using this method, ZnWO 4 and NiWO 4 nanostructures with controllable morphologies (nanorods, nanosheets and microsheets) and superior crystallinity have been synthesized. It has been found that the morphology diversity of ZnWO 4 nanostructures can be selectively tailored through tuning the electrolyte concentration and annealing temperatures, showing obvious advantages in comparison to traditional hydrothermal and sol–gel methods. Precise microscopy analyses on the cross section of the PEO coating and ZnWO 4 nanostructures confirmed that the precursors initially precipitated in the PEO coating and its surface during plasma discharge process are responsible for the nucleation and subsequent growth of metal tungstate nanostructures by thermal annealing. The method developed in this work represents a general strategy toward the rational synthesis of metal oxide nanostructures and the formation mechanism of metal tungstate nanostructures fabricated by

  17. Physiological justification for using an unconventional method for processing raw material in aquaculture

    Directory of Open Access Journals (Sweden)

    O. Honcharova

    2018-03-01

    Full Text Available Purpose. To study the influence of Spirulina рlatensis, which was previously treated by a non-traditional method, on physiological and biochemical processes in the organism of hydrobionts. To analyze the growth rate of Ukrainian scaly carp and tilapia under the influence of the feeding factor. Methodology. Experimental studies were carried out in the laboratory of biological resources and aquaculture. Following was performed during the experiments: clinical examination of hydrobionts, control of growth rates, survival rate by recording the results in a working journal, physiological studies, analysis of morphological and functional blood indices, which were performed according to generally accepted methods. Findings. It was found that the proposed method of non-traditional processing of feed resource for hydrobionts promoted the activation of metabolic processes, contributed to the improvement of fish development indices. During the process of cultivation of Spirulina рlatensis, the use of plasma-chemically activated water had a positive effect on the dynamics of development. Originality. This article presents for the first time the results of a positive effect of the pretreatment of Spirulina Platensis culture with plasma-chemically activated water for its feeding to hydrobionts. A positive effect of this method of feeding on the functional status of fish organism and the process of adaptation-compensatory mechanisms in ontogenesis has been found. Practical value. The proposed method will provide an opportunity to improve the rate of the development of hydrobionts, physiological and biochemical processes with the maximum utilization of the potential of fish organism at the stages of active growth, and also to reduce the cost of artificial feeds.

  18. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  19. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  20. Simple tube centrifugation for processing platelet-rich plasma in the horse.

    Science.gov (United States)

    Fontenot, Robin L; Sink, Carolyn A; Werre, Stephen R; Weinstein, Nicole M; Dahlgren, Linda A

    2012-12-01

    This study evaluated the quality and bacteriologic safety of platelet-rich plasma (PRP) produced by 3 simple, inexpensive tube centrifugation methods and a commercial system. Citrated equine blood collected from 26 normal horses was processed by 4 methods: blood collection tubes centrifuged at 1200 and 2000 × g, 50-mL conical tube, and a commercial system. White blood cell (WBC), red blood cell (RBC), and platelet counts and mean platelet volume (MPV) were determined for whole blood and PRP, and aerobic and anaerobic cultures were performed. Mean platelet concentrations ranged from 1.55- to 2.58-fold. The conical method yielded the most samples with platelet concentrations greater than 2.5-fold and within the clinically acceptable range of > 250,000 platelets/μL. White blood cell counts were lowest with the commercial system and unacceptably high with the blood collection tubes. The conical tube method may offer an economically feasible and comparatively safe alternative to commercial PRP production systems.

  1. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  2. Divertor, thermonuclear device and method of neutralizing high temperature plasma

    International Nuclear Information System (INIS)

    Ikegami, Hideo.

    1995-01-01

    The thermonuclear device comprises a thermonuclear reactor for taking place fusion reactions to emit fusion plasmas, and a divertor made of a hydrogen occluding material, and the divertor is disposed at a position being in contact with the fusion plasmas after nuclear fusion reaction. The divertor is heated by fusion plasmas after nuclear fusion reaction, and hydrogen is released from the hydrogen occluding material as a constituent material. A gas blanket is formed by the released hydrogen to cool and neutralize the supplied high temperature nuclear fusion plasmas. This prevents the high temperature plasmas from hitting against the divertor, elimination of the divertor by melting and evaporation, and solve a problem of processing a divertor activated by neutrons. In addition, it is possible to utilize hydrogen isotopes of fuels effectively and remove unnecessary helium. Inflow of impurities from out of the system can also be prevented. (N.H.)

  3. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  5. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  6. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  7. Spectral methods in numerical plasma simulation

    International Nuclear Information System (INIS)

    Coutsias, E.A.; Hansen, F.R.; Huld, T.; Knorr, G.; Lynov, J.P.

    1989-01-01

    An introduction is given to the use of spectral methods in numerical plasma simulation. As examples of the use of spectral methods, solutions to the two-dimensional Euler equations in both a simple, doubly periodic region, and on an annulus will be shown. In the first case, the solution is expanded in a two-dimensional Fourier series, while a Chebyshev-Fourier expansion is employed in the second case. A new, efficient algorithm for the solution of Poisson's equation on an annulus is introduced. Problems connected to aliasing and to short wavelength noise generated by gradient steepening are discussed. (orig.)

  8. Plasma spraying method for forming diamond and diamond-like coatings

    Science.gov (United States)

    Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene

    1997-01-01

    A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.

  9. Plasma flow to a surface using the iterative Monte Carlo method

    International Nuclear Information System (INIS)

    Pitcher, C.S.

    1994-01-01

    The iterative Monte Carlo (IMC) method is applied to a number of one-dimensional plasma flow problems, which encompass a wide range of conditions typical of those present in the boundary of magnetic fusion devices. The kinetic IMC method of solving plasma flow to a surface consists of launching and following particles within a grid of 'bins' into which weights are left according to the time a particle spends within a bin. The density and potential distributions within the plasma are iterated until the final solution is arrived at. The IMC results are compared with analytical treatments of these problems and, in general, good agreement is obtained. (author)

  10. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  11. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  12. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  13. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  14. Plume expansion of a laser-induced plasma studied with the particle-in-cell method

    DEFF Research Database (Denmark)

    Ellegaard, O.; Nedelea, T.; Schou, Jørgen

    2002-01-01

    energy as well as electron energy. We have estimated the time constant for energy transfer between the electrons and the ions. The scaling of these processes is given by a single parameter determined by the Debye length obtained from the electron density in the plasma outside the surface. (C) 2002......The initial stage of laser-induced plasma plume expansion from a solid in vacuum and the effect of the Coulomb field have been studied. We have performed a one-dimensional numerical calculation by mapping the charge on a computational grid according to the particle-in-cell (PIC) method of Birdsall...... et al. It is assumed that the particle ablation from a surface with a fixed temperature takes place as a pulse, i.e. within a finite period of time. A number of characteristic quantities for the plasma plume are compared with similar data for expansion of neutrals as well as fluid models: Density...

  15. Collisional processes of interest in MFE plasma research

    International Nuclear Information System (INIS)

    Olson, R.E.

    1991-01-01

    Research on this grant is devoted to the calculation of heavy particle collision cross sections needed for diagnostic studies of magnetic fusion plasmas. This work requires the development and testing of new theoretical methods, with the implementation of benchmarked techniques to collisions pertinent to fusion reactors. Within the last context, we have provided charge-exchange-recombination cross sections to specific n,l-levels for diagnostic studies on TFTR and for major compilations for the IAEA. We have also completed a cross section study related to the planned neutral beam current drive for ITER. In addition, calculations were made to assess the use of He neutral atom angular scattering measurements for JT-60. Also, new theoretical methods have been developed to more accurately calculate cross sections involving either He or H 2 targets and partially stripped multiply-charged ions. Our most recent work concentrates on alpha particle diagnostics and collision processes of ''helium ash'' in burning reactors. Here, we are providing atomic cross section data for the carbon pellet alpha particle diagnostic work at General Atomics and the neutral He beam alpha particle diagnostic under study by the IAEA

  16. A new numerical method to solve the dispersion relation in multispecies plasma

    International Nuclear Information System (INIS)

    Cereceda, C.; Puerta, J.

    2000-01-01

    In this paper a new accurate and fast method for solving the linear dispersion relation for multispecies plasma is introduced. The method uses a four poles fractional approximation for the Z dispersion function, transforming the dispersion relation into a polynomial form. Time and space growth rates are then calculated. Calculations for a single beam - plasma are carried out being in good agreement with several authors. This method is very effective to simplify the calculation of growth rates in multi-ion plasmas. For multispecies plasmas several new modes of propagation arise. For two ion beam - plasma system, two slow modes can propagate, both which are unstable. Two maxima in the growth rates corresponding to each of these modes can be excited. The instability of one of the slow modes is fed by the energy of the light ion beam and the other one is fed by heavy beam ions. Each one of these two maxima is increased when the concentration of the corresponding species increases. But even for a small concentration of the light beam, the growth rate of the mode fed by it is the largest one, because in the single ion beam-plasma system the lighter ion yields the largest growth rate. (orig.)

  17. Implicit Methods for the Magnetohydrodynamic Description of Magnetically Confined Plasmas

    International Nuclear Information System (INIS)

    Jardin, S.C.

    2010-01-01

    Implicit algorithms are essential for predicting the slow growth and saturation of global instabilities in today's magnetically confined fusion plasma experiments. Present day algorithms for obtaining implicit solutions to the magnetohydrodynamic (MHD) equations for highly magnetized plasma have their roots in algorithms used in the 1960s and 1970s. However, today's computers and modern linear and non-linear solver techniques make practical much more comprehensive implicit algorithms than were previously possible. Combining these advanced implicit algorithms with highly accurate spatial representations of the vector fields describing the plasma flow and magnetic fields and with improved methods of calculating anisotropic thermal conduction now makes possible simulations of fusion experiments using realistic values of plasma parameters and actual configuration geometry.

  18. Determination of processing-induced stresses and properties of layered and graded coatings: Experimental method and results for plasma-sprayed Ni-Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Kesler, O.; Finot, M.; Suresh, S. [Massachusetts Inst. of Tech., Cambridge, MA (United States). Dept. of Materials Science and Engineering; Sampath, S. [State Univ. of New York, Stony Brook, NY (United States). Dept. of Materials Science and Engineering

    1997-08-01

    An experimental method is proposed which enables the determination of processing-induced intrinsic stresses, elastic modulus, and coefficients of thermal expansion of surface coatings of homogeneous and graded compositions. In this method, a number of identical substrate specimens are coated simultaneously with surface layers of fixed or graded compositions, and specimens with different layer thicknesses are periodically removed from the deposition chamber. It is shown that the following results can be obtained from a knowledge of the strain or curvature and thermal history of the coated specimens, in conjunction with simple four-point bend tests and thermal loading/cycling at different temperatures: (i) the magnitude of the processing-induced intrinsic stresses through the thickness of the coating, (ii) the in-plane Young`s modulus, E, as a function of the coating thickness, (iii) the coefficient of thermal expansion, {alpha}, as a function of the coating thickness, (iv) the variation of E and {alpha} as a function of temperature at any thickness location within the coating, and (v) the separation of internal stresses arising from thermal expansion mismatch between different constituent phases or layers from those arising from the deposition process (so-called intrinsic or quench stresses). The thermomechanical analyses underlying this method are discussed in detail, and its significance and limitations are addressed. The proposed method is used to determine the evolution of processing-induced stresses during the successive build-up of plasma-sprayed Ni-Al{sub 2}O{sub 3} coatings of homogeneous and graded compositions.

  19. Microstructural characterization of gold nanoparticles synthesized by solution plasma processing

    International Nuclear Information System (INIS)

    Cho, Sung-Pyo; Bratescu, Maria Antoaneta; Takai, Osamu; Saito, Nagahiro

    2011-01-01

    Microstructural characteristics of gold nanoparticles (Au NPs) fabricated by solution plasma processing (SPP) in reverse micelle solutions have been studied by high-resolution transmission electron microscopy (HRTEM). The synthesized Au NPs, with an average size of 6.3 ± 1.4 nm, have different crystal characteristics; fcc single-crystalline particles, multiply twinned particles (MTPs), and incomplete MTPs (single-nanotwinned fcc configuration). The crystal structure characteristics of the Au NPs synthesized by the SPP method were analyzed and compared with similar-size Au NPs obtained by the conventional chemical reduction synthesis (CRS) method. The TEM analysis results show that the Au NPs synthesized by the CRS method have shapes and crystal structures similar to those nanoparticles obtained by the SPP method. However, from the detailed HRTEM analysis, the relative number of the Au MTPs and incomplete MTPs to the total number of the Au NPs synthesized by the SPP method was observed to be around 94%, whereas the relative number of these kinds of crystal structures fabricated by the CRS method was about 63%. It is most likely that the enhanced formation of the Au MTPs is due to the fact that the SPP method generates highly reaction-activated species under low environmental temperature conditions.

  20. Surface modification by electrolytic plasma processing for high Nb-TiAl alloys

    Science.gov (United States)

    Gui, Wanyuan; Hao, Guojian; Liang, Yongfeng; Li, Feng; Liu, Xiao; Lin, Junpin

    2016-12-01

    Metal surface modification by electrolytic plasma processing (EPP) is an innovative treatment widely commonly applied to material processing and pretreatment process of coating and galvanization. EPP involves complex processes and a great deal of parameters, such as preset voltage, current, solution temperature and processing time. Several characterization methods are presented in this paper for evaluating the micro-structure surfaces of Ti45Al8Nb alloys: SEM, EDS, XRD and 3D topography. The results showed that the oxide scale and other contaminants on the surface of Ti45Al8Nb alloys can be effectively removed via EPP. The typical micro-crater structure of the surface of Ti45Al8Nb alloys were observed by 3D topography after EPP to find that the mean diameter of the surface structure and roughness value can be effectively controlled by altering the processing parameters. The mechanical properties of the surface according to nanomechanical probe testing exhibited slight decrease in microhardness and elastic modulus after EPP, but a dramatic increase in surface roughness, which is beneficial for further processing or coating.

  1. Dynamic plasma screening effects on atomic collisions in dense plasmas

    International Nuclear Information System (INIS)

    Young-Dae Jung

    1999-01-01

    Dynamic plasma screening effects are investigated on electron-ion collisional excitation and Coulomb Bremsstrahlung processes in dense plasmas. The electron-ion interaction potential is considered by introduction of the plasma dielectric function. The straight-ling trajectory method is applied to the path of the projectile electron. The transition probability including the dynamic plasma screening effect is found to be always greater than that including the static plasma screening effects. It is found that the differential Bremsstrahlung radiation cross section including the dynamic plasma screening effect is also greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. However, when the projectile velocity is greater than the electron thermal velocity, the interaction potential is almost unshielded

  2. Proposal of flexible atomic and molecular process management for Monte Carlo impurity transport code based on object oriented method

    International Nuclear Information System (INIS)

    Asano, K.; Ohno, N.; Takamura, S.

    2001-01-01

    Monte Carlo simulation code on impurity transport has been developed by several groups to be utilized mainly for fusion related edge plasmas. State of impurity particle is determined by atomic and molecular processes such as ionization, charge exchange in plasma. A lot of atomic and molecular processes have been considered because the edge plasma has not only impurity atoms, but also impurity molecules mainly related to chemical erosion of carbon materials, and their cross sections have been given experimentally and theoretically. We need to reveal which process is essential in a given edge plasma condition. Monte Carlo simulation code, which takes such various atomic and molecular processes into account, is necessary to investigate the behavior of impurity particle in plasmas. Usually, the impurity transport simulation code has been intended for some specific atomic and molecular processes so that the introduction of a new process forces complicated programming work. In order to evaluate various proposed atomic and molecular processes, a flexible management of atomic and molecular reaction should be established. We have developed the impurity transport simulation code based on object-oriented method. By employing object-oriented programming, we can handle each particle as 'object', which enfolds data and procedure function itself. A user (notice, not programmer) can define property of each particle species and the related atomic and molecular processes and then each 'object' is defined by analyzing this information. According to the relation among plasma particle species, objects are connected with each other and change their state by themselves. Dynamic allocation of these objects to program memory is employed to adapt for arbitrary number of species and atomic/molecular reactions. Thus we can treat arbitrary species and process starting from, for instance, methane and acetylene. Such a software procedure would be useful also for industrial application plasmas

  3. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Energy Technology Data Exchange (ETDEWEB)

    Safari, A. [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Gheisari, Kh., E-mail: khgheisari@scu.ac.ir [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Farbod, M. [Physics Department, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of)

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni–Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100–200 nm and was dependent on the production conditions. - Highlights: • Nanocrystalline Ni ferrite powders are prepared by plasma arc discharge process. • The mean particle size of the as-synthesized ceramic powders is about 100 nm. • The highest saturation magnetization is observed as zinc powders removed completely from the initial mixture.

  4. Laser-plasma interactions with a Fourier-Bessel particle-in-cell method

    Energy Technology Data Exchange (ETDEWEB)

    Andriyash, Igor A., E-mail: igor.andriyash@gmail.com [Synchrotron SOLEIL, L' Orme des Merisiers, Saint Aubin, 91192 Gif-sur-Yvette (France); LOA, ENSTA ParisTech, CNRS, Ecole polytechnique, Université Paris-Saclay, 828 bd des Maréchaux, 91762 Palaiseau cedex (France); Lehe, Remi [Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Lifschitz, Agustin [LOA, ENSTA ParisTech, CNRS, Ecole polytechnique, Université Paris-Saclay, 828 bd des Maréchaux, 91762 Palaiseau cedex (France)

    2016-03-15

    A new spectral particle-in-cell (PIC) method for plasma modeling is presented and discussed. In the proposed scheme, the Fourier-Bessel transform is used to translate the Maxwell equations to the quasi-cylindrical spectral domain. In this domain, the equations are solved analytically in time, and the spatial derivatives are approximated with high accuracy. In contrast to the finite-difference time domain (FDTD) methods, that are used commonly in PIC, the developed method does not produce numerical dispersion and does not involve grid staggering for the electric and magnetic fields. These features are especially valuable in modeling the wakefield acceleration of particles in plasmas. The proposed algorithm is implemented in the code PLARES-PIC, and the test simulations of laser plasma interactions are compared to the ones done with the quasi-cylindrical FDTD PIC code CALDER-CIRC.

  5. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  6. Standard test method for determining elements in waste streams by inductively coupled plasma-atomic emission spectroscopy

    International Nuclear Information System (INIS)

    Anon.

    1989-01-01

    This test method covers the determination of trace, minor, and major elements in waste streams by inductively coupled plasma-atomic emission spectroscopy (ICP-AES) following an acid digestion of the specimen. Waste streams from manufacturing processes of nuclear and nonnuclear materials can be analyzed. This test method is applicable to the determination of total metals. Results from this test method can be used to characterize waste received by treatment facilities and to formulate appropriate treatment recipes. The results are also usable to process control within waste treatment facilities. This test method is applicable only to waste streams that contain radioactivity levels which do not require special personnel or environmental protection. A list of the elements determined in waste streams and the corresponding lower reporting limit is included

  7. Fibrinogen estimates are influenced by methods of measurement and hemodilution with colloid plasma expanders.

    Science.gov (United States)

    Fenger-Eriksen, Christian; Moore, Gary W; Rangarajan, Savita; Ingerslev, Jørgen; Sørensen, Benny

    2010-12-01

    Measurement of plasma fibrinogen is often required in critically ill patients or massively bleeding patients being resuscitated with colloid plasma expander. This study aimed at evaluating different assays of plasma fibrinogen after in vitro dilution with commonly used plasma expanders and challenged the hypothesis that levels of fibrinogen are estimated significantly higher in plasma diluted with colloid plasma expander compared with isotonic saline. Fibrinogen measurements were established in plasma samples each diluted in vitro to 30 or 50% with isotonic saline, hydroxyethyl starch (HES) 130/0.4, and human albumin. Fibrinogen levels were assessed using an antigen determination, three photo-optical Clauss methods, one mechanical Clauss method, a prothrombin-derived method, and viscoelastic measurement through thromboelastometry. Measurement of fibrinogen levels was significantly different when performed on alternate analytical platforms. By 30 and 50% dilution with HES 130/0.4 coagulation analyzers using the photo-optical Clauss methods significantly overestimated levels of fibrinogen. Dilution with human albumin did not affect fibrinogen levels except from one analyzer by 50% dilution level. Viscoelastic measurement of fibrin polymerization was reduced at both dilution levels and appeared to reflect the impairment of fibrin polymerization induced by HES 130/0.4 and to a lesser extent human albumin. This study demonstrated that different automated coagulation analyzers revealed significantly different levels of fibrinogen. The presence of colloid plasma expander gave rise to erroneous high levels of fibrinogen returned from some coagulation analyzers employing the method of Clauss. © 2010 American Association of Blood Banks.

  8. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  9. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  10. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  11. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  12. Plasma chromatography

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    This book examines the fundamental theory and various applications of ion mobility spectroscopy. Plasma chromatography developed from research on the diffusion and mobility of ions. Topics considered include instrument design and description (e.g., performance, spectral interpretation, sample handling, mass spectrometry), the role of ion mobility in plasma chromatography (e.g., kinetic theory of ion transport), atmospheric pressure ionization (e.g., rate equations), the characterization of isomers by plasma chromatography (e.g., molecular ion characteristics, polynuclear aromatics), plasma chromatography as a gas chromatographic detection method (e.g., qualitative analysis, continuous mobility monitoring, quantitative analysis), the analysis of toxic vapors by plasma chromatography (e.g., plasma chromatograph calibration, instrument control and data processing), the analysis of semiconductor devices and microelectronic packages by plasma chromatography/mass spectroscopy (e.g., analysis of organic surface contaminants, analysis of water in sealed electronic packages), and instrument design and automation (hardware, software)

  13. A method for measuring plasma position in the rectangular tokamak TJ-I

    International Nuclear Information System (INIS)

    Qin, J.; Ascasibar, E.; Pastor, I.; Navarro, A.P.; Ochando, M.A.; Pedrosa, M.A.; Rodriguez, L.; Sanchez, J.

    1993-01-01

    Determinations of plasma position in tokamaks are based on measuring the external magnetic field of the plasma current. Usually, the measurements are performed with magnetic coils along a closed contour around the plasma column, or with small magnetic probes in pairs positioned diametrically. However, such measurements are constrained in TJ-I because of a severe restriction on installing the magnetic probes. It is only possible to install some small magnetic probes inside the vacuum vessel near its top and bottom walls where the limiters stand. In this paper an alternative method of measuring plasma position in TJ-I is presented. The plasma position can be derived from the measurements of those magnetic probes located off the equatorial plane, with the aid of a filament model. The method is proved to be reliable, and would be applied to those small-size devices with similar restrictions. (author) 5 refs., 3 figs., 1 tab

  14. Properties of nano-structured Ni/YSZ anodes fabricated from plasma sprayable NiO/YSZ powder prepared by single step solution combustion method

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, B. Shri; Balaji, N.; Kumar, S. Senthil; Aruna, S.T., E-mail: staruna194@gmail.com

    2016-12-15

    Highlights: • Preparation of plasma grade NiO/YSZ powder in single step. • Fabrication of nano-structured Ni/YSZ coating. • Conductivity of 600 S/cm at 800 °C. - Abstract: NiO/YSZ anode coatings are fabricated by atmospheric plasma spraying at different plasma powers from plasma grade NiO/YSZ powders that are prepared in a single step by solution combustion method. The process adopted is devoid of multi-steps that are generally involved in conventional spray drying or fusing and crushing methods. Density of the coating increased and porosity decreased with increase in the plasma power of deposition. An ideal nano-structured Ni/YSZ anode encompassing nano YSZ particles, nano Ni particles and nano pores is achieved on reducing the coating deposited at lower plasma powers. The coating exhibit porosities in the range of 27%, sufficient for anode functional layers. Electronic conductivity of the coatings is in the range of 600 S/cm at 800 °C.

  15. Aperture method to determine the density and geometry of antiparticle plasmas

    International Nuclear Information System (INIS)

    Oxley, P.; Bowden, N.S.; Parrott, R.; Speck, A.; Storry, C.H.; Tan, J.N.; Wessels, M.; Gabrielse, G.; Grzonka, D.; Oelert, W.; Schepers, G.; Sefzick, T.; Walz, J.; Pittner, H.; Haensch, T.W.; Hessels, E.A.

    2004-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required if the rate of antihydrogen formation from them is to be understood. A new measurement technique determines these properties of trapped positron (e + ) and antiproton (p-bar) plasmas, the latter for the first time. The method does not require the common assumption of a spheroidal plasma geometry, which only pertains for a perfect electrostatic quadrupole trapping potential. Plasma densities, diameters, aspect ratios and angular momenta are deduced by comparing the number of particles that survive transmission through an aperture, to that obtained from self-consistent solutions of Poisson's equation. For p-bar the results differ substantially from the spheroid plasmas of an ideal Penning trap. The angular momentum of the plasma emerges as smooth function of the number of particles in the plasma, independent of the depth of the potential well that confines them

  16. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment.; Estudio Preliminar de Proceso de degradacion de residuos biologico-infecciosos en un equipo de plasma termico de 5 kW

    Energy Technology Data Exchange (ETDEWEB)

    Xochihua S M, M C

    1998-12-31

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO{sub 2} if amount of O{sub 2} is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author).

  17. A proposed method for fast determination of plasma parameters

    International Nuclear Information System (INIS)

    Braams, B.J.; Lackner, K.

    1984-09-01

    The method of function parametrization, developed and applied by H. Wind for fast data evaluation in high energy physics, is presented in the context of controlled fusion research. This method relies on statistical analysis of a data base of simulated experiments in order to obtain a functional representation for the intrinsic physical parameters of a system in terms of the values of the measurements. Some variations on Wind's original procedure are suggested. A specific application for tokamak experiments would be the determination of certain global parameters of the plasma, characterizing the current profile, shape of the cross-section, plasma pressure, and the internal inductance. The relevant measurements for this application include values of the poloidal field and flux external to the plasma, and a diamagnetic measurement. These may be combined with other diagnostics, such as electron-cyclotron emission and laser interferometry, in order to obtain also density and temperature profiles. There appears to be a capability for on-line determination of basic physical parameters, in a millisecond timescale on a minicomputer instead of in seconds on a large mainframe. (orig.)

  18. Implicit Methods for the Magnetohydrodynamic Description of Magnetically Confined Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jardin, S C

    2010-09-28

    Implicit algorithms are essential for predicting the slow growth and saturation of global instabilities in today’s magnetically confined fusion plasma experiments. Present day algorithms for obtaining implicit solutions to the magnetohydrodynamic (MHD) equations for highly magnetized plasma have their roots in algorithms used in the 1960s and 1970s. However, today’s computers and modern linear and non-linear solver techniques make practical much more comprehensive implicit algorithms than were previously possible. Combining these advanced implicit algorithms with highly accurate spatial representations of the vector fields describing the plasma flow and magnetic fields and with improved methods of calculating anisotropic thermal conduction now makes possible simulations of fusion experiments using realistic values of plasma parameters and actual configuration geometry.

  19. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  20. In-liquid Plasma. A stable light source for advanced oxidation processes in environmental remediation

    Science.gov (United States)

    Tsuchida, Akihiro; Shimamura, Takeshi; Sawada, Seiya; Sato, Susumu; Serpone, Nick; Horikoshi, Satoshi

    2018-06-01

    A microwave-inspired device that generates stable in-liquid plasma (LP) in aqueous media and emits narrow light emission lines at 280-320 nm, 660 nm and 780 nm is examined as a light source capable of driving photochemical reactions and advanced oxidation processes in wastewater treatments. The microwave-driven lighting efficiency was improved by decompressing the inside of the reaction vessel, which resulted in lowering the incident power of the microwaves and suppressed the deterioration of the microwave irradiation antenna. This protocol made it possible to generate continuous stable plasma in water. Evaluation of the LP device was carried out by revisiting the decomposition of 1,4-dioxane in aqueous media against the use of such other conventional water treatment processes as (i) UV irradiation alone, (ii) TiO2-assisted photocatalysis with UV irradiation (UV/TiO2), (iii) oxidation with sodium hypochlorite (NaClO), and (iv) UV-assisted decomposition in the presence of NaClO (UV/NaClO). The in-liquid plasma technique proved superior to these four other methods. The influence of pH on the LP protocol was ascertained through experiments in acidified (HCl and H2SO4) and alkaline (NaOH and KOH) aqueous media. Except for H2SO4, decomposition of 1,4-dioxane was enhanced in both acidic and alkaline media.

  1. Low pressure plasma spray deposition of W-Ni-Fe alloy

    International Nuclear Information System (INIS)

    Mutasim, Z.Z.; Smith, R.W.

    1991-01-01

    The production of net shape refractory metal structural preforms are increasing in importance in chemical processing, defense and aerospace applications. Conventional methods become limited for refractory metal processing due to the high melting temperatures and fabrication difficulties. Plasma spray forming, a high temperature process, has been shown to be capable of refractory metal powder consolidation in net shape products. The research reported here has evaluated this method for the deposition of heavy tungsten alloys. Plasma Melted Rapidly Solidified (PMRS) W 8%Ni-2%Fe refractory metal powders were spray formed using vacuum plasma spray (VPS) process and produced 99% dense, fine grain and homogeneous microstructures. In this paper plasma operating parameters (plasma arc gas type and flowrate plasma gun nozzle size and spray distance) were studied and their effects on deposit's density and microstructure are reported

  2. Standard test method for determining elements in waste Streams by inductively coupled plasma-atomic emission spectroscopy

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    2010-01-01

    1.1 This test method covers the determination of trace, minor, and major elements in waste streams by inductively coupled plasma-atomic emission spectroscopy (ICP-AES) following an acid digestion of the sample. Waste streams from manufacturing processes of nuclear and non-nuclear materials can be analyzed. This test method is applicable to the determination of total metals. Results from this test method can be used to characterize waste received by treatment facilities and to formulate appropriate treatment recipes. The results are also usable in process control within waste treatment facilities. 1.2 This test method is applicable only to waste streams that contain radioactivity levels that do not require special personnel or environmental protection. 1.3 A list of the elements determined in waste streams and the corresponding lower reporting limit is found in Table 1. 1.4 This test method has been used successfully for treatment of a large variety of waste solutions and industrial process liquids. The com...

  3. Standardization of the method for measurement of plasma estrone by radioimmunoassay

    International Nuclear Information System (INIS)

    Vilanova, M.S.V.; Moreira, A.C.; Sala, M.M. de; Sa, M.F.S. de

    1994-01-01

    The present paper has as objective standardize a radioimmunoassay method for measurement of plasma estrone. Ethyl ether was used for plasma extraction. The sensitivity (Minimal detectable dose) was 3,7 pg/tube; the reproducibility (inter assay error) was 8,6%; the precision (intra assay error) was 4,1%. As a biological control the plasma estrone was ml) and in 24 patients with polycystic ovarian syndrome (median = 77,9 pg/ml). (author). 6 refs, 2 figs, 2 tabs

  4. Foundations of High-Pressure Thermal Plasmas

    Science.gov (United States)

    Murphy, Anthony B.; Uhrlandt, Dirk

    2018-06-01

    An introduction to the main methods used to produce, model and measure thermal plasmas is provided, with emphasis on the differences between thermal plasmas and other types of processing plasmas. The critical properties of thermal plasmas are explained in physical terms and their importance in different applications is considered. The characteristics, and advantages and disadvantages, of the different main types of thermal plasmas (transferred and non-transferred arcs, radio-frequency inductively-coupled plasmas and microwave plasmas) are discussed. The methods by which flow is stabilized in arc plasmas are considered. The important concept of local thermodynamic equilibrium (LTE) is explained, leading into a discussion of the importance of thermophysical properties, and their calculation in LTE and two-temperature plasmas. The standard equations for modelling thermal plasmas are presented and contrasted with those used for non-equilibrium plasmas. Treatments of mixed-gas and non-LTE plasmas are considered, as well as the sheath regions adjacent to electrodes. Finally, the main methods used for electrical, optical, spectroscopic and laser diagnostics of thermal plasmas are briefly introduced, with an emphasis on the required assumptions for their reliable implementation, and the specific requirements of thermal plasmas.

  5. Schlieren method diagnostics of plasma compression in front of coaxial gun

    International Nuclear Information System (INIS)

    Kravarik, J.; Kubes, P.; Hruska, J.; Bacilek, J.

    1983-01-01

    The schlieren method employing a movable knife edge placed in the focal plane of a laser beam was used for the diagnostics of plasma produced by a coaxial plasma gun. When compared with the interferometric method reported earlier, spatial resolution was improved by more than one order of magnitude. In the determination of electron density near the gun orifice, spherical symmetry of the current sheath inhomogeneities and cylindrical symmetry of the compression maximum were assumed. Radial variation of electron density could be reconstructed from the photometric measurements of the transversal variation of schlieren light intensity. Due to small plasma dimensions, electron density was determined directly from the knife edge shift necessary for shadowing the corresponding part of the picture. (J.U.)

  6. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  7. The measurement of acetanilide in plasma by spectrophotometric and selected ion monitoring methods.

    Science.gov (United States)

    Baty, J D; Playfer, J; Evans, D A; Lamb, J

    1977-08-01

    Plasma samples from volunteers who had received an oral dose of acetanilide have been analysed by gas chromatography mass spectrometry and ultraviolet absorption techniques. The gas chromatography mass spectrometry method involved extraction of the plasma and analysis of the acetanilide using selected ion monitoring with a deuterated internal standard. In the ultraviolet method the plasma was hydrolysed with acid to convert the acetanilide to aniline, and this compound was diazotized and coupled with N-1-naphthylethylene-diamine. The absorbance of the resulting complex was read at 550 nm. Acetanilide levels in plasma determined by the selected ion monitoring method were significantly lower than those measured by spectrophotometry. Pharmacokinetic data calculated from the results obtained using these two assays are very different and illustrate the need for an accurate and specific method of analysis. The major metabolites of acetanilide are shown not to interfere with these assays and the results suggest the possible presence of a new metabolite of acetanilide.

  8. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  9. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  10. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  11. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  12. A simple method for plasma total vitamin C analysis suitable for routine clinical laboratory use

    OpenAIRE

    Robitaille, Line; Hoffer, L. John

    2016-01-01

    Background In-hospital hypovitaminosis C is highly prevalent but almost completely unrecognized. Medical awareness of this potentially important disorder is hindered by the inability of most hospital laboratories to determine plasma vitamin C concentrations. The availability of a simple, reliable method for analyzing plasma vitamin C could increase opportunities for routine plasma vitamin C analysis in clinical medicine. Methods Plasma vitamin C can be analyzed by high performance liquid chro...

  13. Shielding methods of quasilaminar jets outflowing from plasma torch with interelectrode insert

    Science.gov (United States)

    Solonenko, O. P.; Smirnov, A. V.

    2017-05-01

    Investigations of two methods of protection against mixing of surrounding air atmosphere with the free lengthy jets outflowing at low Reynolds numbers from plasma torches with interelectrode insertion (IEI) were carried out. The offered methods allow to significantly reduce the mixing of oxygen with plasma jets that gives a possibility of synthesis, treatment and spraying of powder materials, as well as melting of coatings critical to oxidation.

  14. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  15. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  16. A Hartree-Fock-Slater-Boltzmann-Saha method for detailed atomic structure and equation of state of plasmas

    International Nuclear Information System (INIS)

    Jiang Minhao; Meng Xujun

    2005-01-01

    The effect of the free electron background in plasmas is introduced in Hartree-Fock-Slater self-consistent field atomic model to correct the single electron energies for each electron configuration, and to provide accurate atomic data for Boltzmann-Saha equation. In the iteration process chemical potential is adjusted to change the free electron background to satisfy simultaneously the conservation of the free electrons in Saha equation as well as in Hartree-Fock-Slater self-consistent field atomic model. As examples the equations of state of the carbon and aluminum plasmas are calculated to show the applicability of this method. (authors)

  17. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  18. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  19. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  20. Simulation study of MHD relaxation and reconnection processes in RFP plasma

    International Nuclear Information System (INIS)

    Kusano, Kanya; Kunimoto, Kaito; Suzuki, Yoshio; Tamano, Teruo; Sato, Tetsuya

    1991-01-01

    The authors have studied several nonlinear processes in RFP plasma through the use of 3D MHD simulations. In particular, they have shed light on: (1) dynamo and self-sustainment in reversed-field pinch (RFP), (2) phase locking process in MHD relaxation, and (3) the heating and acceleration in magnetic reconnection process. First, the contributions of the kink (m = 1) mode (linearly unstable) and of the m = 0 mode (driven by nonlinear coupling) to the dynamo are qualitatively evaluated using a high accuracy simulation. It is found that, if the free energy to drive kink instabilities is as small as that in the actual experimental plasma, the m = 0 modes, driven nonlinearly, play a more important role for the flux generation than the kink modes. Secondly, numerical simulations of the self-sustainment process in a RFP are performed. It is confirmed that the self-sustainment process is a coherent oscillating process composed of the MHD relaxation and the resistive diffusion processes. Toroidal phase locking process of kink modes is numerically observed in simulations of self-reversal and self-sustainment processes. It has characteristics similar to the slinky mode observed in the OHTE experiment. A detailed investigation reveals that nonlinear coupling between the most unstable two kink modes governs the entire dynamics in all kink modes and leads to the phase locking process. They find that reconnection can accelerate plasma over a local Alfven speed. This is a result of the fact that the magnetic field in the downstream area plays a similar role to de Laval nozzle. They also investigate the heating mechanisms in reconnection process. It is revealed that the viscous heating rate is as large as the joule heating rate in the reconnection process. This result implies that the viscous heating in the reconnection process is an important candidate for the mechanism to explain the RFP experiments where the ion temperatures is higher than the electron temperature

  1. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  2. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  3. Analytical method for thermal stress analysis of plasma facing materials

    Science.gov (United States)

    You, J. H.; Bolt, H.

    2001-10-01

    The thermo-mechanical response of plasma facing materials (PFMs) to heat loads from the fusion plasma is one of the crucial issues in fusion technology. In this work, a fully analytical description of the thermal stress distribution in armour tiles of plasma facing components is presented which is expected to occur under typical high heat flux (HHF) loads. The method of stress superposition is applied considering the temperature gradient and thermal expansion mismatch. Several combinations of PFMs and heat sink metals are analysed and compared. In the framework of the present theoretical model, plastic flow and the effect of residual stress can be quantitatively assessed. Possible failure features are discussed.

  4. Analytical method for thermal stress analysis of plasma facing materials

    International Nuclear Information System (INIS)

    You, J.H.; Bolt, H.

    2001-01-01

    The thermo-mechanical response of plasma facing materials (PFMs) to heat loads from the fusion plasma is one of the crucial issues in fusion technology. In this work, a fully analytical description of the thermal stress distribution in armour tiles of plasma facing components is presented which is expected to occur under typical high heat flux (HHF) loads. The method of stress superposition is applied considering the temperature gradient and thermal expansion mismatch. Several combinations of PFMs and heat sink metals are analysed and compared. In the framework of the present theoretical model, plastic flow and the effect of residual stress can be quantitatively assessed. Possible failure features are discussed

  5. Simulations of momentum transfer process between solar wind plasma and bias voltage tethers of electric sail thruster

    Science.gov (United States)

    Xia, Guangqing; Han, Yajie; Chen, Liuwei; Wei, Yanming; Yu, Yang; Chen, Maolin

    2018-06-01

    The interaction between the solar wind plasma and the bias voltage of long tethers is the basic mechanism of the electric sail thruster. The momentum transfer process between the solar wind plasma and electric tethers was investigated using a 2D full particle PIC method. The coupled electric field distribution and deflected ion trajectory under different bias voltages were compared, and the influence of bias voltage on momentum transfer process was analyzed. The results show that the high potential of the bias voltage of long tethers will slow down, stagnate, reflect and deflect a large number of ions, so that ion cavities are formed in the vicinity of the tether, and the ions will transmit the axial momentum to the sail tethers to produce the thrust. Compared to the singe tether, double tethers show a better thrust performance.

  6. Development and validation of a bioanalytical LC-MS method for the quantification of GHRP-6 in human plasma.

    Science.gov (United States)

    Gil, Jeovanis; Cabrales, Ania; Reyes, Osvaldo; Morera, Vivian; Betancourt, Lázaro; Sánchez, Aniel; García, Gerardo; Moya, Galina; Padrón, Gabriel; Besada, Vladimir; González, Luis Javier

    2012-02-23

    Growth hormone-releasing peptide 6 (GHRP-6, His-(DTrp)-Ala-Trp-(DPhe)-Lys-NH₂, MW=872.44 Da) is a potent growth hormone secretagogue that exhibits a cytoprotective effect, maintaining tissue viability during acute ischemia/reperfusion episodes in different organs like small bowel, liver and kidneys. In the present work a quantitative method to analyze GHRP-6 in human plasma was developed and fully validated following FDA guidelines. The method uses an internal standard (IS) of GHRP-6 with ¹³C-labeled Alanine for quantification. Sample processing includes a precipitation step with cold acetone to remove the most abundant plasma proteins, recovering the GHRP-6 peptide with a high yield. Quantification was achieved by LC-MS in positive full scan mode in a Q-Tof mass spectrometer. The sensitivity of the method was evaluated, establishing the lower limit of quantification at 5 ng/mL and a range for the calibration curve from 5 ng/mL to 50 ng/mL. A dilution integrity test was performed to analyze samples at higher concentration of GHRP-6. The validation process involved five calibration curves and the analysis of quality control samples to determine accuracy and precision. The calibration curves showed R² higher than 0.988. The stability of the analyte and its internal standard (IS) was demonstrated in all conditions the samples would experience in a real time analyses. This method was applied to the quantification of GHRP-6 in plasma from nine healthy volunteers participating in a phase I clinical trial. Copyright © 2011 Elsevier B.V. All rights reserved.

  7. The Stark-crossing method for the simultaneous determination of the electron temperature and density in plasmas

    International Nuclear Information System (INIS)

    Torres, J; Carabano, O; Fernandez, M; Rubio, S; Alvarez, R; Rodero, A; Lao, C; Quintero, M C; Gamero, A; Sola, A

    2006-01-01

    The use of the Stark broadening of Balmer lines spontaneously emitted by atmospheric-pressure plasmas as a method to determine both the electron density and temperature in high-pressure plasmas is discussed in this paper. This method is applied to argon and helium plasmas produced in microwave discharges. Especially for Ar plasmas, valuable and reliable results are obtained

  8. Investigation of gas discharge by schlieren method and interferometry with automated processing of schlieren photographs and interferograms

    International Nuclear Information System (INIS)

    Gerasimova, V.I.; Dushin, L.A.; Privezentsev, V.S.; Taran, V.S.

    1974-01-01

    The principles are clarified of two optical plasma diagnostics techniques, viz., the interferometric method permitting the determination of electron density and the schlieren method determining the gradient of electron density. Both techniques in combination were used in investigating the plasma in a hydrogen hollow-cathode spark discharge. In the schlieren technique, a pulsed xenon laser, in the interference technique a helium-neon laser were used as the light sources. Schlieren photographs were processed automatically using an electronic computer. A detailed description is presented of the equipment for the automatic photograph evaluation. (A.K.)

  9. New method for rekindling the nonlinear solitary waves in Maxwellian complex space plasma

    Science.gov (United States)

    Das, G. C.; Sarma, Ridip

    2018-04-01

    Our interest is to study the nonlinear wave phenomena in complex plasma constituents with Maxwellian electrons and ions. The main reason for this consideration is to exhibit the effects of dust charge fluctuations on acoustic modes evaluated by the use of a new method. A special method (G'/G) has been developed to yield the coherent features of nonlinear waves augmented through the derivation of a Korteweg-de Vries equation and found successfully the different nature of solitons recognized in space plasmas. Evolutions have shown with the input of appropriate typical plasma parameters to support our theoretical observations in space plasmas. All conclusions are in good accordance with the actual occurrences and could be of interest to further the investigations in experiments and satellite observations in space. In this paper, we present not only the model that exhibited nonlinear solitary wave propagation but also a new mathematical method to the execution.

  10. On methods of measuring the relative plasma composition by active charge exchange

    International Nuclear Information System (INIS)

    Herrmann, W.

    1991-04-01

    Two methods of measuring the hydrogenic composition of plasma with an active diagnostic beam are discussed: Evaluation at equal energies of the neutrals leaving the plasma and at equal velocities. Evaluation at equal velocity has the advantage that the plasma composition, particle penetration and stripping efficiency of the analyzer do not enter the evaluation. The only plasma parameter that has to be known is the plasma temperature. If measurement at two different velocities is possible, the temperature can also be evaluated from the corresponding four fluxes without any further knowledge of plasma or beam parameters. It is discussed under what circumstances evaluation of the plasma composition is possible for non-Maxwellian distribution functions. As the halo effect may be the main source of error, it is shown that the application of a helium beam may considerably reduce this problem. (orig.)

  11. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  12. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  13. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  14. Superconducting properties of in situ powder-in-tube-processed MgB2 tapes fabricated with sub-micrometre Mg powder prepared by an arc-plasma method

    International Nuclear Information System (INIS)

    Yamada, H; Uchiyama, N; Matsumoto, A; Kitaguchi, H; Kumakura, H

    2007-01-01

    We fabricated in situ powder-in-tube-processed MgB 2 /Fe tapes using sub-micrometre Mg powder prepared by applying an arc-plasma method. We found that the use of this sub-micrometre Mg powder was very effective in increasing the J c values. The transport J c value of 10 mol% SiC-added tapes fabricated with this sub-micrometre Mg powder reached 275 A mm -2 at 4.2 K and 10 T. This value was about six times that of 5 mol% SiC-added tapes fabricated with commercial Mg powder. Microstructure analyses suggest that this J c enhancement is primarily due to the smaller MgB 2 grain size

  15. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  16. Bepaling van glycyrrhetinezuur in humaan plasma met hoge-druk vloeistofchromatografie ; methode-ontwikkeling en monsteranalyse

    NARCIS (Netherlands)

    Somers HHJ; Stolker AAM; Gelderen CEM van; Savelkoul TJF; Ginkel LA van; ARO; VIC

    1995-01-01

    Dit rapport beschrijft een snelle vloeistofchromatografische methode voor de bepaling van glycyrrhetinezuur in monsters humaan plasma. Na denaturatie van de plasma-eiwitten door toevoegen van ureum, wordt het glycyrrhetinezuur uit plasma geextraheerd met ionpaar vaste-fase extractie. Het

  17. Study of selective heating at ion cyclotron resonance for the plasma separation process

    Science.gov (United States)

    Compant La Fontaine, A.; Pashkovsky, V. G.

    1995-12-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucléaires de Saclay and Cité Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number kz is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the kz spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge-Kutta method. The influence of ion-ion collisions, inhomogeneity of the static magnetic field B0, and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44Ca heating measurements, made with an energy analyzer.

  18. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  19. Fundamental studies of the plasma extraction and ion beam formation processes in inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Niu, Hongsen.

    1995-01-01

    The fundamental and practical aspects are described for extracting ions from atmospheric pressure plasma sources into an analytical mass spectrometer. Methodologies and basic concepts of inductively coupled plasma mass spectrometry (ICP-MS) are emphasized in the discussion, including ion source, sampling interface, supersonic expansion, slumming process, ion optics and beam focusing, and vacuum considerations. Some new developments and innovative designs are introduced. The plasma extraction process in ICP-MS was investigated by Langmuir measurements in the region between the skimmer and first ion lens. Electron temperature (T e ) is in the range 2000--11000 K and changes with probe position inside an aerosol gas flow. Electron density (n e ) is in the range 10 8 --10 10 -cm at the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 near the skimmer tip and drops abruptly to 10 6 --10 8 cm -3 downstream further behind the skimmer. Electron density in the beam leaving the skimmer also depends on water loading and on the presence and mass of matrix elements. Axially resolved distributions of electron number-density and electron temperature were obtained to characterize the ion beam at a variety of plasma operating conditions. The electron density dropped by a factor of 101 along the centerline between the sampler and skimmer cones in the first stage and continued to drop by factors of 10 4 --10 5 downstream of skimmer to the entrance of ion lens. The electron density in the beam expansion behind sampler cone exhibited a 1/z 2 intensity fall-off (z is the axial position). An second beam expansion originated from the skimmer entrance, and the beam flow underwent with another 1/z 2 fall-off behind the skimmer. Skimmer interactions play an important role in plasma extraction in the ICP-MS instrument

  20. FY 1998 annual report on the development of plasma-aided surface treatment processes by in-situ controlling (second year); 1997 nendo in-situ seigyo ni yoru plasma riyo hyohi shori process no kaihatsu seika hokokusho (dai 2 nendo)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    This R and D project is aimed at stable production of high-quality, important machine members, which are difficult to sufficiently achieve the required properties by a single material, by carburization while minimizing use of expensive alloy metals, where high-temperature carburizing time is reduced by a plasma-aided system to save energy, and, at the same time, the conventional oil-hardening system is replaced by a He gas cooling/recycling system to solve the environmental problems involved in the former. The exhaust gases released from the plasma-aided system are adequately treated to prevent the problems caused thereby. The conditions of the plasma itself and treated surfaces are sensed in-situ, and the data are fed back to the process controlling system, to keep the treated object stable and high in quality, while minimizing energy consumption. The FY 1998 efforts were directed to studies on methods for sensing the plasma and treated surfaces, and specifications of a mini-plant for the demonstration tests, and to collection of characteristic data for development of some new products to be produced. (NEDO)

  1. Effects of insulin-free plasma on the charcoal-separation method for radioimmunoassay of insulin

    Energy Technology Data Exchange (ETDEWEB)

    Frayn, K N [Medical Research Council, Carshalton (UK). Toxicology Unit

    1976-03-01

    Radioimmunoassay of insulin in rat plasma using a popular method involving charcoal-separation of free and antibody-bound insulin was found to be unsatisfactory despite inclusion in standard tubes of insulin-free plasma prepared in either of two ways. Insulin-free plasma and untreated plasma had different effects on adsorption of free insulin to the charcoal. It was concluded that separation with charcoal is very sensitive to any prior treatment of the plasma. Particular care must be taken to ensure that hormone-free plasma is identical in all other respects to untreated plasma.

  2. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  3. The method of impedance transformation for electromagnetic waves propagating in one-dimension plasma photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jingfeng; Yuan, Chengxun, E-mail: yuancx@hit.edu.cn, E-mail: zhouzx@hit.edu.cn; Gao, Ruilin; Jia, Jieshu; Wang, Ying; Zhou, Zhongxiang, E-mail: yuancx@hit.edu.cn, E-mail: zhouzx@hit.edu.cn; Wang, Xiaoou [Department of Physics, Harbin Institute of Technology, Harbin 150001 (China); Wu, Jian [National Key Laboratory of Electromagnetic Environment (LEME), China Research Institute of Radio Wave Propagation, Beijing 102206 (China); Li, Hui [Department of Physics, Harbin Institute of Technology, Harbin 150001 (China); National Key Laboratory of Electromagnetic Environment (LEME), China Research Institute of Radio Wave Propagation, Beijing 102206 (China)

    2016-08-15

    This study focuses on the transmission of normal-incidence electromagnetic waves in one-dimensional plasma photonic crystals. Using the Maxwell's equations in a medium, a method that is based on the concept of impendence is employed to perform the simulation. The accuracy of the method was evaluated by simulating a one-layer plasma and conventional photonic crystal. In frequency-domain, the transmission and reflection coefficients in the unmagnetized plasma photonic crystal were calculated, and the influence factors on plasma photonic crystals including dielectric constants of dielectric, spatial period, filling factor, plasma frequency, and collision frequency were studied.

  4. Argon plasma jet continuum emission investigation by using different spectroscopic methods

    International Nuclear Information System (INIS)

    Dgheim, J

    2007-01-01

    Radiation and temperature fields of the continuum field are determined by using different spectroscopic methods based on the spectral emission of an argon plasma jet. An interferential filter of bandwidth 2.714 nm centred at a wavelength of 633 nm is used to observe only the continuum emission and to eliminate the self-absorption phenomenon. An optical multichannel analyser (OMA) of an MOS detector is used to measure argon plasma jet volumetric emissivity under atmospheric pressure and high temperatures. An emission spectroscopic method is used to measure the Stark broadening of the hydrogen line H β and to determine the electron density. The local thermodynamic equilibrium is established and its limit is stated. The local electron temperature is determined by two methods (the continuum emission relation and the LTE relations), and the total Biberman factor is measured. The results given by the OMA are compared with those given by the imagery method. At a given wavelength, the Biberman factor, which depends on the electron temperature and the electron density, may serve as an indicator to show where the LTE prevails along the argon plasma jet core length

  5. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  6. Towards Plasma-Based Water Purification: Challenges and Prospects for the Future

    Science.gov (United States)

    Foster, John

    2016-10-01

    Freshwater scarcity derived from climate change, pollution, and over-development has led to serious consideration for water reuse. Advanced water treatment technologies will be required to process wastewater slated for reuse. One new and emerging technology that could potentially address the removal micropollutants in both drinking water as well as wastewater slated for reuse is plasma-based water purification. Plasma in contact with liquid water generates reactive species that attack and ultimately mineralize organic contaminants in solution. This interaction takes place in a boundary layer centered at the plasma-liquid interface. An understanding of the physical processes taking place at this interface, though poorly understood, is key to the optimization of plasma water purifiers. High electric field conditions, large density gradients, plasma-driven chemistries, and fluid dynamic effects prevail in this multiphase region. The region is also the source function for longer-lived reactive species that ultimately treat the water. Here, we review the need for advanced water treatment methods and in the process, make the case for plasma-based methods. Additionally, we survey the basic methods of interacting plasma with liquid water (including a discussion of breakdown processes in water), the current state of understanding of the physical processes taking place at the plasma-liquid interface, and the role that these processes play in water purification. The development of diagnostics usable in this multiphase environment along modeling efforts aimed at elucidating physical processes taking place at the interface are also detailed. Key experiments that demonstrate the capability of plasma-based water treatment are also reviewed. The technical challenges to the implementation of plasma-based water reactors are also discussed. NSF CBET 1336375 and DOE DE-SC0001939.

  7. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  8. Observations and Simulations of Formation of Broad Plasma Depletions Through Merging Process

    Science.gov (United States)

    Huang, Chao-Song; Retterer, J. M.; Beaujardiere, O. De La; Roddy, P. A.; Hunton, D.E.; Ballenthin, J. O.; Pfaff, Robert F.

    2012-01-01

    Broad plasma depletions in the equatorial ionosphere near dawn are region in which the plasma density is reduced by 1-3 orders of magnitude over thousands of kilometers in longitude. This phenomenon is observed repeatedly by the Communication/Navigation Outage Forecasting System (C/NOFS) satellite during deep solar minimum. The plasma flow inside the depletion region can be strongly upward. The possible causal mechanism for the formation of broad plasma depletions is that the broad depletions result from merging of multiple equatorial plasma bubbles. The purpose of this study is to demonstrate the feasibility of the merging mechanism with new observations and simulations. We present C/NOFS observations for two cases. A series of plasma bubbles is first detected by C/NOFS over a longitudinal range of 3300-3800 km around midnight. Each of the individual bubbles has a typical width of approx 100 km in longitude, and the upward ion drift velocity inside the bubbles is 200-400 m/s. The plasma bubbles rotate with the Earth to the dawn sector and become broad plasma depletions. The observations clearly show the evolution from multiple plasma bubbles to broad depletions. Large upward plasma flow occurs inside the depletion region over 3800 km in longitude and exists for approx 5 h. We also present the numerical simulations of bubble merging with the physics-based low-latitude ionospheric model. It is found that two separate plasma bubbles join together and form a single, wider bubble. The simulations show that the merging process of plasma bubbles can indeed occur in incompressible ionospheric plasma. The simulation results support the merging mechanism for the formation of broad plasma depletions.

  9. A validated inductively coupled plasma mass spectrometry (ICP-MS) method for the quantification of total platinum content in plasma, plasma ultrafiltrate, urine and peritoneal fluid.

    Science.gov (United States)

    Lemoine, Lieselotte; Thijssen, Elsy; Noben, Jean-Paul; Adriaensens, Peter; Carleer, Robert; Speeten, Kurt Van der

    2018-04-15

    Oxaliplatin is a platinum (Pt) 1 containing antineoplastic agent that is applied in current clinical practice for the treatment of colon and appendiceal neoplasms. A fully validated, highly sensitive, high throughput inductively coupled plasma mass spectrometry (ICP-MS) method is provided to quantify the total Pt content in plasma, plasma ultrafiltrate, urine and peritoneal fluid. In this ICP-MS approach, the only step of sample preparation is a 1000-fold dilution in 0.5% nitric acid, allowing the analysis of 17 samples per hour. Detection of Pt was achieved over a linear range of 0.01-100 ng/mL. The limit of quantification was 18.0 ng/mL Pt in plasma, 8.0 ng/mL in ultrafiltrate and 6.1 ng/mL in urine and peritoneal fluid. The ICP-MS method was further validated for inter-and intraday precision and accuracy (≤15%), recovery, robustness and stability. Short-term storage of the biofluids, for 14 days, can be performed at -4 °C, -24 °C and -80 °C. As to long-term stability, up to 5 months, storage at -80 °C is encouraged. Furthermore, a timeline assessing the total and unbound Pt fraction in plasma and ultrafiltrate over a period of 45 h is provided. Following an incubation period of 5 h at 37 °C, 19-21% of Pt was recovered in the ultrafiltrate, emphasizing the extensive and rapid binding of oxaliplatin-derived Pt to plasma proteins. The described method can easily be implemented in a routine setting for pharmacokinetic studies in patients treated with oxaliplatin-based hyperthermic intraperitoneal perioperative chemotherapy. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  11. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  12. One-step preparation of nanostructured martite catalyst and graphite electrode by glow discharge plasma for heterogeneous electro-Fenton like process.

    Science.gov (United States)

    Khataee, Alireza; Sajjadi, Saeed; Hasanzadeh, Aliyeh; Vahid, Behrouz; Joo, Sang Woo

    2017-09-01

    Natural Martite ore particles and graphite were modified by alternating current (AC) glow discharge plasma to form nanostructured catalyst and cathode electrode for using in the heterogeneous-electro Fenton-like (Het-EF-like) process. The performance of the plasma-treated martite (PTM) and graphite electrode (PTGE) was studied for the treatment of paraquat herbicide in a batch system. 85.78% degradation efficiency for 20 mg L -1 paraquat was achieved in the modified process under desired operational conditions (i.e. current intensity of 300 mA, catalyst amount of 1 g L -1 , pH = 6, and background electrolyte (Na 2 SO 4 ) concentration of 0.05 mol L -1 ) which was higher than the 41.03% for the unmodified one after 150 min of treatment. The ecofriendly modification of the martite particles and the graphite electrode, no chemical needed, low leached iron and milder operational pH were the main privileges of plasma utilization. Moreover, the degradation efficiency through the process was not declined after five repeated cycles at the optimized conditions, which proved the stability of the nanostructured PTM and PTGE in the long-term usage. The archived results exhibit this method is the first example of high efficient, cost-effective, and environment-friendly method for generation of nanostructured samples. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. Physical principles of the surface plasma method for producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    1977-01-01

    The processes which are important for the production of intense beams of negative ions from surface plasma sources (SPS) are examined. The formation of negative ions when atomic particles interact with a surface is analyzed on the basis of both experimental results obtained when a surface was bombarded with beams and recently developed theoretical considerations of reflection, scattering, and electron exchange. The characteristic features of these processes in SPS, when a surface is bombarded with intense fluxes of plasma particles, are revealed in special experiments. The characteristics of generation and acceleration of the bombarding particles in a gas discharge SPS plasma, the characteristics of transportation of negative ions through the plasma toward the beam forming system, the role of cesium in SPS, and the characteristics of formation of the intense negative ion beams as well as the removal of parasite electrons from the beam

  14. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  15. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  16. The Gaussian radial basis function method for plasma kinetic theory

    Energy Technology Data Exchange (ETDEWEB)

    Hirvijoki, E., E-mail: eero.hirvijoki@chalmers.se [Department of Applied Physics, Chalmers University of Technology, SE-41296 Gothenburg (Sweden); Candy, J.; Belli, E. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Embréus, O. [Department of Applied Physics, Chalmers University of Technology, SE-41296 Gothenburg (Sweden)

    2015-10-30

    Description of a magnetized plasma involves the Vlasov equation supplemented with the non-linear Fokker–Planck collision operator. For non-Maxwellian distributions, the collision operator, however, is difficult to compute. In this Letter, we introduce Gaussian Radial Basis Functions (RBFs) to discretize the velocity space of the entire kinetic system, and give the corresponding analytical expressions for the Vlasov and collision operator. Outlining the general theory, we also highlight the connection to plasma fluid theories, and give 2D and 3D numerical solutions of the non-linear Fokker–Planck equation. Applications are anticipated in both astrophysical and laboratory plasmas. - Highlights: • A radically new method to address the velocity space discretization of the non-linear kinetic equation of plasmas. • Elegant and physically intuitive, flexible and mesh-free. • Demonstration of numerical solution of both 2-D and 3-D non-linear Fokker–Planck relaxation problem.

  17. Characteristic frequencies of a non-Maxwellian plasma - A method for localizing the exact frequencies of magnetospheric intense natural waves near fpe

    International Nuclear Information System (INIS)

    Belmont, G.

    1981-01-01

    Intense natural waves are commonly observed onboard satellites in the outer earth's magnetosphere, inside a narrow frequency range, including the electron plasma and upper hybrid frequencies. In order to progress in the understanding of their emission processes, it is necessary to determine precisely the relationship which exists between their frequencies and the characteristic frequencies of the magnetospheric plasma. For this purpose, it is necessary to take into account the fact that some of these characteristic frequencies, which are provided by active sounding of the plasma, not only depend on the total density, but also on the shape of the distribution function (which has generally been assumed to be Maxwellian). A method providing a fine diagnosis of general non-Maxwellian plasmas is developed. This method of analysis of the experimental data is based on a theoretical study which points out the influence of the shape of the distribution function on the dispersion curves (for wave vectors perpendicular to the static magnetic field)

  18. Mode-conversion process and overdense-plasma heating in the electron cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Nakajima, S.; Abe, H.

    1988-01-01

    Through a particle-simulation investigation, a new mode-conversion process, through which an incident fast extraordinary mode (fast X mode) is converted into an electron Bernstein mode (B mode) via a (slow extraordinary mode slow X mode), is discovered in plasmas whose maximum density exceeds the cutoff density of the slow X mode. The converted B mode is found to heat the electrons efficiently in an overdense plasma region, when the plasma has the optimum density gradient at the plasma surface

  19. Antimicrobial Effectiveness of Cellulose based Fabrics treated with Silver Nitrate Solution using Plasma Processes

    Directory of Open Access Journals (Sweden)

    Jelena Peran

    2017-12-01

    Full Text Available In order to obtain antibacterial properties, the possibility of deposition of silver particles from silver nitrate (AgNO3 solutions by plasma deposition process using argon as a carrier gas (PDP-Ar was explored. Hexamethyldisiloxane and acrylic acid were used as precursors and were deposited by plasma enhanced-chemical vapor deposition (PE-CVD. The processes were carried out on lyocell and modal fbrics and antimicrobial efficacy was determined on E. coli and S. aureus using time kill assay method. The results of minimal inhibitory concentration (MIC show that higher antimicrobial efficacy on E. coli is exhibited by the solution of (AgNO3 in ethylene-glycol (0.066 μg/ml rather than in absolute ethanol (0.265 μg/ml. For S. aureus, minimal inhibitory concentrations of AgNO3 solutions in both absolute ethanol and ethylene-glycol as solvents are obtained at the same value (0.132 μg/ml. Overall, the best antibacterial eff ect for both modal and lyocell samples has been achieved against E. coli using treatments with precursors (AAC and HMDSO and Ag-NO3 in ethylene-glycol as solvent, with prolonged incubation time.

  20. Numerical Simulation of Spheroidization Process of TiAl Alloy Powders in Radio Frequency Plasma

    Directory of Open Access Journals (Sweden)

    ZHU Langping

    2017-06-01

    Full Text Available A numerical simulation method was used to study the radio frequency plasma spheroidization process of TiAl alloy powder. The effects of velocity field and temperature field on the motion trajectory and mass change of TiAl alloy powder with different particle size were analyzed.The results show that the temperature of powder particles increases rapidly under high temperature plasma, surface evaporation cause the reduction of particle size, and particles with small size tend to evaporate quickly. The motion trajectory of particles with different sizes in the lower end of the cooling tube is different obviously, small particles tend to enter the air outlet,while the larger particles are easy to fall down to the bottom of the cooling tube to be collected. Increasing air flow rate can improve the velocity of air flow in the spheroidizing system, causing larger particles to be taken away by the air, resulting in yield reduction. The simulation results of TiAl alloy powder spheroidization are close to the experimental results refer to parameters such as powder size distribution, average particle size and powder yield, and the model is in good accordance with the actual process of the spheroidization.

  1. Acceleration processes in the magnetospheric plasma: a review

    Energy Technology Data Exchange (ETDEWEB)

    Nishida, A [Tokyo Univ. (Japan). Inst. of Space and Aeronautical Science

    1975-01-01

    Our present knowledge on the acceleration process in the magnetospheric plasma is reviewed and major problems are summarized. Acceleration processes can be classified into three categories. First, acceleration can be made by the reconnection process in the magnetotail. The occurrence of reconnection during substorm expansion phases has been confirmed, but details of the energy conversion mechanism need be clarified. Second, acceleration by the electric potential drop along magnetic field lines has been strongly suggested from observations of precipitating particles. The position and structure of the potential layer, however, have not been clarified, and theoretical understanding of the process is still in the early stage of development. Third, particles can be adiabatically heated as they are driven toward the earth in the course of their convective motion. Spatial structure and dynamical development of the auroral precipitation pattern represent both challenge and clue to the understanding of the magnetospheric acceleration process.

  2. Numerical studies of transport processes in Tokamak plasma

    International Nuclear Information System (INIS)

    Spineanu, F.; Vlad, M.

    1984-09-01

    The paper contains the summary of a set of studies of the transport processes in tokamak plasma, performed with a one-dimensional computer code. The various transport models (which are implemented by the expressions of the transport coefficients) are presented in connection with the regimes of the dynamical development of the discharge. Results of studies concerning the skin effect and the large scale MHD instabilities are also included

  3. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  4. Ionization processes in the Fe 27 region of hot iron plasma in the field of hard gamma radiation

    International Nuclear Information System (INIS)

    Illarionov, A.F.

    1989-01-01

    A highly ionized hot plasma of an iron 26 56 Fe-type heavy element in the field of hard ionizing gamma-ray radiation is considered. The processes of ionization and recombination are discussed for a plasma consisting of the fully ionized Fe 27 and the hydrogen-like Fe 26 ions of iron in the case of large optical depth of the plasma with respect to the photoionization by gamma-ray quanta. The self-ionization process of a hot plasma with the temperature kT ≅ I (I being the ionization potential), due to the production of the own ionizing gamma-ray quanta, by the free-free (ff) and recombination (fb) radiation mechanisms, is investigated. It is noted that in the stationary situation the process of self-ionization of a hot plasma imposes the restriction upon the plasma temperature, kT<1.5 I. It is shown that the ionization of heavy-ion plasma by the impact of thermal electrons is dominating over the processes of ff- and fb-selfionization of plasma only by the large concentration of hydrogen-like iron at the periphery of the region of fully ionized iron Fe 27

  5. Plasma research in the thermodynamics division

    International Nuclear Information System (INIS)

    Gorczyca, B.; Sado, J.

    1995-01-01

    In this state some recent research concerning the theoretical and experimental investigations of low- and high-temperature plasmas was done. The model of LTE and non-LTE was used to descriptions of plasma conditions. The non-LTE assumptions were applied to two-temperature state of plasmas and to the ionizational nonequilibrium. The result of the research was the analysis of the transport phenomena in isotropic and anisotropic plasmas, where anisotropic was done by the external electric or magnetic fields. The experimental part of the research concerned the plasma measurement methods, particularly applied to the Klein effect, the spectroscopic methods and the laser diagnostics of plasma. Some research studied the interactions between strong magnetic field and injected gas flux to a reactor. This is the fundamental problem in controlled fission processes (the high-temperature plasma). (author). 9 refs, 12 figs

  6. The measurement and analysis of electric fields in glow discharge plasmas

    International Nuclear Information System (INIS)

    Lawler, J.E.; Doughty, D.A.

    1994-01-01

    Interest in glow discharge plasmas has remained high for many decades because of their widespread application as a source of incoherent and coherent light, in plasma processing materials, in pulsed power devices, and in other technologies. Plasma etching of semiconductors and various plasma deposition process emerged as major applications during the 1980s. The technological significance of plasma processing is described in Plasma Processing of Materials. More fundamental work on glow discharges also advanced greatly during the 1980s. For example, substantial progress was made through the use of laser diagnostics to study glow discharges and as a result of the dramatically increased computing power that became available in the 1980s to model glow discharges. Many of the laser diagnostics are described in Radiative Processes in Discharge Plasmas. Kinetic theory models, in particular, became far more sophisticated and realistic during the 1980s. This article is a review of recent work that used optical diagnostics to study electric fields in glow discharge plasmas. Alternative methods for measuring electric electric fields in plasmas include electron beam deflection and electrostatic probes. Optical techniques have important advantages over these methods: They can be used at higher pressures and discharge current densities than electron beam deflection; and they are noninvasive, unlike electrostatic probes. In addition, optical techniques are usually easier to apply in a highly pure system than either of the alternative methods. 46 refs., 23 figs., 1 tab

  7. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  8. Electron temperature measurement by a helium line intensity ratio method in helicon plasmas

    International Nuclear Information System (INIS)

    Boivin, R.F.; Kline, J.L.; Scime, E.E.

    2001-01-01

    Electron temperature measurements in helicon plasmas are difficult. The presence of intense rf fields in the plasma complicates the interpretation of Langmuir probe measurements. Furthermore, the non-negligible ion temperature in the plasma considerably shortens the lifetime of conventional Langmuir probes. A spectroscopic technique based on the relative intensities of neutral helium lines is used to measure the electron temperature in the HELIX (Hot hELicon eXperiment) plasma [P. A. Keiter et al., Phys. Plasmas 4, 2741 (1997)]. This nonintrusive diagnostic is based on the fact that electron impact excitation rate coefficients for helium singlet and triplet states differ as a function of the electron temperature. The different aspects related to the validity of this technique to measure the electron temperature in rf generated plasmas are discussed in this paper. At low plasma density (n e ≤10 11 cm -3 ), this diagnostic is believed to be very reliable since the population of the emitting level can be easily estimated with reasonable accuracy by assuming that all excitation originates from the ground state (steady-state corona model). At higher density, secondary processes (excitation transfer, excitation from metastable, cascading) become more important and a more complex collisional radiative model must be used to predict the electron temperature. In this work, different helium transitions are examined and a suitable transition pair is identified. For an electron temperature of 10 eV, the line ratio is measured as a function of plasma density and compared to values predicted by models. The measured line ratio function is in good agreement with theory and the data suggest that the excitation transfer is the dominant secondary process in high-density plasmas

  9. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  10. Possibility of reconstructing the mechanism and rate constants of elementary processes in the gas-discharge plasma of a rapid-flow laser

    International Nuclear Information System (INIS)

    Gontar, V.G.; Pashkin, S.V.; Surguchenko, S.A.

    1982-01-01

    The procedure is given for reconstructing the mechanism of elementary processes in the plasma of a gas-discharge laser on the basis of a statistical analysis of the experimental data. The method of writing the initial equations described here permits automation of the procedure for constructing a mathematical model of the discharge. A new iteration procedure for estimating the rate constants of the elementary processes by the method of least squares is proposed which has a wide region of convergence. The proposed methods are analyzed on test problems

  11. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  12. Development and validation of a high-performance liquid chromatography method for the quantification of talazoparib in rat plasma: Application to plasma protein binding studies.

    Science.gov (United States)

    Hidau, Mahendra Kumar; Kolluru, Srikanth; Palakurthi, Srinath

    2018-02-01

    A sensitive and selective RP-HPLC method has been developed and validated for the quantification of a highly potent poly ADP ribose polymerase inhibitor talazoparib (TZP) in rat plasma. Chromatographic separation was performed with isocratic elution method. Absorbance for TZP was measured with a UV detector (SPD-20A UV-vis) at a λ max of 227 nm. Protein precipitation was used to extract the drug from plasma samples using methanol-acetonitrile (65:35) as the precipitating solvent. The method proved to be sensitive and reproducible over a 100-2000 ng/mL linearity range with a lower limit of quantification (LLQC) of 100 ng/mL. TZP recovery was found to be >85%. Following analytical method development and validation, it was successfully employed to determine the plasma protein binding of TZP. TZP has a high level of protein binding in rat plasma (95.76 ± 0.38%) as determined by dialysis method. Copyright © 2017 John Wiley & Sons, Ltd.

  13. Development of Process for Plasma Spray:Case Study for Molybdenum

    Czech Academy of Sciences Publication Activity Database

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matějíček, Jiří; Gilmore, D. L.; Neiser, R. A.

    2003-01-01

    Roč. 348, 1-2 (2003), s. 54-66 ISSN 0921-5093 Grant - others:NSF(US) DMR9632570 Institutional research plan: CEZ:AV0Z2043910 Keywords : process maps, plasma spray, thermal spray Subject RIV: JG - Metallurgy Impact factor: 1.365, year: 2003

  14. Method and platform standardization in MRM-based quantitative plasma proteomics.

    Science.gov (United States)

    Percy, Andrew J; Chambers, Andrew G; Yang, Juncong; Jackson, Angela M; Domanski, Dominik; Burkhart, Julia; Sickmann, Albert; Borchers, Christoph H

    2013-12-16

    There exists a growing demand in the proteomics community to standardize experimental methods and liquid chromatography-mass spectrometry (LC/MS) platforms in order to enable the acquisition of more precise and accurate quantitative data. This necessity is heightened by the evolving trend of verifying and validating candidate disease biomarkers in complex biofluids, such as blood plasma, through targeted multiple reaction monitoring (MRM)-based approaches with stable isotope-labeled standards (SIS). Considering the lack of performance standards for quantitative plasma proteomics, we previously developed two reference kits to evaluate the MRM with SIS peptide approach using undepleted and non-enriched human plasma. The first kit tests the effectiveness of the LC/MRM-MS platform (kit #1), while the second evaluates the performance of an entire analytical workflow (kit #2). Here, these kits have been refined for practical use and then evaluated through intra- and inter-laboratory testing on 6 common LC/MS platforms. For an identical panel of 22 plasma proteins, similar concentrations were determined, regardless of the kit, instrument platform, and laboratory of analysis. These results demonstrate the value of the kit and reinforce the utility of standardized methods and protocols. The proteomics community needs standardized experimental protocols and quality control methods in order to improve the reproducibility of MS-based quantitative data. This need is heightened by the evolving trend for MRM-based validation of proposed disease biomarkers in complex biofluids such as blood plasma. We have developed two kits to assist in the inter- and intra-laboratory quality control of MRM experiments: the first kit tests the effectiveness of the LC/MRM-MS platform (kit #1), while the second evaluates the performance of an entire analytical workflow (kit #2). In this paper, we report the use of these kits in intra- and inter-laboratory testing on 6 common LC/MS platforms. This

  15. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  16. Applications of Symmetry Methods to the Theory of Plasma Physics

    Directory of Open Access Journals (Sweden)

    Giampaolo Cicogna

    2006-02-01

    Full Text Available The theory of plasma physics offers a number of nontrivial examples of partial differential equations, which can be successfully treated with symmetry methods. We propose three different examples which may illustrate the reciprocal advantage of this "interaction" between plasma physics and symmetry techniques. The examples include, in particular, the complete symmetry analysis of system of two PDE's, with the determination of some conditional and partial symmetries, the construction of group-invariant solutions, and the symmetry classification of a nonlinear PDE.

  17. Spheroidization by Plasma Processing and Characterization of Stainless Steel Powder for 3D Printing

    Science.gov (United States)

    Ji, Lina; Wang, Changzhen; Wu, Wenjie; Tan, Chao; Wang, Guoyu; Duan, Xuan-Ming

    2017-10-01

    Stainless steel 316L (SS 316L) powder was spheroidized by plasma processing to improve its suitability for powder 3D printing. The obtained spheroidized (sphero) powder was characterized in terms of its crystalline phases, elemental composition, morphology, particle size and distribution, light absorption, and flow properties. The elemental composition of the sphero powder met the Chinese standard for SS 316L except for its Si content. The volume fraction of ferrite increased after plasma processing. Furthermore, plasma processing was shown to not only reduce the mean size of the particles in the size range of 10 to 100 μm but also generate particles in the size range of 0.1 to 10 μm. The smaller particles filled the voids among larger particles, increasing the powder density. The light absorption was also increased owing to enhanced internal reflection. Although the basic flow energy decreased after plasma processing, the flow function (FF) value was smaller for the sphero powder, indicating a lower flowability of the sphero powder. However, the density of SS 316L pieces printed with commercial and sphero powders was 98.76 pct and 98.16 pct of the SS 316L bulk density, respectively, indicating the suitability of the sphero powder for 3D printing despite an FF below 10.

  18. Separation method in the problem of a beam-plasma interaction in bounded warm plasma under the effect of HF electric field

    International Nuclear Information System (INIS)

    EI-Shorbagy, Kh.H.

    2002-11-01

    The stabilization effect of a strong HP electric field on beam-plasma instability in a cylindrical warm plasma waveguide is discussed. A new mathematical technique 'separation method' which has been applied to the two-fluid plasma model to separate the equations, which describe the system, into two parts, temporal and space parts. Plasma electrons are considered to have a thermal velocity. It is shown that a HF electric field has no essential influence on dispersion characteristics of unstable surface waves excited in a warm plasma waveguide by a low-density electron beam. The region of instability only slightly narrowing and the growth rate decreases by a small parameter and this result has been reduced compared to cold plasma. Also, it is found that the plasma electrons have not affected the solution of the space part of the problem. (author)

  19. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  20. Particle modeling of plasmas computational plasma physics

    International Nuclear Information System (INIS)

    Dawson, J.M.

    1991-01-01

    Recently, through the development of supercomputers, a powerful new method for exploring plasmas has emerged; it is computer modeling of plasmas. Such modeling can duplicate many of the complex processes that go on in a plasma and allow scientists to understand what the important processes are. It helps scientists gain an intuition about this complex state of matter. It allows scientists and engineers to explore new ideas on how to use plasma before building costly experiments; it allows them to determine if they are on the right track. It can duplicate the operation of devices and thus reduce the need to build complex and expensive devices for research and development. This is an exciting new endeavor that is in its infancy, but which can play an important role in the scientific and technological competitiveness of the US. There are a wide range of plasma models that are in use. There are particle models, fluid models, hybrid particle fluid models. These can come in many forms, such as explicit models, implicit models, reduced dimensional models, electrostatic models, magnetostatic models, electromagnetic models, and almost an endless variety of other models. Here the author will only discuss particle models. He will give a few examples of the use of such models; these will be taken from work done by the Plasma Modeling Group at UCLA because he is most familiar with work. However, it only gives a small view of the wide range of work being done around the US, or for that matter around the world

  1. Databases and coordinated research projects at the IAEA on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Braams, Bastiaan J.; Chung, Hyun-Kyung [Nuclear Data Section, NAPC Division, International Atomic Energy Agency P. O. Box 100, Vienna International Centre, AT-1400 Vienna (Austria)

    2012-05-25

    The Atomic and Molecular Data Unit at the IAEA works with a network of national data centres to encourage and coordinate production and dissemination of fundamental data for atomic, molecular and plasma-material interaction (A+M/PMI) processes that are relevant to the realization of fusion energy. The Unit maintains numerical and bibliographical databases and has started a Wiki-style knowledge base. The Unit also contributes to A+M database interface standards and provides a search engine that offers a common interface to multiple numerical A+M/PMI databases. Coordinated Research Projects (CRPs) bring together fusion energy researchers and atomic, molecular and surface physicists for joint work towards the development of new data and new methods. The databases and current CRPs on A+M/PMI processes are briefly described here.

  2. Databases and coordinated research projects at the IAEA on atomic processes in plasmas

    Science.gov (United States)

    Braams, Bastiaan J.; Chung, Hyun-Kyung

    2012-05-01

    The Atomic and Molecular Data Unit at the IAEA works with a network of national data centres to encourage and coordinate production and dissemination of fundamental data for atomic, molecular and plasma-material interaction (A+M/PMI) processes that are relevant to the realization of fusion energy. The Unit maintains numerical and bibliographical databases and has started a Wiki-style knowledge base. The Unit also contributes to A+M database interface standards and provides a search engine that offers a common interface to multiple numerical A+M/PMI databases. Coordinated Research Projects (CRPs) bring together fusion energy researchers and atomic, molecular and surface physicists for joint work towards the development of new data and new methods. The databases and current CRPs on A+M/PMI processes are briefly described here.

  3. Databases and coordinated research projects at the IAEA on atomic processes in plasmas

    International Nuclear Information System (INIS)

    Braams, Bastiaan J.; Chung, Hyun-Kyung

    2012-01-01

    The Atomic and Molecular Data Unit at the IAEA works with a network of national data centres to encourage and coordinate production and dissemination of fundamental data for atomic, molecular and plasma-material interaction (A+M/PMI) processes that are relevant to the realization of fusion energy. The Unit maintains numerical and bibliographical databases and has started a Wiki-style knowledge base. The Unit also contributes to A+M database interface standards and provides a search engine that offers a common interface to multiple numerical A+M/PMI databases. Coordinated Research Projects (CRPs) bring together fusion energy researchers and atomic, molecular and surface physicists for joint work towards the development of new data and new methods. The databases and current CRPs on A+M/PMI processes are briefly described here.

  4. Untargeted metabolomic profiling plasma samples of patients with lung cancer for searching significant metabolites by HPLC-MS method

    Science.gov (United States)

    Dementeva, N.; Ivanova, K.; Kokova, D.; Kurzina, I.; Ponomaryova, A.; Kzhyshkowska, J.

    2017-09-01

    Lung cancer is one of the most common types of cancer leading to death. Consequently, the search and the identification of the metabolites associated with the risk of developing cancer are very valuable. For the purpose, untargeted metabolic profiling of the plasma samples collected from the patients with lung cancer (n = 100) and the control group (n = 100) was conducted. After sample preparation, the plasma samples were analyzed using LC-MS method. Biostatistics methods were applied to pre-process the data for elicitation of dominating metabolites which responded to the difference between the case and the control groups. At least seven significant metabolites were evaluated and annotated. The most part of identified metabolites are connected with lipid metabolism and their combination could be useful for follow-up studies of lung cancer pathogenesis.

  5. Study of selective heating at ion cyclotron resonance for the plasma separation process

    International Nuclear Information System (INIS)

    Compant La Fontaine, A.; Pashkovsky, V.G.

    1995-01-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucleaires de Saclay and Cite Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number k z is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the k z spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge--Kutta method. The influence of ion--ion collisions, inhomogeneity of the static magnetic field B 0 , and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44 Ca heating measurements, made with an energy analyzer. copyright 1995 American Institute of Physics

  6. Study on the plasma reaction process of hydroxyl generation by strong electric field ionization discharge

    International Nuclear Information System (INIS)

    Bai Mindi; Deng Shufang; Bai Xiyao; Zhang Zhitao

    2004-01-01

    Considering the change in the structure of reaction room, dielectric materials and process technology, authors have specifically studied the plasma reaction process of creating hydroxyl radical OH * and e aq - from ionization of O 2 and H 2 O through a strong electric field discharge. The production volume of hydroxyl radical OH * is up to the project application level, and process technology meets the 12 laws of green chemistry, free from environmental pollution from the source. The authors have emphatically researched on the green method of flue gas desulfurization, which will ionize SO 2 , H 2 O and O 2 in the flue gas to synthesis H 2 SO 4 in molecular level within 0.8 s without absorbent and catalyst. (author)

  7. Observation of hydrodynamic processes of radiation-ablated plasma in a small hole

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hang; Kuang, Longyu; Jiang, Shaoen, E-mail: jiangshn@vip.sina.com; Ding, Yongkun, E-mail: ding-yk@vip.sina.com [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Song, Tianming; Yang, Jiamin, E-mail: yjm70018@sina.cn; Zhu, Tuo; Lin, Zhiwei; Zheng, Jianhua; Zhang, Haiying; Yu, Ruizhen; Liu, Shenye [Research Center of Laser Fusion, China Academy of Engineering Physics, P.O. Box 919-986, Mianyang 621900 (China); Hu, Guangyue; Zhao, Bin; Zheng, Jian [CAS Key Laboratory of Basic Plasma Physics and Department of Modern Physics, University of Science and Technology of China, Hefei 230026 (China)

    2015-07-15

    In the hohlraum used in laser indirect-drive inertial confinement fusion experiments, hydrodynamic processes of radiation-ablated high-Z plasma have a great effect on laser injection efficiency, radiation uniformity, and diagnosis of hohlraum radiation field from diagnostic windows (DW). To study plasma filling in the DWs, a laser-irradiated Ti disk was used to generate 2–5 keV narrow energy band X-ray as the intense backlighter source, and laser-produced X-ray in a hohlraum with low-Z foam tamper was used to heat a small hole surrounded by gold wall with 150 μm in diameter and 100 μm deep. The hydrodynamic movement of the gold plasma in the small hole was measured by an X-ray framing camera and the results are analyzed. Quantitative measurement of the plasma areal density distribution and evolution in the small hole can be used to assess the effect of plasma filling on the diagnosis from the DWs.

  8. Multiple time-scale methods in particle simulations of plasmas

    International Nuclear Information System (INIS)

    Cohen, B.I.

    1985-01-01

    This paper surveys recent advances in the application of multiple time-scale methods to particle simulation of collective phenomena in plasmas. These methods dramatically improve the efficiency of simulating low-frequency kinetic behavior by allowing the use of a large timestep, while retaining accuracy. The numerical schemes surveyed provide selective damping of unwanted high-frequency waves and preserve numerical stability in a variety of physics models: electrostatic, magneto-inductive, Darwin and fully electromagnetic. The paper reviews hybrid simulation models, the implicitmoment-equation method, the direct implicit method, orbit averaging, and subcycling

  9. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  10. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  11. Platinum catalyst formed on carbon nanotube by the in-liquid plasma method for fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Show, Yoshiyuki; Hirai, Akira; Almowarai, Anas; Ueno, Yutaro

    2015-12-01

    In-liquid plasma was generated in the carbon nanotube (CNT) dispersion fluid using platinum electrodes. The generated plasma spattered the surface of the platinum electrodes and dispersed platinum particles into the CNT dispersion. Therefore, the platinum nanoparticles were successfully formed on the CNT surface in the dispersion. The platinum nanoparticles were applied to the proton exchange membrane fuel cell (PEMFC) as a catalyst. The electrical power of 108 mW/cm{sup 2} was observed from the fuel cell which was assembled with the platinum catalyst formed on the CNT by the in-liquid plasma method. - Highlights: • The platinum catalyst was successfully formed on the CNT surface in the dispersion by the in-liquid plasma method. • The electrical power of 108 mW/cm{sup 2} was observed from the fuel cell which was assembled with the platinum catalyst formed on the CNT by the in-liquid plasma method.

  12. Proceeding of 1998-workshop on MHD computations. Study on numerical methods related to plasma confinement

    International Nuclear Information System (INIS)

    Kako, T.; Watanabe, T.

    1999-04-01

    This is the proceeding of 'Study on Numerical Methods Related to Plasma Confinement' held in National Institute for Fusion Science. In this workshop, theoretical and numerical analyses of possible plasma equilibria with their stability properties are presented. These are also various talks on mathematical as well as numerical analyses related to the computational methods for fluid dynamics and plasma physics. The 14 papers are indexed individually. (J.P.N.)

  13. Proceeding of 1998-workshop on MHD computations. Study on numerical methods related to plasma confinement

    Energy Technology Data Exchange (ETDEWEB)

    Kako, T.; Watanabe, T. [eds.

    1999-04-01

    This is the proceeding of 'Study on Numerical Methods Related to Plasma Confinement' held in National Institute for Fusion Science. In this workshop, theoretical and numerical analyses of possible plasma equilibria with their stability properties are presented. These are also various talks on mathematical as well as numerical analyses related to the computational methods for fluid dynamics and plasma physics. The 14 papers are indexed individually. (J.P.N.)

  14. Monte Carlo simulations for plasma physics

    International Nuclear Information System (INIS)

    Okamoto, M.; Murakami, S.; Nakajima, N.; Wang, W.X.

    2000-07-01

    Plasma behaviours are very complicated and the analyses are generally difficult. However, when the collisional processes play an important role in the plasma behaviour, the Monte Carlo method is often employed as a useful tool. For examples, in neutral particle injection heating (NBI heating), electron or ion cyclotron heating, and alpha heating, Coulomb collisions slow down high energetic particles and pitch angle scatter them. These processes are often studied by the Monte Carlo technique and good agreements can be obtained with the experimental results. Recently, Monte Carlo Method has been developed to study fast particle transports associated with heating and generating the radial electric field. Further it is applied to investigating the neoclassical transport in the plasma with steep gradients of density and temperatures which is beyong the conventional neoclassical theory. In this report, we briefly summarize the researches done by the present authors utilizing the Monte Carlo method. (author)

  15. An integrative time-varying frequency detection and channel sounding method for dynamic plasma sheath

    Science.gov (United States)

    Shi, Lei; Yao, Bo; Zhao, Lei; Liu, Xiaotong; Yang, Min; Liu, Yanming

    2018-01-01

    The plasma sheath-surrounded hypersonic vehicle is a dynamic and time-varying medium and it is almost impossible to calculate time-varying physical parameters directly. The in-fight detection of the time-varying degree is important to understand the dynamic nature of the physical parameters and their effect on re-entry communication. In this paper, a constant envelope zero autocorrelation (CAZAC) sequence based on time-varying frequency detection and channel sounding method is proposed to detect the plasma sheath electronic density time-varying property and wireless channel characteristic. The proposed method utilizes the CAZAC sequence, which has excellent autocorrelation and spread gain characteristics, to realize dynamic time-varying detection/channel sounding under low signal-to-noise ratio in the plasma sheath environment. Theoretical simulation under a typical time-varying radio channel shows that the proposed method is capable of detecting time-variation frequency up to 200 kHz and can trace the channel amplitude and phase in the time domain well under -10 dB. Experimental results conducted in the RF modulation discharge plasma device verified the time variation detection ability in practical dynamic plasma sheath. Meanwhile, nonlinear phenomenon of dynamic plasma sheath on communication signal is observed thorough channel sounding result.

  16. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min; Hamdan, Ahmad Bassam

    2017-01-01

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode

  17. NCSX Plasma Heating Methods

    International Nuclear Information System (INIS)

    Kugel, H.W.; Spong, D.; Majeski, R.; Zarnstorff, M.

    2008-01-01

    The National Compact Stellarator Experiment (NCSX) has been designed to accommodate a variety of heating systems, including ohmic heating, neutral beam injection, and radio-frequency (rf). Neutral beams will provide one of the primary heating methods for NCSX. In addition to plasma heating, neutral beams are also expected to provide a means for external control over the level of toroidal plasma rotation velocity and its profile. The experimental plan requires 3 MW of 50-keV balanced neutral beam tangential injection with pulse lengths of 500 ms for initial experiments, to be upgradeable to pulse lengths of 1.5 s. Subsequent upgrades will add 3MW of neutral beam injection (NBI). This paper discusses the NCSX NBI requirements and design issues and shows how these are provided by the candidate PBX-M NBI system. In addition, estimations are given for beam heating efficiencies, scaling of heating efficiency with machine size and magnetic field level, parameter studies of the optimum beam injection tangency radius and toroidal injection location, and loss patterns of beam ions on the vacuum chamber wall to assist placement of wall armor and for minimizing the generation of impurities by the energetic beam ions. Finally, subsequent upgrades could add an additional 6 MW of rf heating by mode conversion ion Bernstein wave (MCIBW) heating, and if desired as possible future upgrades, the design also will accommodate high-harmonic fast-wave and electron cyclotron heating. The initial MCIBW heating technique and the design of the rf system lend themselves to current drive, so if current drive became desirable for any reason, only minor modifications to the heating system described here would be needed. The rf system will also be capable of localized ion heating (bulk or tail), and possibly IBW-generated sheared flows

  18. NCSX Plasma Heating Methods

    International Nuclear Information System (INIS)

    Kugel, H.W.; Spong, D.; Majeski, R.; Zarnstorff, M.

    2003-01-01

    The NCSX (National Compact Stellarator Experiment) has been designed to accommodate a variety of heating systems, including ohmic heating, neutral-beam injection, and radio-frequency. Neutral beams will provide one of the primary heating methods for NCSX. In addition to plasma heating, beams are also expected to provide a means for external control over the level of toroidal plasma rotation velocity and its profile. The plan is to provide 3 MW of 50 keV balanced neutral-beam tangential injection with pulse lengths of 500 msec for initial experiments, and to be upgradeable to pulse lengths of 1.5 sec. Subsequent upgrades will add 3 MW of neutral-beam injection. This Chapter discusses the NCSX neutral-beam injection requirements and design issues, and shows how these are provided by the candidate PBX-M (Princeton Beta Experiment-Modification) neutral-beam injection system. In addition, estimations are given for beam-heating efficiencies, scaling of heating efficiency with machine size an d magnetic field level, parameter studies of the optimum beam-injection tangency radius and toroidal injection location, and loss patterns of beam ions on the vacuum chamber wall to assist placement of wall armor and for minimizing the generation of impurities by the energetic beam ions. Finally, subsequent upgrades could add an additional 6 MW of radio-frequency heating by mode-conversion ion-Bernstein wave (MCIBW) heating, and if desired as possible future upgrades, the design also will accommodate high-harmonic fast-wave and electron-cyclotron heating. The initial MCIBW heating technique and the design of the radio-frequency system lend themselves to current drive, so that if current drive became desirable for any reason only minor modifications to the heating system described here would be needed. The radio-frequency system will also be capable of localized ion heating (bulk or tail), and possibly ion-Bernstein-wave-generated sheared flows

  19. Pulsed Plasma Lubrication Device and Method

    Science.gov (United States)

    Hofer, Richard R. (Inventor); Bickler, Donald B. (Inventor); D'Agostino, Saverio A. (Inventor)

    2016-01-01

    Disclosed herein is a lubrication device comprising a solid lubricant disposed between and in contact with a first electrode and a second electrode dimensioned and arranged such that application of an electric potential between the first electrode and the second electrode sufficient to produce an electric arc between the first electrode and the second electrode to produce a plasma in an ambient atmosphere at an ambient pressure which vaporizes at least a portion of the solid lubricant to produce a vapor stream comprising the solid lubricant. Methods to lubricate a surface utilizing the lubrication device in-situ are also disclosed.

  20. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  1. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  2. An adhesion-based method for plasma membrane isolation: evaluating cholesterol extraction from cells and their membranes.

    Science.gov (United States)

    Bezrukov, Ludmila; Blank, Paul S; Polozov, Ivan V; Zimmerberg, Joshua

    2009-11-15

    A method to isolate large quantities of directly accessible plasma membrane from attached cells is presented. The method is based on the adhesion of cells to an adsorbed layer of polylysine on glass plates, followed by hypotonic lysis with ice-cold distilled water and subsequent washing steps. Optimal conditions for coating glass plates and time for cell attachment were established. No additional chemical or mechanical treatments were used. Contamination of the isolated plasma membrane by cell organelles was less than 5%. The method uses inexpensive, commercially available polylysine and reusable glass plates. Plasma membrane preparations can be made in 15 min. Using this method, we determined that methyl-beta-cyclodextrin differentially extracts cholesterol from fibroblast cells and their plasma membranes and that these differences are temperature dependent. Determination of the cholesterol/phospholipid ratio from intact cells does not reflect methyl-beta-cyclodextrin plasma membrane extraction properties.

  3. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios

    2017-09-14

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  4. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios; Lacoste, Deanna A.; Kirkus, Mindaugas

    2017-01-01

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  5. Glucose determination in fish plasma by two different moderate methods

    Directory of Open Access Journals (Sweden)

    Jana Bartoňková

    2016-01-01

    Full Text Available Teleost fish include many different species, having a large economic and environmental impact. Glucose is a key source of energy for most vertebrate organisms. Fish as well as mammals maintain a specific level of blood sugar – glycaemia, which is influenced by multiple factors such as hormone production, diet, and temperature. Therefore, it is a useful indicator for scientists who need to check the immediate state of an organism. The most frequently used method of measuring glycaemia in farmed fish is spectrophotometry; however, this method is often inconvenient for field experiments. Here we report that basic spectrophotometry using oxidation of blood beta D-glucose by glucose oxidase (used in laboratory conditions can be easily substituted with portable glucometer measurements, primarily designed for human samples. The possibility of use outside the laboratory and its small sample volume demands make this method very useful. Field studies often require fast sample processing and conditions of measurement are limited. Using the glucometer is a simple and elegant option. We tested 74 blood plasma samples (29 males, 45 females of tench (Tinca tinca both spectrophotometrically and using the human glucometer. Our results show a significant linear correlation (P < 0.001 between both methods. The main contribution of this study consists in comparability and interchangeability of results obtained from both presented methods.

  6. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  7. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    International Nuclear Information System (INIS)

    Chang, Jane

    2012-01-01

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization

  8. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jane

    2012-07-27

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization.

  9. Formation Process of Non-Neutral Plasmas by Multiple Electron Beams on BX-U

    Science.gov (United States)

    Sanpei, Akio; Himura, Haruhiko; Masamune, Sadao

    An imaging diagnostic system, which is composed of a handmade phosphor screen and a high-speed camera, has been applied to identify the dynamics of multiple electron beams on BX-U. The relaxation process of those toward a non-neutral plasma is experimentally identified. Also, the radial density profile of the plasma is measured as a function of time. Assuming that the plasma is a spheroidal shape, the value of electron density ne is in the range between 2.2 × 106 and 4.4 × 108 cm-3 on BX-U.

  10. Determination of GABA and vigabatrin in human plasma by a rapid and simple HPLC method: correlation between clinical response to vigabatrin and increase in plasma GABA.

    Science.gov (United States)

    Löscher, W; Fassbender, C P; Gram, L; Gramer, M; Hörstermann, D; Zahner, B; Stefan, H

    1993-03-01

    The novel antiepileptic drug vigabatrin (Sabril) acts by inhibiting degradation of the inhibitory neurotransmitter gamma-aminobutyric acid (GABA), increasing the GABA concentrations in the brain. Because the GABA degrading enzyme GABA aminotransferase (GABA-T) is also present in peripheral tissues, including blood platelets, measurement of plasma GABA levels might be a useful indication of the pharmacological response to vigabatrin during therapeutic monitoring. However, because of the very low concentrations of GABA in plasma, the few methods available for plasma GABA analysis are time-consuming, difficult to perform and/or not selective enough because of potential interference with other plasma constituents. In the present study, a rapid, selective and sensitive amino acid analysis HPLC method has been developed for plasma GABA determination with fluorescence detection, using o-phthaldialdehyde as a precolumn derivatizing agent. By employing a 3 microns particle size reversed-phase column and a multi-step gradient system of two solvents, the very low endogenous concentration of GABA in human plasma could be reproducibly quantitated without interference of other endogenous compounds. Incubation of human plasma samples with GABA degrading enzyme(s) resulted in an almost total loss of the GABA peak, thus demonstrating the specificity of the method for GABA analysis. In addition to GABA and other endogenous amino acids, the HPLC method could be used to quantitate plasma levels of vigabatrin. Thus, this improved HPLC amino acid assay might be used to examine whether concomitant monitoring of plasma GABA and vigabatrin is useful for clinical purposes. This was examined in 20 epileptic patients undergoing chronic treatment with vigabatrin. The average plasma GABA level of these 20 patients did not differ significantly from non-epileptic controls. However, when epileptic patients were subdivided according to their clinical response to vigabatrin, vigabatrin responders

  11. Plasma sprayed Nd-Fe-B permanent magnets

    International Nuclear Information System (INIS)

    Willson, M.; Bauser, S.; Liu, S.; Huang, M.

    2003-01-01

    This study demonstrated that the plasma spray deposition method is an alternative process for producing Nd-Fe-B magnets in addition to the two existing principal processes: the powder metallurgy process for producing sintered Nd-Fe-B magnets and the melt spinning process for bonded Nd-Fe-B magnets. Plasma spray is a potentially better process for producing magnetic parts with complicated shape, large area, thin thickness, small dimension, or unusual geometry. High intrinsic coercivity greater than 15 kOe was readily obtained for Nd 16 Dy 1 Fe 76 B 7 even in the as-deposited condition when the substrate was preheated. The plasma spray process contains only three steps: melting, crushing, and plasma spray, which is much simpler than the powder metallurgy and melt spinning processes. Without preheating the substrate, the coercivity was usually very low (∼0.1 kOe) in the as-deposited condition and it increased to 10 to >15 kOe after anneal. Evidence of magnetocrystalline anisotropy was observed in plasma sprayed Nd 15 Dy 1 Fe 77 B 7 magnets when the substrate was not preheated. It is believed that a crystal texture was developed during the plasma spray as a result of the existence of a temperature gradient in the solidifying melt

  12. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  13. Determination of moxifloxacin in human plasma, plasma ultrafiltrate, and cerebrospinal fluid by a rapid and simple liquid chromatography- tandem mass spectrometry method.

    Science.gov (United States)

    Pranger, Arianna D; Alffenaar, Jan-Willem C; Wessels, A Mireille A; Greijdanus, Ben; Uges, Donald R A

    2010-04-01

    Moxifloxacin (MFX) is a useful agent in the treatment of multi-drug-resistant tuberculosis (MDR-TB). At Tuberculosis Centre Beatrixoord, a referral center for tuberculosis in the Netherlands, approximately 36% of the patients have received MFX as treatment. Based on the variability of MFX AUC, the variability of in vitro susceptibility to MFX of M. tuberculosis, and the variability of penetration into sanctuary sites, measuring the concentration of MFX in plasma and cerebrospinal fluid (CSF) could be recommended. Therefore, a rapid and validated liquid chromatography-tandem mass spectrometry (LC-MS-MS) analyzing method with a simple pretreatment procedure was developed for therapeutic drug monitoring of MFX in human plasma and CSF. Because of the potential influence of protein binding on efficacy, we decided to determine both bound and unbound (ultrafiltrate) fraction of MFX. The calibration curves were linear in the therapeutic range of 0.05 to 5.0 mg/L plasma and CSF with CV in the range of -5.4% to 9.3%. MFX ultrafiltrate samples could be determined with the same method setup for analysis of MFX in CSF. The LC-MS-MS method developed in this study is suitable for monitoring MFX in human plasma, plasma ultrafiltrate, and CSF.

  14. Convergent-close-coupling calculations for excitation and ionization processes of electron-hydrogen collisions in Debye plasmas

    International Nuclear Information System (INIS)

    Zammit, Mark C.; Fursa, Dmitry V.; Bray, Igor

    2010-01-01

    Electron-hydrogen scattering in weakly coupled hot-dense plasmas has been investigated using the convergent-close-coupling method. The Yukawa-type Debye-Hueckel potential has been used to describe the plasma screening effects. The target structure, excitation dynamics, and ionization process change dramatically as the screening is increased. Excitation cross sections for the 1s→2s,2p,3s,3p,3d and 2s→2p,3s,3p,3d transitions and total and total ionization cross sections for the scattering from the 1s and 2s states are presented. Calculations cover the energy range from thresholds to high energies (250 eV) for various Debye lengths. We find that as the screening increases, the excitation and total cross sections decrease, while the total ionization cross sections increase.

  15. Electron beam manipulation, injection and acceleration in plasma wakefield accelerators by optically generated plasma density spikes

    Energy Technology Data Exchange (ETDEWEB)

    Wittig, Georg; Karger, Oliver S.; Knetsch, Alexander [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Xi, Yunfeng; Deng, Aihua; Rosenzweig, James B. [Particle Beam Physics Laboratory, UCLA, Los Angeles, CA 90095 (United States); Bruhwiler, David L. [RadiaSoft LLC, Boulder, CO 80304 (United States); RadiaBeam Technologies LLC (United States); Smith, Jonathan [Tech-X UK Ltd, Daresbury, Cheshire WA4 4FS (United Kingdom); Sheng, Zheng-Ming; Jaroszynski, Dino A.; Manahan, Grace G. [Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Hidding, Bernhard [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom)

    2016-09-01

    We discuss considerations regarding a novel and robust scheme for optically triggered electron bunch generation in plasma wakefield accelerators [1]. In this technique, a transversely propagating focused laser pulse ignites a quasi-stationary plasma column before the arrival of the plasma wake. This localized plasma density enhancement or optical “plasma torch” distorts the blowout during the arrival of the electron drive bunch and modifies the electron trajectories, resulting in controlled injection. By changing the gas density, and the laser pulse parameters such as beam waist and intensity, and by moving the focal point of the laser pulse, the shape of the plasma torch, and therefore the generated trailing beam, can be tuned easily. The proposed method is much more flexible and faster in generating gas density transitions when compared to hydrodynamics-based methods, and it accommodates experimentalists needs as it is a purely optical process and straightforward to implement.

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. On the possibility of reprocessing spent nuclear fuel and radioactive waste by plasma methods

    Energy Technology Data Exchange (ETDEWEB)

    Vorona, N. A.; Gavrikov, A. V., E-mail: gavrikov@ihed.ras.ru; Samokhin, A. A.; Smirnov, V. P. [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation); Khomyakov, Yu. S. [Innovation–Technology Center of the Project Proryv (Russian Federation)

    2015-12-15

    The concept of plasma separation of spent nuclear fuel and radioactive waste is presented. An approach that is based on using an accelerating potential to overcome the energy and angular spread of plasma ions at the separation region inlet and utilizing a potential well to separate spatially the ions of different masses is proposed. It is demonstrated that such separation may be performed at distances of about 1 m with electrical potentials of about 1 kV and a magnetic field of about 1 kG. The estimates of energy consumption and performance of the plasma separation method are presented. These estimates illustrate its potential for technological application. The results of development and construction of an experimental setup for testing the method of plasma separation are presented.

  18. Verification of optical diagnostic methods in H{sub 2}/D{sub 2} - plasmas; Verifikation von optischen Diagnostikmethoden an H{sub 2}/D{sub 2} - Plasmen

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Stephan

    2010-12-02

    For the neutral beam injection of the fusion experiment ITER negative deuterium ions have to be extracted from an ion source, accelerated to 1 MeV and subsequently neutralized. To control the plasma processes of the non-thermal hydrogen low temperature plasmas in the ion sources the knowledge of the plasma parameters like electron temperature and electron density is necessary. Among the required diagnostic methods the optical emission spectroscopy has one of the simplest setups which allows non-invasive and in situ measurements of the parameters. Within the scope of this thesis a modular diode system was developed, which allows together with systematically verified and improved optical diagnostic methods a time resolved online monitoring of the plasma parameters. The verification was carried out in stable and reproducible electron cyclotron resonance plasmas. These plasmas allows a simple comparison between the optical emission spectroscopy and various reference methods in a large parameter range of electron temperature and electron density. In order to verify the effective population densities from collisional radiative models and the deduced emission rate coefficients reference measurements were carried out using a Langmuir probe, a double probe, the Boyd-Twiddy-Method, interferometry, laser detachment, a mass spectrometer and already verified optical diagnostic methods of diagnostic gases like helium and argon. By a correction of the used collisional radiative model the determination of the electron density was significantly improved. (orig.)

  19. Dust cloud evolution in sub-stellar atmospheres via plasma deposition and plasma sputtering

    Science.gov (United States)

    Stark, C. R.; Diver, D. A.

    2018-04-01

    Context. In contemporary sub-stellar model atmospheres, dust growth occurs through neutral gas-phase surface chemistry. Recently, there has been a growing body of theoretical and observational evidence suggesting that ionisation processes can also occur. As a result, atmospheres are populated by regions composed of plasma, gas and dust, and the consequent influence of plasma processes on dust evolution is enhanced. Aim. This paper aims to introduce a new model of dust growth and destruction in sub-stellar atmospheres via plasma deposition and plasma sputtering. Methods: Using example sub-stellar atmospheres from DRIFT-PHOENIX, we have compared plasma deposition and sputtering timescales to those from neutral gas-phase surface chemistry to ascertain their regimes of influence. We calculated the plasma sputtering yield and discuss the circumstances where plasma sputtering dominates over deposition. Results: Within the highest dust density cloud regions, plasma deposition and sputtering dominates over neutral gas-phase surface chemistry if the degree of ionisation is ≳10-4. Loosely bound grains with surface binding energies of the order of 0.1-1 eV are susceptible to destruction through plasma sputtering for feasible degrees of ionisation and electron temperatures; whereas, strong crystalline grains with binding energies of the order 10 eV are resistant to sputtering. Conclusions: The mathematical framework outlined sets the foundation for the inclusion of plasma deposition and plasma sputtering in global dust cloud formation models of sub-stellar atmospheres.

  20. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  1. A particle-in-cell method for modeling small angle Coulomb collisions in plasmas

    International Nuclear Information System (INIS)

    Parker, S.E.

    1989-01-01

    We propose a computational method to self-consistently model small angle collisional effects. This method may be added to standard Particle-In-Cell (PIC) plasma simulations to include collisions, or as an alternative to solving the Fokker-Planck (FP) equation using finite difference methods. The distribution function is represented by a large number of particles. The particle velocities change due to the drag force, and the diffusion in velocity is represented by a random process. This is similar to previous Monte-Carlo methods except we calculate the drag force and diffusion tensor self- consistently. The particles are weighted to a grid in velocity space and associated ''Poisson equations'' are solved for the Rosenbluth potentials. The motivation is to avoid the very time consuming method of Coulomb scattering pair by pair. First the approximation for small angle Coulomb collisions is discussed. Next, the FP-PIC collision method is outlined. Then we show a test of the particle advance modeling an electron beam scattering off a fixed ion background. 4 refs

  2. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  3. Study of Raw Materials Treatment by Melting and Gasification Process in Plasma Arc Reactor

    Directory of Open Access Journals (Sweden)

    Peter KURILLA

    2010-12-01

    Full Text Available The world consumption of metals and energy has increased in last few decades and it is still increasing. Total volume production results to higher waste production. Raw material basis of majority metals and fossil fuels for energy production is more complex and current waste treatment has long term tendency. Spent power cells of different types have been unneeded and usually they are classified as dangerous waste. This important issue is the main topic of the thesis, in which author describes pyrometallurgical method for storage batteries – power cells and catalysts treatment. During the process there were tested a trial of spent NiMH, Li – ion power cells and spent copper catalysts with metal content treatment by melting and gasification process in plasma arc reactor. The synthetic gas produced from gasification process has been treated by cogenerations micro turbines units for energy recovery. The metal and slag from treatment process are produced into two separately phases and they were analyzing continually.

  4. Development of procedure using plasma welding process to produce {sup 125}I seeds; Desenvolvimento de procedimento utilizando processo de soldagem plasma para confeccao de sementes de {sup 125}I

    Energy Technology Data Exchange (ETDEWEB)

    Feher, Anselmo

    2006-07-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing {sup 125}I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed {sup 125}I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal {sup 125}I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  5. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  6. Experimental investigation of gas flow rate and electric field effect on refractive index and electron density distribution of cold atmospheric pressure-plasma by optical method, Moiré deflectometry

    Science.gov (United States)

    Khanzadeh, Mohammad; Jamal, Fatemeh; Shariat, Mahdi

    2018-04-01

    Nowadays, cold atmospheric-pressure (CAP) helium plasma jets are widely used in material processing devices in various industries. Researchers often use indirect and spectrometric methods for measuring the plasma parameters which are very expensive. In this paper, for the first time, characterization of CAP, i.e., finding its parameters such as refractive index and electron density distribution, was carried out using an optical method, Moiré deflectometry. This method is a wave front analysis technique based on geometric optics. The advantages of this method are simplicity, high accuracy, and low cost along with the non-contact, non-destructive, and direct measurement of CAP parameters. This method demonstrates that as the helium gas flow rate decreases, the refractive index increases. Also, we must note that the refractive index is larger in the gas flow consisting of different flow rates of plasma comparing with the gas flow without the plasma.

  7. Investigation of Physical Processes Limiting Plasma Density in DIII--D

    Science.gov (United States)

    Maingi, R.

    1996-11-01

    Understanding the physical processes which limit operating density is crucial in achieving peak performance in confined plasmas. Studies from many of the world's tokamaks have indicated the existence(M. Greenwald, et al., Nucl. Fusion 28) (1988) 2199 of an operational density limit (Greenwald limit, n^GW_max) which is proportional to the plasma current and independent of heating power. Several theories have reproduced the current dependence, but the lack of a heating power dependence in the data has presented an enigma. This limit impacts the International Thermonuclear Experimental Reactor (ITER) because the nominal operating density for ITER is 1.5 × n^GW_max. In DIII-D, experiments are being conducted to understand the physical processes which limit operating density in H-mode discharges; these processes include X-point MARFE formation, high core recycling and neutral pressure, resistive MHD stability, and core radiative collapse. These processes affect plasma properties, i.e. edge/scrape-off layer conduction and radiation, edge pressure gradient and plasma current density profile, and core radiation, which in turn restrict the accessible density regime. With divertor pumping and D2 pellet fueling, core neutral pressure is reduced and X-point MARFE formation is effectively eliminated. Injection of the largest-sized pellets does cause transient formation of divertor MARFEs which occasionally migrate to the X-point, but these are rapidly extinguished in pumped discharges in the time between pellets. In contrast to Greenwald et al., it is found that the density relaxation time after pellets is largely independent of the density relative to the Greenwald limit. Fourier analysis of Mirnov oscillations indicates the de-stabilization and growth of rotating, tearing-type modes (m/n= 2/1) when the injected pellets cause large density perturbations, and these modes often reduce energy confinement back to L-mode levels. We are examining the mechanisms for de

  8. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  9. Plasma amyloid levels within the Alzheimer's process and correlations with central biomarkers.

    Science.gov (United States)

    Hanon, Olivier; Vidal, Jean-Sébastien; Lehmann, Sylvain; Bombois, Stéphanie; Allinquant, Bernadette; Tréluyer, Jean-Marc; Gelé, Patrick; Delmaire, Christine; Blanc, Fredéric; Mangin, Jean-François; Buée, Luc; Touchon, Jacques; Hugon, Jacques; Vellas, Bruno; Galbrun, Evelyne; Benetos, Athanase; Berrut, Gilles; Paillaud, Elèna; Wallon, David; Castelnovo, Giovanni; Volpe-Gillot, Lisette; Paccalin, Marc; Robert, Philippe-Henri; Godefroy, Olivier; Dantoine, Thierry; Camus, Vincent; Belmin, Joël; Vandel, Pierre; Novella, Jean-Luc; Duron, Emmanuelle; Rigaud, Anne-Sophie; Schraen-Maschke, Suzanna; Gabelle, Audrey

    2018-02-17

    Diagnostic relevance of plasma amyloid β (Aβ) for Alzheimer's disease (AD) process yields conflicting results. The objective of the study was to assess plasma levels of Aβ 42 and Aβ 40 in amnestic mild cognitive impairment (MCI), nonamnestic MCI, and AD patients and to investigate relationships between peripheral and central biomarkers. One thousand forty participants (417 amnestic MCI, 122 nonamnestic MCI, and 501 AD) from the Biomarker of AmyLoïd pepTide and AlZheimer's diseAse Risk multicenter prospective study with cognition, plasma, cerebrospinal fluid (CSF), and magnetic resonance imaging assessments were included. Plasma Aβ 1-42 and Aβ 1-40 were lower in AD (36.9 [11.7] and 263 [80] pg/mL) than in amnestic MCI (38.2 [11.9] and 269 [68] pg/mL) than in nonamnestic MCI (39.7 [10.5] and 272 [52] pg/mL), respectively (P = .01 for overall difference between groups for Aβ 1-42 and P = .04 for Aβ 1-40 ). Globally, plasma Aβ 1-42 correlated with age, Mini-Mental State Examination, and APOE ε4 allele. Plasma Aβ 1-42 correlated with all CSF biomarkers in MCI but only with CSF Aβ 42 in AD. Plasma Aβ was associated with cognitive status and CSF biomarkers, suggesting the interest of plasma amyloid biomarkers for diagnosis purpose. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  10. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  11. Elementary Processes and Kinetic Modeling for Hydrogen and Helium Plasmas

    Directory of Open Access Journals (Sweden)

    Roberto Celiberto

    2017-05-01

    Full Text Available We report cross-sections and rate coefficients for excited states colliding with electrons, heavy particles and walls useful for the description of H 2 /He plasma kinetics under different conditions. In particular, the role of the rotational states in resonant vibrational excitations of the H 2 molecule by electron impact and the calculation of the related cross-sections are illustrated. The theoretical determination of the cross-section for the rovibrational energy exchange and dissociation of H 2 molecule, induced by He atom impact, by using the quasi-classical trajectory method is discussed. Recombination probabilities of H atoms on tungsten and graphite, relevant for the determination of the nascent vibrational distribution, are also presented. An example of a state-to-state plasma kinetic model for the description of shock waves operating in H 2 and He-H 2 mixtures is presented, emphasizing also the role of electronically-excited states in affecting the electron energy distribution function of free electrons. Finally, the thermodynamic properties and the electrical conductivity of non-ideal, high-density hydrogen plasma are finally discussed, in particular focusing on the pressure ionization phenomenon in high-pressure high-temperature plasmas.

  12. Determination of atractylon in rat plasma by a GC–MS method and its application to a pharmacokinetic study

    Directory of Open Access Journals (Sweden)

    Han Yan

    2015-10-01

    Full Text Available A sensitive and selective method based on gas chromatography hyphenated to mass spectrometry (GC–MS was developed and validated for the determination of atractylon in rat plasma. Plasma samples were processed by liquid–liquid extraction with ethyl acetate-n-hexane (1:1, v/v using acetophenone as an internal standard (IS. Analytes were determined in selective ion monitoring (SIM mode using target ions at m/z 108.1 for atractylon and m/z 105.1 for acetophenone. The calibration curve was linear over the concentration range of 10–1000 ng/mL with lower limit of quantification of 10 ng/mL. The intra- and inter-day precision variations were not more than 10.4% and 9.6%, respectively, whilst accuracy values ranged from −6.5% to 4.9%. Extraction recovery of the assay was satisfactory. This method was successfully applied to quantification and pharmacokinetic study of atractylon in rat plasma after intragastric administration of Atractylodis extract. Keywords: Atractylon, Atractylodis, Rat plasma, Pharmacokinetics, GC–MS

  13. Plasma boundary phenomena in tokamaks

    International Nuclear Information System (INIS)

    Stangeby, P.C.

    1989-06-01

    The focus of this review is on processes occurring at the edge, and on the connection between boundary plasma - the scrape-off layer (SOL) and the radiating layer - and central plasma processes. Techniques used for edge diagnosis are reviewed and basic experimental information (n e and T e ) is summarized. Simple models of the SOL are summarized, and the most important effects of the boundary plasma - the influence on the fuel particles, impurities, and energy - on tokamak operation dealt with. Methods of manipulating and controlling edge conditions in tokamaks and the experimental data base for the edge during auxiliary heating of tokamaks are reviewed. Fluctuations and asymmetries at the edge are also covered. (9 tabs., 134 figs., 879 refs.)

  14. Atomic and molecular processes in fusion plasmas

    International Nuclear Information System (INIS)

    Kato, Daiji; Nakamura, Nobuyuki

    2013-01-01

    One of important issues concerning steady state sustainment of magnetically confined plasmas (MCPs) is distribution of impurity ions in the MCPs and radiation powers by the ions. Since tungsten divertors will be used in ITER, the primary element of heavy impurity ions would be tungsten. Tungsten cannot be fully ionized even in core plasmas of ITER. Line radiations by bound electrons of the tungsten ions following electron impact excitations decrease temperatures of the core plasmas. Thus, it is required to keep tungsten concentration in the core plasmas as small as possible. (J.P.N.)

  15. Plasma processes inside dispenser hollow cathodes

    International Nuclear Information System (INIS)

    Mikellides, Ioannis G.; Katz, Ira; Goebel, Dan M.; Polk, James E.; Jameson, Kristina K.

    2006-01-01

    A two-dimensional fluid model of the plasma and neutral gas inside dispenser orificed hollow cathodes has been developed to quantify plasma processes that ultimately determine the life of the porous emitters inserted in these devices. The model self-consistently accounts for electron emission from the insert as well as for electron and ion flux losses from the plasma. Two cathodes, which are distinctively different in size and operating conditions, have been simulated numerically. It is found that the larger cathode, with outer tube diameter of 1.5 cm and orifice diameter of 0.3 cm, establishes an effective emission zone that spans approximately the full length of the emitter when operated at a discharge current of 25 A and a flow rate of 5.5 sccm. The net heating of the emitter is caused by ions that are produced by ionization of the neutral gas inside the tube and are then accelerated by the sheath along the emitter. The smaller cathode, with an outer diameter of 0.635 cm and an orifice diameter of 0.1 cm, does not exhibit the same operational characteristics. At a flow rate of 4.25 sccm and discharge current of 12 A, the smaller cathode requires 4.5 times the current density near the orifice and operates with more than 6 times the neutral particle density compared to the large cathode. As a result, the plasma particle density is almost one order of magnitude higher compared to the large cathode. The plasma density in this small cathode is high enough such that the Debye length is sufficiently small to allow 'sheath funneling' into the pores of the emitter. By accessing areas deeper into the insert material, it is postulated that the overall emission of electrons is significantly enhanced. The maximum emission current density is found to be about 1 A/mm 2 in the small cathode, which is about one order of magnitude higher than attained in the large cathode. The effective emission zone in the small cathode extends to about 15% of the emitter length only, and the

  16. Reuse of effluent from dyeing process of polyamide fibers modified by double barrier discharge (DBD) plasma

    OpenAIRE

    Oliveira, Fernando Ribeiro; Steffens, F.; Souto, A. Pedro; Zille, Andrea

    2016-01-01

    Published online: 27 Feb 2015 Low-temperature plasma technology becomes more and more attractive compared with traditional wet processes in textile preparation and finishing due to its high efficiency and low environmental impact. The objective of this study was to investigate the influence of dielectric barrier discharge plasma treatment on the trichromic dyeing process of polyamide 6.6 (PA66) and the reuse of the generated effluents for new dyeing processes. Chemical and physical charact...

  17. Superconducting properties of in situ powder-in-tube-processed MgB{sub 2} tapes fabricated with sub-micrometre Mg powder prepared by an arc-plasma method

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, H [Maglev System Development Division, Central Japan Railway Company, 1545-33, Ooyama, Komaki, Aichi 485-0801 (Japan); Uchiyama, N [Maglev System Development Division, Central Japan Railway Company, 1545-33, Ooyama, Komaki, Aichi 485-0801 (Japan); Matsumoto, A [Superconducting Materials Center, National Institute for Materials Science, 1-2-1, Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Kitaguchi, H [Superconducting Materials Center, National Institute for Materials Science, 1-2-1, Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Kumakura, H [Superconducting Materials Center, National Institute for Materials Science, 1-2-1, Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2007-01-15

    We fabricated in situ powder-in-tube-processed MgB{sub 2}/Fe tapes using sub-micrometre Mg powder prepared by applying an arc-plasma method. We found that the use of this sub-micrometre Mg powder was very effective in increasing the J{sub c} values. The transport J{sub c} value of 10 mol% SiC-added tapes fabricated with this sub-micrometre Mg powder reached 275 A mm{sup -2} at 4.2 K and 10 T. This value was about six times that of 5 mol% SiC-added tapes fabricated with commercial Mg powder. Microstructure analyses suggest that this J{sub c} enhancement is primarily due to the smaller MgB{sub 2} grain size.

  18. Effect of impurities on kinetic transport processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Braun, Stefanie

    2010-12-10

    Within the framework of this thesis, different problems arising in connection with impurities have been investigated. Collisional damping of zonal flows in tokamaks: Since the Coulomb collision frequency increases with increasing ion charge, heavy, highly charged impurities play an important role in this process. The effect of such impurities on the linear response of the plasma to an external potential perturbation, as caused by zonal flows, is calculated with analytical methods. In comparison with a pure plasma, the damping of the flows occurs, as expected, considerably faster; for experimentally relevant parameters, the enhancement exceeds the effective charge Z{sub eff} of the plasma. Impurity transport driven by microturbulence in tokamaks: With regard to impurities, it is especially important whether the resulting flows are directed inwards or outwards, since they are deleterious for core energy confinement on the one hand, but on the other hand help protecting plasma-facing components from too high energy fluxes in the edge region. A semi-analytical model is presented describing the resulting impurity fluxes and the stability boundary of the underlying mode. The main goal is to bridge the gap between, on the one hand, costly numerical simulations, which are applicable to a broad range of problems but yield scarcely traceable results, and, on the other hand, analytical theory, which might ease the interpretation of the results but is so far rather rudimentary. The model is based on analytical formulae whenever possible but resorts to a numerical treatment when the approximations necessary for an analytical solution would lead to a substantial distortion of the results. Both the direction of the impurity flux and the stability boundary are found to depend sensitively on the plasma parameters such as the impurity density and the temperature gradient. Pfirsch-Schlueter transport in stellarators: Due to geometry effects, collisional transport plays a much more

  19. A method and an electrode for excitation of a plasma

    International Nuclear Information System (INIS)

    Glejboel, K.

    1998-01-01

    The method for excitation of a plasma comprises the step of subjecting a gas to an electric field generated by an electrode system. Each of 3 to 30 electrodes are connected to one of three specified AC voltages. The frequency is preferably between 50 and 60 Hz. The invention also concerns an electrode system for carrying out the method. 3 figs

  20. Sequential plasma activation methods for hydrophilic direct bonding at sub-200 °C

    Science.gov (United States)

    He, Ran; Yamauchi, Akira; Suga, Tadatomo

    2018-02-01

    We present our newly developed sequential plasma activation methods for hydrophilic direct bonding of silica glasses and thermally grown SiO2 films. N2 plasma was employed to introduce a metastable oxynitride layer on wafer surfaces for the improvement of bond energy. By using either O2-plasma/N2-plasma/N-radical or N2-plasma/N-radical sequential activation, the quartz-quartz bond energy was increased from 2.7 J/m2 to close to the quartz bulk fracture energy that was estimated to be around 9.0 J/m2 after post-bonding annealing at 200 °C. The silicon bulklike bond energy between thermal SiO2 films was also obtained. We suggest that the improvement is attributable to surface modification such as N-related defect formation and asperity softening by the N2 plasma surface treatment.