WorldWideScience

Sample records for plasma processing device

  1. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  2. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  3. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  4. Plasma shutdown device

    International Nuclear Information System (INIS)

    Hosogane, Nobuyuki; Nakayama, Takahide.

    1985-01-01

    Purpose: To prevent concentration of plasma currents to the plasma center upon plasma shutdown in a torus type thermonuclear device by the injection of fuels to the plasma center thereby prevent plasma disruption at the plasma center. Constitution: The plasma shutdown device comprises a plasma current measuring device that measures the current distribution of plasmas confined within a vacuum vessel and outputs a control signal for cooling the plasma center when the plasma currents concentrate to the plasma center and a fuel supply device that supplies fuels to the plasma center for cooling the center. The fuels are injected in the form of pellets into the plasmas. The direction and the velocity of the injection are set such that the pellets are ionized at the center of the plasmas. (Horiuchi, T.)

  5. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  6. PLASMA DEVICE

    Science.gov (United States)

    Gow, J.D.; Wilcox, J.M.

    1961-12-26

    A device is designed for producing and confining highenergy plasma from which neutrons are generated in copious quantities. A rotating sheath of electrons is established in a radial electric field and axial magnetic field produced within the device. The electron sheath serves as a strong ionizing medium to gas introdueed thereto and also functions as an extremely effective heating mechanism to the resulting plasma. In addition, improved confinement of the plasma is obtained by ring magnetic mirror fields produced at the ends of the device. Such ring mirror fields are defined by the magnetic field lines at the ends of the device diverging radially outward from the axis of the device and thereafter converging at spatial annular surfaces disposed concentrically thereabout. (AFC)

  7. Modelling of new generation plasma optical devices

    Directory of Open Access Journals (Sweden)

    Litovko Irina V.

    2016-06-01

    Full Text Available The paper presents new generation plasma optical devices based on the electrostatic plasma lens configuration that opens a novel attractive possibility for effective high-tech practical applications. Original approaches to use of plasma accelerators with closed electron drift and open walls for the creation of a cost-effective low-maintenance plasma lens with positive space charge and possible application for low-cost, low-energy rocket engine are described. The preliminary experimental, theoretical and simulation results are presented. It is noted that the presented plasma devices are attractive for many different applications in the state-of-the-art vacuum-plasma processing.

  8. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  9. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  10. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  11. Plasma devices for hydrocarbon reformation

    KAUST Repository

    Cha, Min Suk

    2017-02-16

    Plasma devices for hydrocarbon reformation are provided. Methods of using the devices for hydrocarbon reformation are also provided. The devices can include a liquid container to receive a hydrocarbon source, and a plasma torch configured to be submerged in the liquid. The plasma plume from the plasma torch can cause reformation of the hydrocarbon. The device can use a variety of plasma torches that can be arranged in a variety of positions in the liquid container. The devices can be used for the reformation of gaseous hydrocarbons and/or liquid hydrocarbons. The reformation can produce methane, lower hydrocarbons, higher hydrocarbons, hydrogen gas, water, carbon dioxide, carbon monoxide, or a combination thereof.

  12. High beta plasma operation in a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Clarke, J.F.

    1978-01-01

    A high beta plasma is produced in a plasma producing device of toroidal configuration by ohmic heating and auxiliary heating. The plasma pressure is continuously monitored and used in a control system to program the current in the poloidal field windings. Throughout the heating process, magnetic flux is conserved inside the plasma and the distortion of the flux surfaces drives a current in the plasma. As a consequence, the total current increases and the poloidal field windings are driven with an equal and opposing increasing current. The spatial distribution of the current in the poloidal field windings is determined by the plasma pressure. Plasma equilibrium is maintained thereby, and high temperature, high beta operation results

  13. Plasma devices for hydrocarbon reformation

    KAUST Repository

    Cha, Min

    2017-01-01

    Plasma devices for hydrocarbon reformation are provided. Methods of using the devices for hydrocarbon reformation are also provided. The devices can include a liquid container to receive a hydrocarbon source, and a plasma torch configured

  14. Physics and technology of large plasma focus devices

    International Nuclear Information System (INIS)

    Herold, H.

    1990-01-01

    This paper reports on the plasma focus (PF) which produces a high temperature (0,5 to 1 keV), high density (5 · 10 18 cm -3 ), short living (up to 500 ns) fusion plasma in a very simple and cheap device. In the focus plasma, fusion processes take place with an energy efficiency which is not surpassed even by large Tokamak or Inertial Confinement Fusion devices. But this fusion efficiency and the high fusion neutron yield are not the only impetus to PF research. Due to the high energy density in the focus plasma (j ≥ 10 6 A/cm 2 exclamation point), many very interesting, mostly nonlinear phenomena take place which led to high intensity electron, ion and radiation emission. Micro- and macro instabilities, turbulence and selforganization processes develop. Most of these phenomena are not or only poorly understood

  15. Engineering design of plasma generation devices using Elmer finite element simulation methods

    Directory of Open Access Journals (Sweden)

    Daniel Bondarenko

    2017-02-01

    Full Text Available Plasma generation devices are important technology for many engineering disciplines. The process for acquiring experience for designing plasma devices requires practice, time, and the right tools. The practice and time depend on the individual and the access to the right tools can be a limiting factor to achieve experience and to get an idea on the possible risks. The use of Elmer finite element method (FEM software for verifying plasma engineering design is presented as an accessible tool that can help modeling multi-physics and verifying plasma generation devices. Furthermore, Elmer FEM will be suitable for experienced engineer and can be used for determining the risks in a design or a process that use plasma. A physical experiment was conducted to demonstrate new features of plasma generation technology where results are compared with plasma simulation using Elmer FEM.

  16. Plasma edge and plasma-wall interaction modelling: Lessons learned from metallic devices

    Directory of Open Access Journals (Sweden)

    S. Wiesen

    2017-08-01

    Full Text Available Robust power exhaust schemes employing impurity seeding are needed for target operational scenarios in present day tokamak devices with metallic plasma-facing components (PFCs. For an electricity-producing fusion power plant at power density Psep/R>15MW/m divertor detachment is a requirement for heat load mitigation. 2D plasma edge transport codes like the SOLPS code as well as plasma-wall interaction (PWI codes are key to disentangle relevant physical processes in power and particle exhaust. With increased quantitative credibility in such codes more realistic and physically sound estimates of the life-time expectations and performance of metallic PFCs can be accomplished for divertor conditions relevant for ITER and DEMO. An overview is given on the recent progress of plasma edge and PWI modelling activities for (carbon-free metallic devices, that include results from JET with the ITER-like wall, ASDEX Upgrade and Alcator C-mod. It is observed that metallic devices offer an opportunity to progress the understanding of underlying plasma physics processes in the edge. The validation of models can be substantially improved by eliminating carbon from the experiment as well as from the numerical system with reduced degrees of freedom as no chemical sputtering from amorphous carbon layers and no carbon or hydro-carbon transport are present. With the absence of carbon as the primary plasma impurity and given the fact that the physics of the PWI at metallic walls is less complex it is possible to isolate the crucial plasma physics processes relevant for particle and power exhaust. For a reliable 2D dissipative plasma exhaust model these are: cross-field drifts, complete kinetic neutral physics, geometry effects (including main-chamber, divertor and sub-divertor structures, SOL transport reflecting also the non-diffusive nature of anomalous transport, as well as transport within the pedestal region in case of significant edge impurity radiation

  17. Application of plasma focus device to compression of toroidal plasma

    International Nuclear Information System (INIS)

    Ikuta, Kazunari

    1980-01-01

    A new concept of compressing a toroidal plasma using a plasma focus device is considered. Maximum compression ratio of toroidal plasma is determined merely by the initial density ratio of the toroidal plasma to a sheet plasma in a focus device because of the Rayleigh-Taylor instability. An initiation senario of plasma-linear is also proposed with a possible application of this concepts to the creation of a burning plasma in reversed field configurations, i.e., burning plasma vortex. (author)

  18. Plasma control device

    International Nuclear Information System (INIS)

    Matsutomi, Akiyoshi.

    1995-01-01

    Plasma position and shape estimation values are outputted based on measured values of coil current. When the measured values of the position and the shape are judged to be abnormal, position and shape estimation values estimated by a plasma position and shape estimation means are outputted as position and shape feed back values to a plasma position and shape control means instead of the position and shape measured values. Since only a portion of the abnormal position and shape measured values may also be replaced with the position and shape estimation values, errors in the plasma position and shape feed back values can be reduced as a whole. In addition, even if the position and shape measured values are abnormal or if they can not be measured, plasma control can be continued by alternative position and shape estimation values, thereby enabling to avoid interruption of plasma control. Since the position and shape estimation values are obtained not only with the measured values of coil current but also with the position and shape estimation values, the accuracy is improved. Further, noises superposed on the position and shape measured values are filtered, and the device is stabilized compared with a prior art device. (N.H.)

  19. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  20. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  1. Analysis of plasma behavior and electro-magnetic interaction between plasma and device

    International Nuclear Information System (INIS)

    Kobayashi, Tomofumi

    1980-01-01

    A simulation program for the analysis of plasma behavior and the electromagnetic interaction between plasma and device has been developed. The program consists of a part for the analysis of plasma behavior (plasma system) and a part for the analysis of the electro-magnetic interaction between plasma and devices (circuit system). The parameters which connect the plasma system and the circuit system are the electric resistance of plasma, the internal inductance, and the plasma current. For the plasma system, the simultaneous equations which describe the density distribution of plasma particles, the temperature distribution of electrons and ions, and the space-time variation of current density distribution were derived. The one-dimensional plasma column in γ-direction was considered. The electric resistance and the internal inductance can be deduced. The circuit components are a current transformer, a vertical field coil, a quadrupole field coil, a vacuum chamber and others. An equation which describes plasma position and the shape of cross section is introduced. The plasma position can be known by solving the Mukhavatov's formula of equilibrium. By using this program, the build-up process of plasma current in JT-60 was analysed. It was found that the expansion of plasma sub radius and the control of current distribution by gas injection are the effective methods to obtain high temperature and high density plasma. The eddy current induced in a vacuum vessel shields 40 percent of magnetic field made in the plasma region by a vertical field coil. (Kato, T.)

  2. Advanced 65 nm CMOS devices fabricated using ultra-low energy plasma doping

    International Nuclear Information System (INIS)

    Walther, S.; Lenoble, D.; Lallement, F.; Grouillet, A.; Erokhin, Y.; Singh, V.; Testoni, A.

    2005-01-01

    For leading edge CMOS and DRAM technologies, plasma doping (PLAD) offers several unique advantages over conventional beamline implantation. For ultra-low energy source and drain extensions (SDE), source drain contact and high dose poly doping implants PLAD delivers 2-5x higher throughput compared to beamline implanters. In this work we demonstrate process performance and process integration benefits enabled by plasma doping for advanced 65 nm CMOS devices. Specifically, p + /n ultra-shallow junctions formed with BF 3 plasma doping have superior X j /R s characteristics to beamline implants and yield up to 30% lower R s for 20 nm X j while using standard spike anneal with ramp-up rate of 75 deg. C/s. These results indicate that PLAD could extend applicability of standard spike anneal by at least one technology node past 65 nm. A CMOS split lot has been run to investigate process integration advantages unique to plasma doping and to determine CMOS device characteristics. Device data measured on 65 nm transistors fabricated with offset spacers indicate that devices with SDE formed by plasma doping have superior V t roll-off characteristics arguably due to improved lateral gate-overlap of PLAD SDE junctions. Furthermore, offset spacers could be eliminated in 65 nm devices with PLAD SDE implants while still achieving V t roll-off and I on -I off performance at least equivalent to control devices with offset spacers and SDE formed by beamline implantation. Thus, another advantage of PLAD is simplified 65 nm CMOS manufacturing process flow due to elimination of offset spacers. Finally, we present process transfer from beamline implants to PLAD for several applications, including SDE and gate poly doping with very high productivity

  3. Role of thermo-physical properties on design and development of thermal plasma devices

    International Nuclear Information System (INIS)

    Ghorui, S.

    2014-01-01

    Thermal plasma devices find wide application in variety of technological areas like cutting, welding, spray coating, waste management, material processing, chemical reduction, nano-synthesis, novel material synthesis etc. Highly non-linear behavior of the plasma properties coupled with inherent instabilities, extremely high temperature, high gradients in thermal, and flow field, presence of thermal and chemical non-equilibrium make design and development of the plasma generating devices a challenging task as power levels of the devices increase

  4. Plasma facing device of thermonuclear device

    International Nuclear Information System (INIS)

    Sumita, Hideo; Ioki, Kimihiro.

    1993-01-01

    The present invention improves integrity of thermal structures of a plasma facing device. That is, in the plasma facing device, an armour block portion from a metal cooling pipe to a carbon material comprises a mixed material of the metal as the constituent material of the cooling pipe and ceramics. Then, the mixing ratio of the composition is changed continuously or stepwise to suppress peakings of remaining stresses upon production and thermal stresses upon exertion of thermal loads. Accordingly, thermal integrity of the structural materials can further be improved. In this case, a satisfactory characteristic can be obtained also by using ceramics instead of carbon for the mixed material, and the characteristic such as heat expansion coefficient is similar to that of the armour tile. (I.S.)

  5. dc-plasma-sprayed electronic-tube device

    Science.gov (United States)

    Meek, T.T.

    1982-01-29

    An electronic tube and associated circuitry which is produced by dc plasma arc spraying techniques is described. The process is carried out in a single step automated process whereby both active and passive devices are produced at very low cost. The circuitry is extremely reliable and is capable of functioning in both high radiation and high temperature environments. The size of the electronic tubes produced are more than an order of magnitude smaller than conventional electronic tubes.

  6. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  7. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  8. Contribution of the different erosion processes to material release from the vessel walls of fusion devices during plasma operation

    International Nuclear Information System (INIS)

    Behrisch, R.

    2002-01-01

    In high temperature plasma experiments several processes contribute to erosion and loss of material from the vessel walls. This material may enter the plasma edge and the central plasma where it acts as impurities. It will finally be re-deposited at other wall areas. These erosion processes are: evaporation due to heating of wall areas. At very high power deposition evaporation may become very large, which has been named ''blooming''. Large evaporation and melting at some areas of the vessel wall surface may occur during heat pulses, as observed in plasma devices during plasma disruptions. At tips on the vessel walls and/or hot spots on the plasma exposed solid surfaces electrical arcs between the plasma and the vessel wall may ignite. They cause the release of ions, atoms and small metal droplets, or of carbon dust particles. Finally, atoms from the vessel walls are removed by physical and chemical sputtering caused by the bombardment of the vessel walls with ions as well as energetic neutral hydrogen atoms from the boundary plasma. All these processes have been, and are, observed in today's plasma experiments. Evaporation can in principle be controlled by very effective cooling of the wall tiles, arcing is reduced by very stable plasma operation, and sputtering by ions can be reduced by operating with a cold plasma in front of the vessel walls. However, sputtering by energetic neutrals, which impinge on all areas of the vessel walls, is likely to be the most critical process because ions lost from the plasma recycle as neutrals or have to be refuelled by neutrals leading to the charge exchange processes in the plasma. In order to quantify the wall erosion, ''materials factors'' (MF) have been introduced in the following for the different erosion processes. (orig.)

  9. The HelCat basic plasma science device

    Science.gov (United States)

    Gilmore, M.; Lynn, A. G.; Desjardins, T. R.; Zhang, Y.; Watts, C.; Hsu, S. C.; Betts, S.; Kelly, R.; Schamiloglu, E.

    2015-01-01

    The Helicon-Cathode(HelCat) device is a medium-size linear experiment suitable for a wide range of basic plasma science experiments in areas such as electrostatic turbulence and transport, magnetic relaxation, and high power microwave (HPM)-plasma interactions. The HelCat device is based on dual plasma sources located at opposite ends of the 4 m long vacuum chamber - an RF helicon source at one end and a thermionic cathode at the other. Thirteen coils provide an axial magnetic field B >= 0.220 T that can be configured individually to give various magnetic configurations (e.g. solenoid, mirror, cusp). Additional plasma sources, such as a compact coaxial plasma gun, are also utilized in some experiments, and can be located either along the chamber for perpendicular (to the background magnetic field) plasma injection, or at one of the ends for parallel injection. Using the multiple plasma sources, a wide range of plasma parameters can be obtained. Here, the HelCat device is described in detail and some examples of results from previous and ongoing experiments are given. Additionally, examples of planned experiments and device modifications are also discussed.

  10. Microwave produced plasma in a Toroidal Device

    Science.gov (United States)

    Singh, A. K.; Edwards, W. F.; Held, E. D.

    2010-11-01

    A currentless toroidal plasma device exhibits a large range of interesting basic plasma physics phenomena. Such a device is not in equilibrium in a strict magneto hydrodynamic sense. There are many sources of free energy in the form of gradients in plasma density, temperature, the background magnetic field and the curvature of the magnetic field. These free energy sources excite waves and instabilities which have been the focus of studies in several devices in last two decades. A full understanding of these simple plasmas is far from complete. At Utah State University we have recently designed and installed a microwave plasma generation system on a small tokamak borrowed from the University of Saskatchewan, Saskatoon, Canada. Microwaves are generated at 2.45 GHz in a pulsed dc mode using a magnetron from a commercial kitchen microwave oven. The device is equipped with horizontal and vertical magnetic fields and a transformer to impose a toroidal electric field for current drive. Plasmas can be obtained over a wide range of pressure with and without magnetic fields. We present some preliminary measurements of plasma density and potential profiles. Measurements of plasma temperature at different operating conditions are also presented.

  11. Thin low Z coatings for plasma devices

    International Nuclear Information System (INIS)

    Norem, J.; Bowers, D.A.

    1978-05-01

    Coating the walls of the vacuum chamber with beryllium or some other low Z material has been proposed as a possible means of solving the problems of high Z influx into plasmas. We attempt to demonstrate that very thin, low Z coatings are compatible with the operation of plasma devices and beneficial to plasma performance. We determine that the thickness of coating material required is only about 10 monolayers. In a radiation environment, radiation-induced solute segregation should help to maintain the integrity of such thin coatings against diffusion and other processes. We discuss the properties of these thin coatings and possible means of in situ application and maintenance. Since deposition of plasma impurities on the walls will occur anyway, we discuss injection of solid pellets into the plasma as a direct way of introducing impurities which would ultimately serve as coating material

  12. Microcavity Plasma Devices and Arrays Fabricated in Semiconductor, Ceramic, or Metal/polymer Structures: A New Realm of Plasma Physics and Photonics Applications

    International Nuclear Information System (INIS)

    Eden, J. G.

    2005-01-01

    Micro discharge, or microcavity plasma, is the broad term that has come to be associated with an emerging class of glow discharge devices in which the characteristic spatial dimension of the plasma is nominally ) dia. Si wafers and operated in the rare gases and Ar/N2 gas mixtures. Also, photodetection in the ultraviolet, visible and near-infrared with microplasma devices has been observed by interfacing a low temperature plasma with a semiconductor. Carbon nanotubes grown directly within the microcavity of microplasma devices improve all key performance parameters of the device, and nanoporous Al2O3 grown onto Al by wet chemical processing yields microplasma devices of exceptional stability and lifetime. The opportunities such structures offer for accessing new avenues in plasma physics and photonics will be discussed. (Author)

  13. Characterisation of a micro-plasma device sensor using electrical measurements and emission spectroscopy

    International Nuclear Information System (INIS)

    Mariotti, D.

    2002-04-01

    This thesis reports on research undertaken on the characterisation of a micro-plasma device to be used for gas analysis by mean of plasma emission spectroscopy. The work covers aspects related to the micro-plasma electrical and optical emission parameters, and their importance for the utilisation of the micro-plasma device in gas analysis. Experimental results have been used to analyse the fundamental micro-plasma processes and to develop a model, which could provide additional information. This dissertation contains a general literature review of topics related to plasma physics, plasma emission spectroscopy, gas analysis (chemical analysis and artificial olfaction) and other micro-plasma applications. Experimental work focuses on two main areas: electrical measurements and emission measurements. Firstly, electrical measurements are taken and interpretations are given. Where necessary, new theoretical treatments are suggested in order to describe better the physical phenomena. Plasma emission has been considered under different working conditions. This allowed the characterisation of the micro-plasma emission and also a better understanding of the micro-plasma processes. On the basis of the experimental data obtained and other assumptions a model has been developed. A computer simulation based on this model provided additional useful information on the micro- plasma behaviour. The first fundamental implication of this new research is the peculiar behaviour of the micro-plasma. This micro-plasma exhibited deviations from Paschen law and strong dependency on cathode material, which contributed to the formation of a low current stable regime. These results have been followed by physical interpretations and theoretical descriptions. The second implication is the establishment of the boundaries and of the influencing parameters for plasma emission spectroscopy as an analytical tool in this particular micro-plasma. From the applied perspective this study has shown that

  14. Flaw detection device for plasma facing wall in thermonuclear device

    International Nuclear Information System (INIS)

    Doi, Akira.

    1996-01-01

    The present invention concerns plasma facing walls of a thermonuclear device and provides a device for detecting a thickness of amour tiles accurately and efficiently with no manual operation. Namely, the position of the plasma facing surface of the amour tile is measured using a structure to which the amour tiles are to be disposed as a reference. Also in a case of disposing new armor tiles, the position of the plasma facing surface of the armor tiles is measured to thereby measure the wearing amount of the amour tiles based on the difference between the reference and the measured value. If a measuring means capable of measuring a plurality of amour tiles at once is used efficiency of the measurement and the detection can be enhanced. Several ten thousands of amour tiles are disposed to the plasma facing wall in a large scaled thermonuclear device, and a plenty of time was required for the detection. However, the present invention can improve the accuracy for the measurement and detection and provide time and labors-saving. (I.S.)

  15. Dusty plasma phase in a steady state plasma device

    International Nuclear Information System (INIS)

    Liang Xiaoping; Zheng Jian; Ma Jinxiu; Liu Wangdong; Zhuang Ge; Xie Jinlin; Wang Congrong; Yu Changxuan

    2000-01-01

    A DC discharge dusty plasma device used for study of waves in dusty plasma is introduced. A dusty plasma column is produced with about 30 cm in length and about 8.4 cm in diameter. The electron saturation current of Langmuir probe is obviously decreasing while the dust grains are present in the plasma. The negative charge on dust grains is directly proportional to the rotation rate of the dispenser. And the dust grains carry up to 40% of the negative charges in the whole plasma

  16. On the spatial behavior of background plasma in different background pressure in CPS device

    International Nuclear Information System (INIS)

    Samantaray, Subrata; Paikaray, Rita; Sahoo, Gourishankar; Das, Parthasarathi; Ghosh, Joydeep; Sanyasi, Amulya Kumar

    2015-01-01

    Blob formation and transport is a major concern for investigators as it greatly reduces the efficiency of the devices. Initial results from CPS device confirm the role of fast neutrals inside the bulk plasma in the process of blob formation and transport. 2-D simulation of curvature and velocity shear instability in plasma structures suggest that in the presence of background plasma, secondary instability do not grow non-linearly to a high level and stabilizes the flow. Adiabaticity effect also creates a radial barrier for interchange modes. In the absence of background plasma the blob fragments even at the modest level of viscosity. The fast neutrals outside bulk plasma supposed to stabilize the system. The background plasma set up is aimed at creating fast neutrals outside main plasma column, hence; the background plasma set up is done in CPS device. The spatial behavior of plasma column in between electrodes is different for different base pressure in CPS device. The spatial variation of electron temperature of plasma column between electrodes is presented in this communication. Electron temperature is measured from emission spectroscopy data. The maximum electron temperature (line averaged) is ∼ 1.5 eV. (author)

  17. Global numerical modeling of magnetized plasma in a linear device

    DEFF Research Database (Denmark)

    Magnussen, Michael Løiten

    Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion dev...... with simulations performed at different ionization levels, using a simple model for plasma interaction with neutrals. It is found that the steady state and the saturated state of the system bifurcates when the neutral interaction dominates the electron-ion collisions.......Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion...... devices, and are easier to diagnose due to lower temperatures and a better access to the plasma. In order to gain greater insight into this complex turbulent behavior, numerical simulations of plasma in a linear device are performed in this thesis. Here, a three-dimensional drift-fluid model is derived...

  18. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  19. The pyrolytic-plasma method and the device for the utilization of hazardous waste containing organic compounds

    Energy Technology Data Exchange (ETDEWEB)

    Opalińska, Teresa [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Wnęk, Bartłomiej, E-mail: bartlomiej.wnek@itr.org.pl [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Witowski, Artur; Juszczuk, Rafał; Majdak, Małgorzata [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Bartusek, Stanilav [VŠB—Technical University of Ostrava, 17. listopadu 15/2172, 708 33 Ostrava − Poruba Czech Republic (Czech Republic)

    2016-11-15

    Highlights: • A first stage of the process of waste utilization consisted in pyrolysis of waste. • Then the pyrolytic gas was oxidized with a use of non-equilibrium plasma. • The device for the process implementation was built and characterized. • Correctness of the device operation was proven with a use of the decomposition of PE. • Usefulness of the method was proven in the process of utilization of EW. - Abstract: This paper is focused on the new method of waste processing. The waste, including hazardous waste, contain organic compounds. The method consists in two main processes: the pyrolysis of waste and the oxidation of the pyrolytic gas with a use of non-equilibrium plasma. The practical implementation of the method requires the design, construction and testing of the new device in large laboratory scale. The experiments were carried out for the two kinds of waste: polyethylene as a model waste and the electronic waste as a real waste. The process of polyethylene decomposition showed that the operation of the device is correct because 99.74% of carbon moles contained in the PE samples was detected in the gas after the process. Thus, the PE samples practically were pyrolyzed completely to hydrocarbons, which were completely oxidized in the plasma reactor. It turned out that the device is useful for decomposition of the electronic waste. The conditions in the plasma reactor during the oxidation process of the pyrolysis products did not promote the formation of PCDD/Fs despite the presence of the oxidizing conditions. An important parameter determining the efficiency of the oxidation of the pyrolysis products is gas temperature in the plasma reactor.

  20. Method of controlling plasma discharge in a thermonuclear device

    International Nuclear Information System (INIS)

    Kawasaki, Kozo; Ishida, Takayuki; Takemaru, Koichi; Kawasaki, Takahide.

    1982-01-01

    Purpose: To prolong the plasma discharging period by previously increasing the temperature at the thick portion of a vacuum container prior to the plasma discharge to thereby decrease the temperature difference caused by the plasma discharge between the thick portion and the bellows. Method: Temperature values at the outer surface of the thick portion and the bellows of a vacuum container detected by temperature sensors are applied to the input processing section of a temperature control device, and baking control is carried out by way of the output processing section so that each of the portions of the vacuum container may be maintained at the temperature set by the temperature setting section based on the calculation performed in the control processing section. By previously increasing the temperature β at the thick portion higher by about 100 0 C than the temperature α for the bellows in the baking treatment prior to the plasma discharge, the plasma discharge period during which the temperature levels at both of the portions are reversed after the plasma discharge and the temperature difference arrives at a predetermined level i.g., of 100 0 C can significantly be prolonged as compared with the case where the plasma discharge is started at the same temperature for both of the portions. (Yoshino, Y.)

  1. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  2. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  3. Intrinsic suppression of turbulence in linear plasma devices

    Science.gov (United States)

    Leddy, J.; Dudson, B.

    2017-12-01

    Plasma turbulence is the dominant transport mechanism for heat and particles in magnetised plasmas in linear devices and tokamaks, so the study of turbulence is important in limiting and controlling this transport. Linear devices provide an axial magnetic field that serves to confine a plasma in cylindrical geometry as it travels along the magnetic field from the source to the strike point. Due to perpendicular transport, the plasma density and temperature have a roughly Gaussian radial profile with gradients that drive instabilities, such as resistive drift-waves and Kelvin-Helmholtz. If unstable, these instabilities cause perturbations to grow resulting in saturated turbulence, increasing the cross-field transport of heat and particles. When the plasma emerges from the source, there is a time, {τ }\\parallel , that describes the lifetime of the plasma based on parallel velocity and length of the device. As the plasma moves down the device, it also moves azimuthally according to E × B and diamagnetic velocities. There is a balance point in these parallel and perpendicular times that sets the stabilisation threshold. We simulate plasmas with a variety of parallel lengths and magnetic fields to vary the parallel and perpendicular lifetimes, respectively, and find that there is a clear correlation between the saturated RMS density perturbation level and the balance between these lifetimes. The threshold of marginal stability is seen to exist where {τ }\\parallel ≈ 11{τ }\\perp . This is also associated with the product {τ }\\parallel {γ }* , where {γ }* is the drift-wave linear growth rate, indicating that the instability must exist for roughly 100 times the growth time for the instability to enter the nonlinear growth phase. We explore the root of this correlation and the implications for linear device design.

  4. Experimental study of membrane pump for plasma devices

    International Nuclear Information System (INIS)

    Suzuki, Hajime; Ohyabu, Nobuyoshi; Nakamura, Yukio; Sagara, Akio; Motojima, Osamu; Livshits, A.; Notkin, M.; Busnyuk, A.; Komatsu, Kazuyuki

    1998-01-01

    Recycling control is a key to improve fusion plasma performance. The membrane pump has potential advantages for hydrogen pumping in fusion devices. However, there are unsolved issues for using membrane pump in LHD (Large Helical Device). The first issue is characteristics of the membrane pump under high incident hydrogen atom flux. The second issue is relationship between the surface condition and the pumping efficiency. Impurities from plasma may change the surface condition of the membrane. In order to solve these issues, a membrane pump system was fabricated and installed in a linear plasma device at NIFS (National Institute for Fusion Science). The membrane pump was successfully operated. (author)

  5. Matching of dense plasma focus devices with fission reactors

    International Nuclear Information System (INIS)

    Harms, A.A.; Heindler, M.

    1978-01-01

    The potential role of dense plasma focus devices as compact neutron sources for fissile fuel breeding in conjunction with existing fission reactors is considered. It is found that advanced plasma focus devices can be used effectively in conjunction with neutronically efficient fission reactors to constitute ''self-sufficient'' breeders. Correlations among the various parameters such as the power output and conversion ratio of the fission reactor with the neutron yield and capacitor bank energy of the dense plasma focus device are presented and discussed

  6. Parametric studies in a small plasma focus device

    International Nuclear Information System (INIS)

    Chuaqui, H.; Favre, M.; Silva, P.; Wyndham, E.

    1996-01-01

    Very high temperature and density plasmas can be produced in modest size plasma focus devices at the kJ level. Much of the scaling parameters on the plasma focus have been evaluated, though many questions still remain. The modest cost and simple construction allows easy modification to the device and the discharge parameters. In this paper the authors report on a small plasma focus device, which is set-up to investigate the effect of some of those modifications on the plasma, with detailed experimental diagnostics. Experiments have been carried out in various gases and with mixtures of different ratios. Extended operating range from below 0.5 torr upwards has been achieved with the implementation of the auxiliary discharge circuit. Despite the low voltage and low energy operation, energetic beam formation has been observed at the time of the final compression, prior to disruption. Current sheath formation and evolution has been characterized using the magnetic probes array, in correlation with beam formation and plasma emission. The relationship of the current sheath structure and that of the pinched plasma, as shown by the filtered X-ray pinhole camera, has been investigated

  7. Elmo bumpy square plasma confinement device

    Science.gov (United States)

    Owen, L.W.

    1985-01-01

    The invention is an Elmo bumpy type plasma confinement device having a polygonal configuration of closed magnet field lines for improved plasma confinement. In the preferred embodiment, the device is of a square configuration which is referred to as an Elmo bumpy square (EBS). The EBS is formed by four linear magnetic mirror sections each comprising a plurality of axisymmetric assemblies connected in series and linked by 90/sup 0/ sections of a high magnetic field toroidal solenoid type field generating coils. These coils provide corner confinement with a minimum of radial dispersion of the confined plasma to minimize the detrimental effects of the toroidal curvature of the magnetic field. Each corner is formed by a plurality of circular or elliptical coils aligned about the corner radius to provide maximum continuity in the closing of the magnetic field lines about the square configuration confining the plasma within a vacuum vessel located within the various coils forming the square configuration confinement geometry.

  8. Origin of fluctuations in atmospheric pressure arc plasma devices

    International Nuclear Information System (INIS)

    Ghorui, S.; Das, A.K.

    2004-01-01

    Fluctuations in arc plasma devices are extremely important for any technological application in thermal plasma. The origin of such fluctuations remains unexplained. This paper presents a theory for observed fluctuations in atmospheric pressure arc plasma devices. A qualitative explanation for observed behavior on atmospheric pressure arc plasma fluctuations, reported in the literature, can be obtained from the theory. The potential of the theory is demonstrated through comparison of theoretical predictions with reported experimental observations

  9. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min Suk

    2017-08-10

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode submerged in the liquid to produce the plasma. A variety of devices are provided, including for continuous operation. The devices and methods can be used to generate a plasma in a variety of liquids, for example for water treatment, hydrocarbon reformation, or synthesis of nanomaterial.

  10. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  11. Compression Models for Plasma Focus Devices

    International Nuclear Information System (INIS)

    Gonzalez, Jose; Calusse, Alejandro; Ramos, Ruben; Rodriguez Palomino, Luis

    2003-01-01

    Using a numerical model that calculates the dynamics of Plasma Focus devices, we compared the results of three different compression models of the plasma pinch.One of the main objectives in this area is to develop a simplified model to calculate the neutron production of Plasma Focus devices, to study the influence of the main parameters in this neutron yield.The dynamics is thoroughly studied, and the model predicts fairly well values such as maximum currents and times for pinch collapse.Therefore, we evaluate here different models of pinch compression, to try to predict the neutron production with good agreement with the rest of the variables involved.To fulfill this requirement, we have experimental results of neutron production as a function of deuterium filling pressure in the chamber, and typical values of other main variables in the dynamics of the current sheet

  12. Experimental validation of models for Plasma Focus devices

    International Nuclear Information System (INIS)

    Rodriguez Palomino, Luis; Gonzalez, Jose; Clausse, Alejandro

    2003-01-01

    Plasma Focus(PF) Devices are thermonuclear pulsators that produce short pulsed radiation (X-ray, charged particles and neutrons). Since Filippov and Mather, investigations have been used to study plasma properties. Nowadays the interest about PF is focused in technology applications, related to the use of these devices as pulsed neutron sources. In the numerical calculus the Inter institutional PLADEMA (PLAsmas DEnsos MAgnetizados) network is developing three models. Each one is useful in different engineering stages of the Plasma Focus design. One of the main objectives in this work is a comparative study on the influence of the different parameters involved in each models. To validate these results, several experimental measurements under different geometry and initial conditions were performed. (author)

  13. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  14. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  15. A new linear plasma device for the study of plasma waves in the electron magnetohydrodynamics regime

    Science.gov (United States)

    Joshi, Garima; Ravi, G.; Mukherjee, S.

    2018-06-01

    A new, user-friendly, linear plasma device has been developed in our laboratory where a quiescent (Δ n/n ≈ 1%), low temperature (1-10 eV), pulsed (3-10 ms) plasma can be produced over a large uniform region of 30-40 cm diameter and 40 cm length. Salient features of the device include the flexibility of tuning the plasma density in the range of 10^{10} to 10^{12} cm^{-3} and capability of scanning the plasma and field parameters in two dimensions with a precision of electromagnetic field parameters by miniature magnetic probes and Rogowski coils. The plasma produced is uniform and essentially unbounded for performing experiments on waves and turbulence. The whole device can be operated single-handedly by undergraduate or graduate students. The device can be opened, serviced, new antennas/probes installed and ready for operation in a matter of hours. Some results on the excitation of electromagnetic structures in the context of electron magnetohydrodynamics (EMHD) are also presented to demonstrate the suitability of the device for carrying out such experiments.

  16. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  17. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  18. Divertor, thermonuclear device and method of neutralizing high temperature plasma

    International Nuclear Information System (INIS)

    Ikegami, Hideo.

    1995-01-01

    The thermonuclear device comprises a thermonuclear reactor for taking place fusion reactions to emit fusion plasmas, and a divertor made of a hydrogen occluding material, and the divertor is disposed at a position being in contact with the fusion plasmas after nuclear fusion reaction. The divertor is heated by fusion plasmas after nuclear fusion reaction, and hydrogen is released from the hydrogen occluding material as a constituent material. A gas blanket is formed by the released hydrogen to cool and neutralize the supplied high temperature nuclear fusion plasmas. This prevents the high temperature plasmas from hitting against the divertor, elimination of the divertor by melting and evaporation, and solve a problem of processing a divertor activated by neutrons. In addition, it is possible to utilize hydrogen isotopes of fuels effectively and remove unnecessary helium. Inflow of impurities from out of the system can also be prevented. (N.H.)

  19. Plasma control device

    International Nuclear Information System (INIS)

    Takase, Haruhiko.

    1987-01-01

    Purpose: To obtain the optimum controllability for the plasmas and the thermonuclear device by selectively executing control operation for proportion, integration and differentiation (PID) by first and second controllers respectively based on selection instruction signals. Constitution: Deviation between a vertical direction equilibrium position: Zp as the plasma status amount measured in a measuring section and an aimed value Zref thereof is inputted to a first PID selection controller. The first controller selectively executes one of the PID control operations in accordance with the first selection signal instruction instructed by a PID control operation instruction circuit. Further, Zp is also inputted to a second PID selection controller, which selectively executes one of the PID control operations in accordance with the second selection instruction signal in the same manner as in the first controller. The deviation amount u between operations signals u1 and u2 from the first and second PID selection controllers is inputted to a power source to thereby supply a predetermined current value to control coils that generate equilibrium magnetic fields for making the vertical direction equilibrium position of plasmas constant. (Kamimura, M.)

  20. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  1. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  2. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  3. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  4. Arc plasma devices: Evolving mechanical design from numerical

    Indian Academy of Sciences (India)

    A recipe for obtaining mechanical design of arc plasma devices from numerical ... to the plasma of the mixture of molecular gases like nitrogen and oxygen. ... Temperature field, associated fluid dynamics and electrical characteristics of a ...

  5. Device for plasma confinement and heating by high currents and nonclassical plasma transport properties

    Science.gov (United States)

    Coppi, B.; Montgomery, D.B.

    1973-12-11

    A toroidal plasma containment device having means for inducing high total plasma currents and current densities and at the same time emhanced plasma heating, strong magnetic confinement, high energy density containment, magnetic modulation, microwaveinduced heating, and diagnostic accessibility is described. (Official Gazette)

  6. Recent results on medium-size plasma-focus device

    International Nuclear Information System (INIS)

    Miklaszewski, R.; Kasperczuk, A.; Paduch, M.; Tomaszewaski, K.; Wereszczynski, Z.

    1992-01-01

    A brief history of investigation carried out on the PF-150 plasma-focus device is presented. Essential results concerning the dynamics of plasma sheath are summarized. The present state of investigation and main areas of interest are shown. (author)

  7. Plasma Equilibrium Control in Nuclear Fusion Devices 2. Plasma Control in Magnetic Confinement Devices 2.1 Plasma Control in Tokamaks

    Science.gov (United States)

    Fukuda, Takeshi

    The plasma control technique for use in large tokamak devices has made great developmental strides in the last decade, concomitantly with progress in the understanding of tokamak physics and in part facilitated by the substantial advancement in the computing environment. Equilibrium control procedures have thereby been established, and it has been pervasively recognized in recent years that the real-time feedback control of physical quantities is indispensable for the improvement and sustainment of plasma performance in a quasi-steady-state. Further development is presently undertaken to realize the “advanced plasma control” concept, where integrated fusion performance is achieved by the simultaneous feedback control of multiple physical quantities, combined with equilibrium control.

  8. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J. [Forschungszentrum Juelich (Germany). Inst. fuer Plasmaphysik

    2006-04-15

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation.

  9. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    International Nuclear Information System (INIS)

    Linke, J.

    2006-01-01

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation

  10. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    International Nuclear Information System (INIS)

    Moeller, Soeren

    2014-01-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO 2 and H 2 O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  11. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Soeren

    2014-11-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO{sub 2} and H{sub 2}O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  12. Dose-current discharge correlation analysis in a Mather type Plasma Focus device for medical applications

    Science.gov (United States)

    Sumini, M.; Mostacci, D.; Tartari, A.; Mazza, A.; Cucchi, G.; Isolan, L.; Buontempo, F.; Zironi, I.; Castellani, G.

    2017-11-01

    In a Plasma Focus device the plasma collapses into the pinch where it reaches thermonuclear conditions for a few tens of nanoseconds, becoming a multi-radiation source. The nature of the radiation generated depends on the gas filling the chamber and the device working parameters. The self-collimated electron beam generated in the backward direction with respect to the plasma motion is one of the main radiation sources of interest also for medical applications. The electron beam may be guided against a high Z material target to produce an X-ray beam. This technique offers an ultra-high dose rate source of X-rays, able to deliver during the pinch a massive dose (up to 1 Gy per discharge for the PFMA-3 test device), as measured with EBT3 GafchromicⒸfilm tissue equivalent dosimeters. Given the stochastic behavior of the discharge process, a reliable on-line estimate of the dose-delivered is a very challenging task, in some way preventing a systematic application as a potentially interesting therapy device. This work presents an approach to linking the dose registered by the EBT3 GafchromicⒸfilms with the information contained in the signal recorded during the current discharge process. Processing the signal with the Wigner-Ville distribution, a spectrogram was obtained, displaying the information on intensity at various frequency scales, identifying the band of frequencies representative of the pinch events and define some patterns correlated with the dose.

  13. Power accounting of plasma discharges in the linear device Proto-MPEX

    Science.gov (United States)

    Showers, M.; Piotrowicz, P. A.; Beers, C. J.; Biewer, T. M.; Caneses, J.; Canik, J.; Caughman, J. B. O.; Donovan, D. C.; Goulding, R. H.; Lumsdaine, A.; Kafle, N.; Owen, L. W.; Rapp, J.; Ray, H.

    2018-06-01

    Plasma material interaction (PMI) studies are crucial to the successful development of future fusion reactors. Prototype Material Plasma Exposure eXperiment (Proto-MPEX) is a prototype design for the MPEX, a steady-state linear device being developed to study PMI. The primary purpose of Proto-MPEX is developing the plasma heating source concepts for MPEX. A power accounting study of Proto-MPEX works to identify machine operating parameters that could improve its performance, thereby increasing its PMI research capabilities, potentially impacting the MPEX design concept. To build a comprehensive power balance, an analysis of the helicon region has been performed implementing a diagnostic suite and software modeling to identify mechanisms and locations of heat loss from the main plasma. Of the 106.3 kW of input power, up to 90.5% of the power has been accounted for in the helicon region. When the analysis was extended to encompass the device to its end plates, 49.2% of the input power was accounted for and verified diagnostically. Areas requiring further diagnostic analysis are identified. The required improvements will be implemented in future work. The data acquisition and analysis processes will be streamlined to form a working model for future power balance studies of Proto-MPEX. ).

  14. Analysis of plasma instabilities and verification of the BOUT code for the Large Plasma Device

    International Nuclear Information System (INIS)

    Popovich, P.; Carter, T. A.; Friedman, B.; Umansky, M. V.

    2010-01-01

    The properties of linear instabilities in the Large Plasma Device [W. Gekelman et al., Rev. Sci. Instrum. 62, 2875 (1991)] are studied both through analytic calculations and solving numerically a system of linearized collisional plasma fluid equations using the three-dimensional fluid code BOUT[M. Umansky et al., Contrib. Plasma Phys. 180, 887 (2009)], which has been successfully modified to treat cylindrical geometry. Instability drive from plasma pressure gradients and flows is considered, focusing on resistive drift waves and the Kelvin-Helmholtz and rotational interchange instabilities. A general linear dispersion relation for partially ionized collisional plasmas including these modes is derived and analyzed. For Large Plasma Device relevant profiles including strongly driven flows, it is found that all three modes can have comparable growth rates and frequencies. Detailed comparison with solutions of the analytic dispersion relation demonstrates that BOUT accurately reproduces all characteristics of linear modes in this system.

  15. A new linear plasma device for various edge plasma studies at SWIP

    Science.gov (United States)

    Xu, Min; Zheng, Pengfei; Tynan, George; Che, Tong; Wang, Zhanhui; Guo, Dong; Wei, Ran

    2017-10-01

    To facilitate the plasma-material interactions (PMI) studies, Southwestern Institute of Physics (SWIP) has constructed a linear plasma device. It is comprised of a source chamber (Φ 0.4 m), a target chamber (Φ 0.9 m), 15 magnets with different sizes, and power supplies with the total power of a few hundred kilowatts, etc. A maximum magnetic field of 0.3 Tesla along the axial direction can be produced. The current of each of the 15 magnets can be independently controlled. More than 60 ports are available for diagnostics, with the sizes vary from Φ 50 mm to Φ 150 mm. Rectangular ports of 190 mm × 270 mm are also available. 12 ports looking at the sample holder are specially designed for ion beam injection, of which the axes are 25 to the chamber axis. The device is equipped with a LaB6 hot cathode plasma source, which is able to generate steady-state H/D/He plasmas with a diameter of Φ 100 mm, density of 1x1019 /m3 , and a particle flux of 1022 1023 n/m2 .s. The electron temperature is usually a few eV. Further, a Helicon RF plasma source is also planned for plasma transport studies. Int'l Sci & Tech Cooperation Program of China (No. 2015DFA61760).

  16. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  17. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  18. 21 CFR 864.9205 - Blood and plasma warming device.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Blood and plasma warming device. 864.9205 Section 864.9205 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES HEMATOLOGY AND PATHOLOGY DEVICES Products Used In Establishments That Manufacture...

  19. RF-heating and plasma confinement studies in HANBIT mirror device

    International Nuclear Information System (INIS)

    Kwon, M.; Bak, J.G.; Choh, K.K.

    2003-01-01

    HANBIT is a magnetic mirror confinement device. Recently, with almost finishing the first campaign for the basic system development, it started the second campaign for the high-temperature plasma confinement physics study in mirror configuration. Here, we introduce briefly the HANBIT device and report initial physics experiments results on RF-plasma heating and confinement in the simple mirror configuration. It appears that the discharge characteristics of HANBIT are quite different from those in other mirror devices, and an explanation is presented to clarify the difference. (author)

  20. Process control device

    International Nuclear Information System (INIS)

    Hayashi, Toshifumi; Kobayashi, Hiroshi.

    1994-01-01

    A process control device comprises a memory device for memorizing a plant operation target, a plant state or a state of equipments related with each other as control data, a read-only memory device for storing programs, a plant instrumentation control device or other process control devices, an input/output device for performing input/output with an operator, and a processing device which conducts processing in accordance with the program and sends a control demand or a display demand to the input/output device. The program reads out control data relative to a predetermined operation target, compares and verify them with actual values to read out control data to be a practice premise condition which is further to be a practice premise condition if necessary, thereby automatically controlling the plant or requiring or displaying input. Practice presuming conditions for the operation target can be examined succesively in accordance with the program without constituting complicated logical figures and AND/OR graphs. (N.H.)

  1. Properties of plasma sheath with ion temperature in magnetic fusion devices

    International Nuclear Information System (INIS)

    Liu Jinyuan; Wang Feng; Sun Jizhong

    2011-01-01

    The plasma sheath properties in a strong magnetic field are investigated in this work using a steady state two-fluid model. The motion of ions is affected heavily by the strong magnetic field in fusion devices; meanwhile, the effect of ion temperature cannot be neglected for the plasma in such devices. A criterion for the plasma sheath in a strong magnetic field, which differs from the well-known Bohm criterion for low temperature plasma sheath, is established theoretically with a fluid model. The fluid model is then solved numerically to obtain detailed sheath information under different ion temperatures, plasma densities, and magnetic field strengths.

  2. Plasma response to electron energy filter in large volume plasma device

    International Nuclear Information System (INIS)

    Sanyasi, A. K.; Awasthi, L. M.; Mattoo, S. K.; Srivastava, P. K.; Singh, S. K.; Singh, R.; Kaw, P. K.

    2013-01-01

    An electron energy filter (EEF) is embedded in the Large Volume Plasma Device plasma for carrying out studies on excitation of plasma turbulence by a gradient in electron temperature (ETG) described in the paper of Mattoo et al. [S. K. Mattoo et al., Phys. Rev. Lett. 108, 255007 (2012)]. In this paper, we report results on the response of the plasma to the EEF. It is shown that inhomogeneity in the magnetic field of the EEF switches on several physical phenomena resulting in plasma regions with different characteristics, including a plasma region free from energetic electrons, suitable for the study of ETG turbulence. Specifically, we report that localized structures of plasma density, potential, electron temperature, and plasma turbulence are excited in the EEF plasma. It is shown that structures of electron temperature and potential are created due to energy dependence of the electron transport in the filter region. On the other hand, although structure of plasma density has origin in the particle transport but two distinct steps of the density structure emerge from dominance of collisionality in the source-EEF region and of the Bohm diffusion in the EEF-target region. It is argued and experimental evidence is provided for existence of drift like flute Rayleigh-Taylor in the EEF plasma

  3. Ionization effects and linear stability in a coaxial plasma device

    Science.gov (United States)

    Kurt, Erol; Kurt, Hilal; Bayhan, Ulku

    2009-03-01

    A 2-D computer simulation of a coaxial plasma device depending on the conservation equations of electrons, ions and excited atoms together with the Poisson equation for a plasma gun is carried out. Some characteristics of the plasma focus device (PF) such as critical wave numbers a c and voltages U c in the cases of various pressures Pare estimated in order to satisfy the necessary conditions of traveling particle densities ( i.e. plasma patterns) via a linear analysis. Oscillatory solutions are characterized by a nonzero imaginary part of the growth rate Im ( σ) for all cases. The model also predicts the minimal voltage ranges of the system for certain pressure intervals.

  4. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  5. Beam acceleration in plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Antanasijevic, R.; Banjanac, R.; Dragic, A.; Maric, Z.; Stanojevic, J.; Udovicic, V. E-mail: udovicic@atom.phy.bg.ac.yu; Vukovic, J

    2001-06-01

    The proton beam emission from the small 8 kJ plasma focus device operated with the H{sub 2} filling was analyzed. Maximum energy and yield were obtained using NTD. The fast protons were registered with the energy up to 500 keV using the polycarbonate absorbers with the different thickness.

  6. Beam acceleration in plasma focus device

    International Nuclear Information System (INIS)

    Antanasijevic, R.; Banjanac, R.; Dragic, A.; Maric, Z.; Stanojevic, J.; Udovicic, V.; Vukovic, J.

    2001-01-01

    The proton beam emission from the small 8 kJ plasma focus device operated with the H 2 filling was analyzed. Maximum energy and yield were obtained using NTD. The fast protons were registered with the energy up to 500 keV using the polycarbonate absorbers with the different thickness

  7. Dual-function magnetic structure for toroidal plasma devices

    International Nuclear Information System (INIS)

    Brown, R.L.

    1978-01-01

    This invention relates to a support system wherein the iron core and yoke of the plasma current system of a tokamak plasma containment device is redesigned to support the forces of the magnet coils. The containment rings, which occupy very valuable space around the magnet coils, are utilized to serve as yokes for the core such that the conventional yoke is eliminated. The overall result is an improved aspect ratio, reduction in structure, smaller overall size, and improved access to the plasma ring

  8. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  9. Neutron measuring device

    International Nuclear Information System (INIS)

    Hatayama, Akiyoshi; Seki, Eiji; Kita, Yoshio; Nishitani, Takeo.

    1993-01-01

    The device of the present invention concerns measurement for neutrons in a tokamak type thermonuclear device and it can measure total amount of generated neutrons accurately throughout the operation period even if an error is caused in counted values by plasma disruption. That is, the device comprises (1) a means for detecting presence or absence of occurrence of plasma disruption and the time for the initiation of the occurrence, (2) a first data processing means for processing detection signals, (3) a means for detecting neutrons generated in plasmas and (4) a second data processing means for calculating integrated values for the number of neutrons generated from the start to the completion of electric discharge when no disruption occurs and calculating integrated values for the number of generated neutrons from the start of electric discharge to the time at the initiation of occurrence of the disruption when disruption is present. In the thus constituted device, even if an error is caused by frequent occurrence of plasma disruption, total time integrated amount of neutrons generated in the plasmas can be measured accurately. (I.S.)

  10. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  11. Electrical characteristics of a small plasma focus device

    International Nuclear Information System (INIS)

    Choi, P.; Favre, M.; Silva, P.; Chuaqui, H.; Wyndham, E.

    1996-01-01

    Efficient plasma focus operation relies on uniform initial breakdown across the insulator surface. The basic plasma focus electric circuit is discussed in order to highlight circuit modifications that produce high voltage oscillation at the initial breakdown time. Superimposed on the main discharge voltage, such oscillating voltage has been found in real systems to enhance the initial gas breakdown by localizing the initial current path across the insulator surface. PSPICE circuit simulations are compared with electric signals from different operational plasma focus devices. (author). 3 figs., 7 refs

  12. Numerical Experiments Providing New Insights into Plasma Focus Fusion Devices

    Directory of Open Access Journals (Sweden)

    Sing Lee

    2010-04-01

    Full Text Available Recent extensive and systematic numerical experiments have uncovered new insights into plasma focus fusion devices including the following: (1 a plasma current limitation effect, as device static inductance is reduced towards very small values; (2 scaling laws of neutron yield and soft x-ray yield as functions of storage energies and currents; (3 a global scaling law for neutron yield as a function of storage energy combining experimental and numerical data showing that scaling deterioration has probably been interpreted as neutron ‘saturation’; and (4 a fundamental cause of neutron ‘saturation’. The ground-breaking insights thus gained may completely change the directions of plasma focus fusion research.

  13. Pulsed Plasma Lubrication Device and Method

    Science.gov (United States)

    Hofer, Richard R. (Inventor); Bickler, Donald B. (Inventor); D'Agostino, Saverio A. (Inventor)

    2016-01-01

    Disclosed herein is a lubrication device comprising a solid lubricant disposed between and in contact with a first electrode and a second electrode dimensioned and arranged such that application of an electric potential between the first electrode and the second electrode sufficient to produce an electric arc between the first electrode and the second electrode to produce a plasma in an ambient atmosphere at an ambient pressure which vaporizes at least a portion of the solid lubricant to produce a vapor stream comprising the solid lubricant. Methods to lubricate a surface utilizing the lubrication device in-situ are also disclosed.

  14. Dust in fusion devices-a multi-faceted problem connecting high- and low-temperature plasma physics

    International Nuclear Information System (INIS)

    Winter, J

    2004-01-01

    Small particles with sizes between a few nanometers and a few 10 μm (dust) are formed in fusion devices by plasma-surface interaction processes. Though it is not a major problem today, dust is considered a problem that could arise in future long pulse fusion devices. This is primarily due to its radioactivity and due to its very high chemical reactivity. Dust formation is particularly pronounced when carbonaceous wall materials are used. Dust particles can be transported in the tokamak over significant distances. Radioactivity leads to electrical charging of dust and to its interaction with plasmas and electric fields. This may cause interference with the discharge but may also result in options for particle removal. This paper discusses some of the multi-faceted problems using information both from fusion research and from low-temperature dusty plasma work

  15. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  16. Plasma centrifuges

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    2000-01-01

    The review of the most important studies on the isotope separation processes in the rotating plasma is presented. The device is described and the characteristics of operation of the pulse plasma centrifuges with weakly and strongly ionized plasma as well as the stationary plasma centrifuges with the medium weak ionization and devices, applying the stationary vacuum arc with the high ionization rate and the stationary beam-plasma discharge with complete ionization, are presented. The possible mechanisms of the isotope separation in plasma centrifuges are considered. The specific energy consumption for isotope separation in these devices is discussed [ru

  17. Code improvements and applications of a two-dimensional edge plasma model for toroidal devices

    International Nuclear Information System (INIS)

    Baelmans, M.

    1994-03-01

    This thesis focuses mainly on plasma behaviour in boundary layers of magnetically confined plasmas. Increasing emphasis has been put on edge studies during the last decade, as it became evident that some aspects of Tokamak operations are largely controlled, or even dominated, by edge processes. Therefore, the motivation for this research is to improve understanding of plasma behaviour in general, and edge plasma behaviour in particular, firstly in present experiments, and also to predict edge plasma conditions in future nuclear fusion devices. In a first section some fundamental concepts and principles of controlled fusion are described. Two different types of plasma confinement concepts which have promising features with regard to the above mentioned goal are outlined in a next section, 1.2. In section 1.3 an introduction to plasma edge phenomena is given. In a last section, 1.4, the outline of the thesis is described. (orig.)

  18. Chaos control and taming of turbulence in plasma devices

    DEFF Research Database (Denmark)

    Klinger, T.; Schröder, C.; Block, D.

    2001-01-01

    Chaos and turbulence are often considered as troublesome features of plasma devices. In the general framework of nonlinear dynamical systems, a number of strategies have been developed to achieve active control over complex temporal or spatio-temporal behavior. Many of these techniques apply...... to plasma instabilities. In the present paper we discuss recent progress in chaos control and taming of turbulence in three different plasma "model" experiments: (1) Chaotic oscillations in simple plasma diodes, (2) ionization wave turbulence in the positive column of glow discharges, and (3) drift wave...

  19. Plasma surface interactions in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L. [and others

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak.

  20. Plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L.

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak

  1. Plasma position and shape control device for thermonuclear device

    International Nuclear Information System (INIS)

    Takeuchi, Kazuhiro; Abe, Mitsushi; Kinoshita, Shigemi.

    1993-01-01

    A plasma position and shape control system is constituted with a measuring device, a quenching probability calculation section and a control calculation section. A quenching probability is calculated in the quenching probability calculation section by using a measuring data on temperature, electric current and magnetic field of superconductive coils, based on a margin upto a limit value. The control calculation section selects a control method which decreases applied voltage or current instruction value as the quenching probability of the coils is higher. Since the quenching probability of the superconductive coils can be forecast and a state of low quenching danger can be selected, the safety of the device is improved. When the quenching danger is allowed to a predetermined value, a wide operation region can be provided. (N.H.)

  2. Current and Perspective Applications of Dense Plasma Focus Devices

    Science.gov (United States)

    Gribkov, V. A.

    2008-04-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement—MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy.

  3. Current and Perspective Applications of Dense Plasma Focus Devices

    International Nuclear Information System (INIS)

    Gribkov, V. A.

    2008-01-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement--MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy

  4. MAGNETIC END CLOSURES FOR PLASMA CONFINING AND HEATING DEVICES

    Science.gov (United States)

    Post, R.F.

    1963-08-20

    More effective magnetic closure field regions for various open-ended containment magnetic fields used in fusion reactor devices are provided by several spaced, coaxially-aligned solenoids utilized to produce a series of nodal field regions of uniform or, preferably, of incrementally increasing intensity separated by lower intensity regions outwardly from the ends of said containment zone. Plasma sources may also be provided to inject plasma into said lower intensity areas to increase plasma density therein. Plasma may then be transported, by plasma diffusion mechanisms provided by the nodal fields, into the containment field. With correlated plasma densities and nodal field spacings approximating the mean free partl cle collision path length in the zones between the nodal fields, optimum closure effectiveness is obtained. (AEC)

  5. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  6. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  7. Bursty fluctuation characteristics in SOL/divertor plasmas of large helical device

    International Nuclear Information System (INIS)

    Ohno, N.

    2006-01-01

    Full text: Fluctuation properties in the SOL plasmas were intensively studied to understand the crossfield plasma transport, which determines the SOL structure and heat/particle deposition onto the first wall. Recent studies in tokamaks showed that the SOL density fluctuation is highly intermittent. Convective cross-field transport associated with the intermittent events would have strong influence on recycling processes and impurity generation from the first wall. On the other hand, in helical devices, there are few systematic studies on the SOL fluctuation property focusing on the intermittent bursty fluctuations related to plasma blob transport. Recent theory predicts that the blobs propagate toward a low field side in tokamaks. On the other hand, in the Large Helical Device (LHD), the direction of the gradient in B is not uniform because the high-field and the low-field sides rotates poloidally along the torus in the helical system. Comparison between the intermittent bursty fluctuations in the edge plasma of tokamaks and helical devices makes it possible to understand the essential physics of the blob transport. Recently, fast camera observation showed the radial motion of filaments in the edge of the LHD, suggesting the convective cross-field transport. In this paper, bursty fluctuation properties in the edge of the LHD have been investigated by analyzing the ion saturation currents measured with a probe array embedded in an outboard divertor plate. Statistical analysis based on probability distribution function was employed to determine the intermittent evens in the density fluctuation. Large positive bursty events were often observed in the ion saturation current measured with a divertor probe near a divertor leg at which the magnetic line of force connected to the area of a low-field side with a short connection length. Condition averaging result of the positive bursty events indicates the intermittent feature with a rapid increase and a slow decay is

  8. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  9. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  10. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  11. Plasma electron density measurement with multichannel microwave interferometer on the HL-1 tokamak device

    International Nuclear Information System (INIS)

    Xu Deming; Zhang Hongyin; Liu Zetian; Ding Xuantong; Li Qirui; Wen Yangxi

    1989-11-01

    A multichannel microwave interferometer which is composed of different microwave interferometers (one 2 mm band, one 4 mm band and two 8 mm band) has been used to measure the plasma electron density on HL-1 tokamak device. The electron density approaching to 5 x 10 13 cm -3 is measured by a 2 mm band microwave interferometer. In the determinable range, the electron density profile in the cross-section on HL-1 device has been measured by this interferometer. A microcomputer data processing system is also developed

  12. Design and construction the identification of nitriding plasma process parameters using personal computer based on serial communication

    International Nuclear Information System (INIS)

    Frida Iswinning Diah; Slamet Santosa

    2012-01-01

    Design and construction the identification of process parameters using personal computer based on serial communication PLC M-series has been done. The function of this device is to identify the process parameters of a system (plan), to which then be analyzed and conducted a follow-up given to the plan by the user. The main component of this device is the M-Series T100MD1616 PLC and personal computer (PC). In this device the data plan parameters obtained from the corresponding sensor outputs in the form of voltage or current. While the analog parameter data is adjusted to the ADC analog input of the PLC using a signal conditioning system. Then, as the parameter is processed by the PLC then sent to a PC via RS232 to be displayed in the form of graphs or tables and stored in the database. Software to program the database is created using Visual Basic Programming V-6. The device operation test is performed for the measurement of temperature parameter and vacuum level on the plasma nitriding machine. The results indicate that the device has functioning as an identification device parameters process of plasma nitriding machine. (author)

  13. Density profile measurements from a two-gun plasma focus device

    International Nuclear Information System (INIS)

    Tzeng, C.C.; Yen, C.K.; Yeh, T.R.; Kuo, Y.Y.; Shang, D.J.; Yu, Y.Z.; Hou, W.S.

    1990-01-01

    The dynamics of the plasma evolution in a two-gun plasma focus device has been studied using the laser shadowgraphy as well as the laser interferometry. The experiments were carried out from a 700 kJ two-gun plasma focus device reported earlier, which consisted of a pair of Mather type coaxial electrodes connected muzzle to muzzle. Previous results indicated that the simultaneous formation of the two deuterium plasma foci occurred earlier and then after ∼ 100 ns a disk-shaped plasma of ∼ 1.5 cm in diameter appeared in the middle region between the anodes. It is, therefore, the authors' goal to study the density profiles in the plasma foci and the middle region in order to understand further the formation of the plasma foci and their time evolution. The laser shadowgraphy was done with a XeCl excimer pumped dye laser system which operated at 550 nm with pulse width of ∼ 10 ns. The laser interferometry, on the other hand, was carried out using a TEA-TEA oscillator-amplifier N 2 -laser system with 337.1 nm and subnano-second pulse width. Both results show that the maximum electron density is ≥2 x 10 19 cm -3 and, in addition, the growth of the hydrodynamic instabilities are observed. These results together with the detailed density profiles are presented and discussed

  14. Rapid Atmospheric-Pressure-Plasma-Jet Processed Porous Materials for Energy Harvesting and Storage Devices

    Directory of Open Access Journals (Sweden)

    Jian-Zhang Chen

    2015-01-01

    Full Text Available Atmospheric pressure plasma jet (APPJ technology is a versatile technology that has been applied in many energy harvesting and storage devices. This feature article provides an overview of the advances in APPJ technology and its application to solar cells and batteries. The ultrafast APPJ sintering of nanoporous oxides and 3D reduced graphene oxide nanosheets with accompanying optical emission spectroscopy analyses are described in detail. The applications of these nanoporous materials to photoanodes and counter electrodes of dye-sensitized solar cells are described. An ultrashort treatment (1 min on graphite felt electrodes of flow batteries also significantly improves the energy efficiency.

  15. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  16. Charge Splitting In Situ Recorder (CSIR) for Real-Time Examination of Plasma Charging Effect in FinFET BEOL Processes

    Science.gov (United States)

    Tsai, Yi-Pei; Hsieh, Ting-Huan; Lin, Chrong Jung; King, Ya-Chin

    2017-09-01

    A novel device for monitoring plasma-induced damage in the back-end-of-line (BEOL) process with charge splitting capability is first-time proposed and demonstrated. This novel charge splitting in situ recorder (CSIR) can independently trace the amount and polarity of plasma charging effects during the manufacturing process of advanced fin field-effect transistor (FinFET) circuits. Not only does it reveal the real-time and in situ plasma charging levels on the antennas, but it also separates positive and negative charging effect and provides two independent readings. As CMOS technologies push for finer metal lines in the future, the new charge separation scheme provides a powerful tool for BEOL process optimization and further device reliability improvements.

  17. Construction and characterization of a plasma focus device and diagnostic test ion

    International Nuclear Information System (INIS)

    Morales Arango, Diana Marsela

    2013-01-01

    In this work we designed and built a Plasma Focus device 2kJ power in order to extend the energy range of devices designed in DPTN CCHEN and study the scaling laws type Plasma Focus Device. The operating parameters of this device are: T/ 4 =907ns, C = 8000nF, L = 42nH, E = 2kJ, lo = 276kA. In such a way to optimize the device tests were performed with various electrode configurations, insulator length, to determine the conditions under which it operates in Plasma Focus mode. Subsequent to the construction tests were performed on devices PF-400J (T /4 = 300ns, C = 880nF, L = 38nH, E = 400J, lo = 168kA) y PF-2kJ (device between the hundreds of joules and kilojoules of energy) diagnostic charged particle emission used the Faraday Cup consisting of a biased graphite collector. For a series of shots on the PF-400J and PF-2kJ operated at 27kV and 20kV respectively kinetic energy distribution of proton between 60keV-150keV were found, deuterons between 60KeV-300KeV. With the idea of optimizing the results in future diagnostic type spectrometer Thompson, spectroscopy and diffraction networks in gas mixture will be implemented

  18. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described for electron beam heating of a high-density plasma to drive a fast liner. An annular or solid relativistic electron beam is used to heat a plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the plasma then converges on a fast liner to explosively or ablatively drive the liner to implosion. (U.K.)

  19. Sausage instability of Z-discharged plasma channel in LIB-fusion device

    International Nuclear Information System (INIS)

    Murakami, H.; Kawata, S.; Niu, K.

    1982-07-01

    Current-carring plasma channels have been proposed for transporting intense ion beams from diodes to a target in a LIB-fusion device. In this paper, the growth rate of the most dangerous surface mode, that is, axisymmetric sausage instability is examined for the plasma channel. The growth rate is shown to be smaller than that of the plasma channel with no fluid motion in a sharp boundary. It is concluded that the stable plasma channel can be formed. (author)

  20. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  1. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  2. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described of providing electron beam heating of a high-density plasma to drive a fast liner to implode a structured microsphere. An annular relativistic electron beam is used to heat an annular plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the annular plasma then converges on a fast liner to explosively or ablatively drive the liner to convergence to implode the structured microsphere. (U.K.)

  3. Quality, Stability, and Safety Data of Packed Red Cells and Plasma Processed by Gravity Separation Using a New Fully Integrated Hollow-Fibre Filter Device

    Directory of Open Access Journals (Sweden)

    T. Brune

    2009-01-01

    Full Text Available Background. We developed a completely closed system based on gravity separation without centrifugation steps for separation of whole blood. With this new system we compared quality and stability of the processed blood components (PRC and plasma with respect to classical preparation. Furthermore the cost-effectiveness of this hollow fibre system was evaluated. Study Design and Methods. Whole blood collections of 15 regular blood donors were used for component preparation using the U shaped hollow fibre filter device. Results were compared to 15 whole blood preparations using centrifugation. The following parameters were evaluated: total hemoglobin, leukocyte counts, the serum concentration of total protein, lactate dehydrogenase (LDH and potassium. Furthermore ATIII, vWF and F VIII were analyzed at different timepoints. Results. packed red cells: the data directly after separation and after 42 days of storage are in line with the guidelines of the council of Europe. Plasma. all plasma quality data are in line with the guidelines of the council of Europe for quality assurance of plasma, except for a low protein amount (factor 0.75. Conclusion. Separation of whole blood on a clinical scale in this new closed system is feasible, however the plasma protein content must be optimized.

  4. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  5. The 26th IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    1999-01-01

    Some of the sessions covered by this conference are: Basic Processes in Fully and Partially Ionized Plasmas; Slow Wave Devices; Laser-Produced Plasma; Non-Equilibrium Plasma Processing; Space Plasmas and Partially Ionized Gases; Microwave Plasmas; Inertial Confinement Fusion; Plasma Diagnostics; Computational Plasma Physics; Microwave Systems; Laser Produced Plasmas and Dense Plasma Focus; Intense Electron and Ion Beams; Fast Wave Devices; Spherical Configurations and Ball Lightning; Thermal Plasma Chemistry and Processing and Environmental Issues in Plasma Science; Plasma, Ion, and Electron Sources; Fast Wave Devices and Intense Beams; Fast Z-pinches and X-ray Lasers; Plasma Opening Switches; Plasma for Lighting; Intense Beams; Vacuum Microwaves; Magnetic Fusion Energy; and Plasma Thrusters and Arcs. Separate abstracts were prepared for some of the papers in this volume

  6. Process and device for imploding a micro-area by means of a fast liner

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    The invention describes a process and a device for controlling a fast liner with hot plasma, in order to start or drive a structured micro-area to implode, in turn. In this way a natural pulse formation causes high implosion speeds for generating energy in the form of radiation, neutrons and/or alpha particles. By optimizing the extraordinarily powerful flow instability to heat the very dense plasma, the invention produces effective giving up of radiation energy to heat the plasma and to initiate the fast liner to implode the micro-area. (orig.) [de

  7. Radioactive waste processing device

    International Nuclear Information System (INIS)

    Inaguma, Masahiko; Takahara, Nobuaki; Hara, Satomi.

    1996-01-01

    In a processing device for filtering laundry liquid wastes and shower drains incorporated with radioactive materials, a fiber filtration device is disposed and an activated carbon filtration device is also disposed subsequent to the fiber filtration device. In addition, a centrifugal dewatering device is disposed for dewatering spent granular activated carbon in the activated carbon filtration device, and a minute filtering device is disposed for filtering the separated dewatering liquid. Filtrates filtered by the minute filtration device are recovered in a collecting tank. Namely, at first, suspended solid materials in laundry liquid wastes and shower drains are captured, and then, ingredients concerning COD are adsorbed in the activated carbon filtration device. The radioactive liquid wastes of spent granular activated carbon in the activated carbon filtration device are reduced by dewatering them by the centrifugal dewatering device, and then the granular activated carbon is subjected to an additional processing. Further, it is separated by filtration using the minute filtration device and removed as cakes. Since the filtrates are recovered to the collecting tank and filtered again, the water quality of the drains is not degraded. (N.H.)

  8. MHD instabilities and their effects on plasma confinement in the large helical device plasmas

    International Nuclear Information System (INIS)

    Toi, K.

    2002-01-01

    MHD stability of NBI heated plasmas and impacts of MHD modes on plasma confinement are intensively studied in the Large Helical Device (LHD). Three characteristic MHD instabilities were observed, that is, (1) pressure driven modes excited in the plasma edge, (2) pressure driven mode in the plasma core, and (3) Alfven eigenmodes (AEs) driven by energetic ions. MHD mode excited in the edge region accompanies multiple satellites, and is called Edge Harmonic Modes (EHMs). EHM sometimes has a bursting character. The bursting EHM transiently decreases the stored energy by about 15 percent. In the plasma core region, m=2/n=1 pressure driven mode is typically destabilized. The mode often induces internal collapse in the higher beta regime more than 1 percent. The internal collapse appreciably affects the global confinement. Energetic ion driven AEs are often detected in NBI-heated LHD plasmas. Particular AE with the frequency 8-10 times larger than TAE-frequency was detected in high beta plasmas more than 2 percent. The AE may be related to helicity-induced AE. Excitation of these three types of MHD instabilities and their impacts on plasma confinement are discussed. (author)

  9. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A relativistic electron beam generator or accelerator produces a high-voltage electron beam which is modulated to initiate electron bunching within the beam which is then applied to a high-density target plasma which typically comprises DT, DD, or similar thermonuclear gas at a density of 10 17 to 10 20 electrons per cubic centimeter. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy into a small localized region of the high-density plasma target. The high-temperature plasma can be used to heat a high Z material to generate radiation. Alternatively, a tunable radiation source is produced by using a moderate Z gas or a mixture of high Z and low Z gas as the target plasma. (author)

  10. Pulsed x-ray generation from a plasma focus device

    International Nuclear Information System (INIS)

    Zambra, M; Bruzzone, H; Sidelnikov, Y; Kies, W; Moreno, C; Sylvester, G; Silva, P; Moreno, J; Soto, L

    2003-01-01

    Dynamical pinches coupled to electrodes like the dense Z-pinch or the dense plasma focus have been intensively studied in the last four decades for their high fusion efficiency and their application potential. Though the expectations of the eighties of the last century, scaling these pinches up to fusion reactors, did not come true, the development of fast and powerful experiments resulted in new insights in pinch physics and paved the way for developing compact dynamical pinches as pulsed neutron and X-radiation sources for many applications. There is a permanent and growing interest in the research community for understanding and determining the generation properties of X-rays, neutrons and charged particles emitted from a high-temperature high-density plasmas, especially in the plasma focus configuration. The Plasma Physics and Plasma Technology Group of the CCHEN has developed the SPEED4 fast-plasma focus device, in collaboration with the Plasma Physics Group of the Dusseldorf University, in order to perform experimental studies such as X-ray and neutron emission, and electron and ion beam characterization (author)

  11. Surface ionization wave in a plasma focus-like model device

    International Nuclear Information System (INIS)

    Yordanov, V; Blagoev, A; Ivanova-Stanik, I; Veldhuizen, E M van; Nijdam, S; Dijk, J van; Mullen, J J A M van der

    2008-01-01

    A numerical particle in cell-Monte Carlo model of the breakdown in the plasma focus device simulates the development of an ionization wave sliding along the insulator. In order to validate this model a planar model device is created. The pictures of the discharges taken by a fast optical camera show that we have qualitative agreement between the model and the experimental observations.

  12. Surface ionization wave in a plasma focus-like model device

    Energy Technology Data Exchange (ETDEWEB)

    Yordanov, V; Blagoev, A [Faculty of Physics, University of Sofia, 5 James Bourchier Blvd, BG-1164, Sofia (Bulgaria); Ivanova-Stanik, I [IPPLM, 23 Hery St, PO Box 49, PL-00-908 Warsaw (Poland); Veldhuizen, E M van; Nijdam, S; Dijk, J van; Mullen, J J A M van der [Department of Applied Physics, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven (Netherlands)], E-mail: v.yordanov@phys.uni-sofia.bg

    2008-11-07

    A numerical particle in cell-Monte Carlo model of the breakdown in the plasma focus device simulates the development of an ionization wave sliding along the insulator. In order to validate this model a planar model device is created. The pictures of the discharges taken by a fast optical camera show that we have qualitative agreement between the model and the experimental observations.

  13. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  14. Production of radioisotopes within a plasma focus device

    International Nuclear Information System (INIS)

    Angeli, E.; Tartari, A.; Frignani, M.; Molinari, V.; Mostacci, D.; Rocchi, F.; Sumini, M. . E-mail address of corresponding author: domiziano.mostacci@mail.ing.unibo.it

    2005-01-01

    In recent years, research conducted in the US and in Italy has demonstrated production of radioisotopes in Plasma Focus (PF) devices, and particularly, on what could be termed 'endogenous' production, to wit, production within the plasma itself, as opposed to irradiation of targets. This technique relies on the formation of localized small plasma zones characterized by very high densities and fairly high temperatures. The conditions prevailing in these zones lead to high nuclear reaction rates, as pointed out in previous work by several authors. Further investigation of the cross sections involved has proven necessary to model the phenomena involved. In this paper, the present status of research in this field is reviewed, both with regards to cross section models and to experimental production of radioisotopes. Possible outcomes and further development are discussed. (author)

  15. Construction of control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen

    International Nuclear Information System (INIS)

    Saminto; Eko Priyono; Sugeng Riyanto

    2013-01-01

    A control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen have been made. This device consists of the software and hardware component. Hardware component consists of SCR phase angle controller LPC-50HDA type, T100MD1616+ PLC, high voltage transformer and voltage rectifier system. Software component used a LADDER program and TBasic serves to control of the high voltage output. The components in these devices have been tested in the double chamber plasma nitrogen. Its performance meet with the design criteria that can supply of plasma nitrogen operation voltage in the range 290 Vdc to 851 Vdc with glow discharge current 0.4 A to 1.4 A. In general it can be said that the control and instrumentation devices of high voltage power supply is ready for use at the double chamber plasma nitrogen device. (author)

  16. Critical plasma-wall interaction issues for plasma-facing materials and components in near-term fusion devices

    International Nuclear Information System (INIS)

    Federici, G.; Coad, J.P.; Haasz, A.A.; Janeschitz, G.; Noda, N.; Philipps, V.; Roth, J.; Skinner, C.H.; Tivey, R.; Wu, C.H.

    2000-01-01

    The increase in pulse duration and cumulative run-time, together with the increase of the plasma energy content, will represent the largest changes in operation conditions in future fusion devices such as the International Thermonuclear Experimental Reactor (ITER) compared to today's experimental facilities. These will give rise to important plasma-physics effects and plasma-material interactions (PMIs) which are only partially observed and accessible in present-day experiments and will open new design, operation and safety issues. For the first time in fusion research, erosion and its consequences over many pulses (e.g., co-deposition and dust) may determine the operational schedule of a fusion device. This paper identifies the most critical issues arising from PMIs which represent key elements in the selection of materials, the design, and the optimisation of plasma-facing components (PFCs) for the first-wall and divertor. Significant advances in the knowledge base have been made recently, as part of the R and D supporting the engineering design activities (EDA) of ITER, and some of the most relevant data are reviewed here together with areas where further R and D work is urgently needed

  17. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  18. Process and device for energy production from thermonuclear fusion reactions

    International Nuclear Information System (INIS)

    Bussard, R.W.; Coppi, Bruno.

    1977-01-01

    An energy generating system is described using a fusion reaction. It includes several contrivances for confining a plasma in an area, a protective device around a significant part of each of these confinement contrivances, an appliance for introducing a fusion reaction fuel in each of the confinements so that the plasma may be formed. Each confinement can be separated from the protective device so that it may be replaced by another. The system is connected to the confinements, to the protective devices or to both. It enables the thermal energy to be extracted and transformed into another form, electric, mechanical or both [fr

  19. Experimental investigation on electrical characteristics and dose measurement of dielectric barrier discharge plasma device used for therapeutic application.

    Science.gov (United States)

    Shahbazi Rad, Zahra; Abbasi Davani, Fereydoun

    2017-04-01

    In this research, a Dielectric Barrier Discharge (DBD) plasma device operating in air has been made. The electrical characteristics of this device like instantaneous power, dissipated power, and discharge capacitance have been measured. Also, the effects of applied voltage on the dissipated power and discharge capacitance of the device have been investigated. The determination of electrical parameters is important in DBD plasma device used in living tissue treatment for choosing the proper treatment doses and preventing the destructive effects. The non-thermal atmospheric pressure DBD plasma source was applied for studying the acceleration of blood coagulation time, in vitro and wound healing time, in vivo. The citrated blood drops coagulated within 5 s treatment time by DBD plasma. The effects of plasma temperature and electric field on blood coagulation have been studied as an affirmation of the applicability of the constructed device. Also, the effect of constructed DBD plasma on wound healing acceleration has been investigated.

  20. Measurements of ODAK-3K plasma device using plastic track detectors

    International Nuclear Information System (INIS)

    2010-01-01

    In this study, some testing experiments on the fusion researches with a new-constructed plasma focus (PF) device, namely ODAK-3K are reported. The device has a maximal energy input of 3 kJ and is used for both plasma and D D reaction explorations. Experiments with deuterium have shown that peak current of I p eak=39 kA flows between the electrodes at P=11.5 mbar for the operation voltage of V=14 kV. Average total neutron yield is measured around 3.3x10 5 neutrons per shot using CR-39 plastic detectors located opposite the anode inside the PF chamber

  1. Simulation of photons from plasmas for the applications to display devices

    Science.gov (United States)

    Lee, Hae June; Yoon, Hyun Jin; Lee, Jae Koo

    2007-07-01

    Numerical modeling of the photon transport of the ultraviolet (UV) and the visible lights are presented for plasma based display devices. The transport of UV lights which undergo resonance trapping by ground state atoms is solved by using the Holstein equation. After the UV lights are transformed to visible lights at the phosphor surfaces, the visible lights experience complicated traces inside the cell and finally are emitted toward the viewing window after having some power loss within the cell. A three-dimensional ray trace of the visible lights is calculated with a radiosity model. These simulations for the photons strengthen plasma discharge modeling for the application to display devices.

  2. An investigation of the plasma behaviour in a Filippov type plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Mahabadi, T D [Plasma Physics Research Center, I.A.U, PO Box 14665-678, Tehran (Iran, Islamic Republic of); Tafreshi, M A [School of Plasma Physics and Nuclear Fusion, Institute of Nuclear Science and Technology, AEOI, PO Box 14155-1339, Tehran (Iran, Islamic Republic of)

    2007-09-15

    Plasma behaviour in the 90 kJ Filippov type plasma focus (PF) device Dena, is studied both experimentally and theoretically. The latest experimental data obtained by the use of the Dena facility are presented. Then the experimental data are compared with the simulated data obtained through the ML model. This study shows that the ML model, to a good extent, is capable of predicting the plasma behaviour in the Filippov type PF. The experimental and the theoretical results show that the increment of the discharge voltage leads to an almost linear decrement of the pinch time. It is also shown that the increment of the pressure leads to a decrement of the current sheath expansion velocity. Finally, a semiempirical method for determination of the permitted values of the current efficiency factor and the mass shedding factor is presented.

  3. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    Science.gov (United States)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  4. Design and construction of Keda Space Plasma Experiment (KSPEX) for the investigation of the boundary layer processes of ionospheric depletions.

    Science.gov (United States)

    Liu, Yu; Zhang, Zhongkai; Lei, Jiuhou; Cao, Jinxiang; Yu, Pengcheng; Zhang, Xiao; Xu, Liang; Zhao, Yaodong

    2016-09-01

    In this work, the design and construction of the Keda Space Plasma EXperiment (KSPEX), which aims to study the boundary layer processes of ionospheric depletions, are described in detail. The device is composed of three stainless-steel sections: two source chambers at both ends and an experimental chamber in the center. KSPEX is a steady state experimental device, in which hot filament arrays are used to produce plasmas in the two sources. A Macor-mesh design is adopted to adjust the plasma density and potential difference between the two plasmas, which creates a boundary layer with a controllable electron density gradient and inhomogeneous radial electric field. In addition, attachment chemicals can be released into the plasmas through a tailor-made needle valve which leads to the generation of negative ions plasmas. Ionospheric depletions can be modeled and simulated using KSPEX, and many micro-physical processes of the formation and evolution of an ionospheric depletion can be experimentally studied.

  5. Preliminary investigation on the use of low current pulsed power Z-pinch plasma devices for the study of early stage plasma instabilities

    Science.gov (United States)

    Kaselouris, E.; Dimitriou, V.; Fitilis, I.; Skoulakis, A.; Koundourakis, G.; Clark, E. L.; Chatzakis, J.; Bakarezos, Μ; Nikolos, I. K.; Papadogiannis, N. A.; Tatarakis, M.

    2018-01-01

    This article addresses key features for the implementation of low current pulsed power plasma devices for the study of matter dynamics from the solid to the plasma phase. The renewed interest in such low current plasma devices lies in the need to investigate methods for the mitigation of prompt seeding mechanisms for the generation of plasma instabilities. The low current when driven into thick wires (skin effect mode) allows for the simultaneous existence of all phases of matter from solid to plasma. Such studies are important for the concept of inertial confinement fusion where the mitigation of the instability seeding mechanisms arising from the very early moments within the target’s heating is of crucial importance. Similarly, in the magnetized liner inertial fusion concept it is an open question as to how much surface non-uniformity correlates with the magneto-Rayleigh-Taylor instability, which develops during the implosion. This study presents experimental and simulation results, which demonstrate that the use of low current pulsed power devices in conjunction with appropriate diagnostics can be important for studying seeding mechanisms for the imminent generation of plasma instabilities in future research.

  6. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min; Hamdan, Ahmad Bassam

    2017-01-01

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode

  7. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  8. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  9. Spin coating and plasma process for 2.5D integrated photonics on multilayer polymers

    International Nuclear Information System (INIS)

    Zebda, A.; Camberlein, L.; Beche, B.; Gaviot, E.; Beche, E.; Duval, D.; Zyss, J.; Jezequel, G.; Solal, F.; Godet, C.

    2008-01-01

    Polymer spin coating, surface plasma treatment and selective UV-lithography processes have been developed to realize 2.5D photonic micro-resonators, made of disk- or ring-shaped upper rib waveguides, using common polymers such as SU8 (biphenol A ether glycidyl), PS233 (polymeric silane) and SOG (siloxane Spin on Glass). Both oxygen and argon plasma treatments, applied to PS233 and SOG before spin-coating the SU8, improve substantially the grip of multilayer devices (SU8 / PS233 or SU8 / SOG). Surface energy components derived from contact angle measurements have been used to optimize the processing conditions. In such integrated photonic devices, the both single-electromagnetic-modes called transverse electric (TE 00 ) and transverse magnetic (TM 00 ) have been excited in a SU8 micro-disk, with a single mode propagation strongly localized near the edge of the disk (i.e. the so called whispering gallery modes)

  10. Measurements of line-averaged electron density of pulsed plasmas using a He-Ne laser interferometer in a magnetized coaxial plasma gun device

    Science.gov (United States)

    Iwamoto, D.; Sakuma, I.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    In next step of fusion devices such as ITER, lifetime of plasma-facing materials (PFMs) is strongly affected by transient heat and particle loads during type I edge localized modes (ELMs) and disruption. To clarify damage characteristics of the PFMs, transient heat and particle loads have been simulated by using a plasma gun device. We have performed simulation experiments by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The line-averaged electron density measured by a He-Ne interferometer is 2x10^21 m-3 in a drift tube. The plasma velocity measured by a time of flight technique and ion Doppler spectrometer was 70 km/s, corresponding to the ion energy of 100 eV for helium. Thus, the ion flux density is 1.4x10^26 m-2s-1. On the other hand, the MCPG is connected to a target chamber for material irradiation experiments. It is important to measure plasma parameters in front of target materials in the target chamber. In particular, a vapor cloud layer in front of the target material produced by the pulsed plasma irradiation has to be characterized in order to understand surface damage of PFMs under ELM-like plasma bombardment. In the conference, preliminary results of application of the He-Ne laser interferometer for the above experiment will be shown.

  11. Firewood processing devices in Finland 2002

    International Nuclear Information System (INIS)

    Mutikainen, A.; Kaerhae, K.

    2002-01-01

    This Forestry Bulletin presents a review of the market situation for firewood processing devices in Finland during March 2002. The review is based on a questionnaire sent to device manufacturers. The firewood processing devices have traditionally been divided into three groups according to their functions: cross-cutting devices, splitting devices and cross-cutting and splitting devices. With a cross-cutting device the tree can be cross-cut only. Because it is easily possible to build the splitting function into a cross-cutting device, merely manufacturing a cross-cutting devices is rare. In all the splitting machines on the market, the splitting is carried out on a horizontally operated hydraulic cylinder pushing against a splitting blade. The types of cross-cutting blade mostly used in cross-cutting and splitting devices are circular i.e. circular saw blade, and chain saw. These devices are called firewood sawing machines. In firewood chopping machines that have a chopping blade, the wood is cross-cut using a spiral or guillotine blade. The splitting is done by a wedge blade or an axe blade. The firewood chopping machines can cross-cut and split stems up to a maximum of 20-22 cm in diameter. Circular blade firewood machines use either a cone screw or hydraulic cylinder and counter blade for splitting. They can handle wood of 20-30 cm thick in diameter. Machines using a chain saw can process stems of a maximum 30-45 cm thick in diameter. All firewood machines that work with a chain saw use a hydraulic cylinder and counter blade for splitting. According to the questionnaire responses, there were 14 (12 Finnish, one Norwegian and one Italian) manufacturers of firewood processing devices in the market. There were over 80 device models. There were only three cross-cutting devices, thirty splitting devices and forty cross-cutting splitting devices. The price range of the devices was 500-66,000 euros (including 22% VAT). According to the MTT Agrifood Research Finland

  12. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O2 mixed-plasma treatment

    International Nuclear Information System (INIS)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju

    2014-01-01

    We investigated the effects of Ar and O 2 treatment and of Ar/O 2 mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O 2 -plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O 2 mixed-gas plasma. The plasma treatment in the Ar/O 2 -mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10 7 , 1.24 cm 2 /V·s, and 513 mV/dec, respectively.

  13. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  14. Development of the reactor lithium ampoule device for research of spectral-luminescent characteristics of nuclear-excited plasma

    Energy Technology Data Exchange (ETDEWEB)

    Batyrbekov, E.G. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Gordienko, Yu. N., E-mail: gordienko@nnc.kz [National Nuclear Center of RK, Kurchatov (Kazakhstan); Ponkratov, Yu. V. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Khasenov, M.U. [PI “National Laboratory Astana”, Astana (Kazakhstan); Tazhibayeva, I.L.; Barsukov, N.I.; Kulsartov, T.V.; Zaurbekova, Zh. A.; Tulubayev, Ye. Yu.; Skakov, M.K. [National Nuclear Center of RK, Kurchatov (Kazakhstan)

    2017-04-15

    Highlights: • The development procedure of the ampoule device for experiments with nuclear-excited plasma under neutron irradiation is described. • The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. • A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered. - Abstract: This paper describes the development procedure of the reactor ampoule device to perform the experiments on study of spectral luminescence characteristics of nuclear-excited plasma formed by products of {sup 6}Li(n,α){sup 3}H reaction under neutron irradiation at the IVG.1 M research reactor. The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered in paper. The designed ampoule device is totally meets the requirements of irradiation experiments on the IVG.1M reactor.

  15. Augmentation and Control of Burn Rates in Plasma Devices

    National Research Council Canada - National Science Library

    Bourham, Mohamed

    1999-01-01

    Interaction of electrothermal plasmas with solid propellants necessitates thorough understanding of plasma-propellant interface physics, momentum and energy transfer, plasma flow regimes, and mixing processes...

  16. New Mixed Conductivity Mechanisms in the Cold Plasma Device Based on Silver-Modified Zeolite Microporous Electronic Materials

    Science.gov (United States)

    Koç, Sevgul Ozturk; Galioglu, Sezin; Ozturk, Seckin; Kurç, Burcu Akata; Koç, Emrah; Salamov, Bahtiyar G.

    2018-02-01

    We have analyzed the interaction between microdischarge and microporous zeolite electronic materials modified by silver (Ag0) nanoparticles (resistivity 1011 to 106 Ω cm) on the atmospheric pressure cold plasma generation in air. The generation and maintenance of stable cold plasma is studied according to the effect of the Ag0 nanoparticles. The role of charge carriers in mixed conductivity processes and electrical features of zeolite from low pressure to atmospheric pressure is analyzed in air microplasmas for both before and after breakdown regimes. The results obtained from the experiments indicate that Ag0 nanoparticles play a significant role in considerably reducing the breakdown voltage in plasma electronic devices with microporous zeolite electronic materials.

  17. Electro-mechanical probe positioning system for large volume plasma device

    Science.gov (United States)

    Sanyasi, A. K.; Sugandhi, R.; Srivastava, P. K.; Srivastav, Prabhakar; Awasthi, L. M.

    2018-05-01

    An automated electro-mechanical system for the positioning of plasma diagnostics has been designed and implemented in a Large Volume Plasma Device (LVPD). The system consists of 12 electro-mechanical assemblies, which are orchestrated using the Modbus communication protocol on 4-wire RS485 communications to meet the experimental requirements. Each assembly has a lead screw-based mechanical structure, Wilson feed-through-based vacuum interface, bipolar stepper motor, micro-controller-based stepper drive, and optical encoder for online positioning correction of probes. The novelty of the system lies in the orchestration of multiple drives on a single interface, fabrication and installation of the system for a large experimental device like the LVPD, in-house developed software, and adopted architectural practices. The paper discusses the design, description of hardware and software interfaces, and performance results in LVPD.

  18. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  19. Low Damage, High Anisotropy Inductively Coupled Plasma for Gallium Nitride based Devices

    KAUST Repository

    Ibrahim, Youssef H.

    2013-05-27

    Group III-nitride semiconductors possess unique properties, which make them versatile materials for suiting many applications. Structuring vertical and exceptionally smooth GaN profiles is crucial for efficient optical device operation. The processing requirements for laser devices and ridge waveguides are stringent as compared to LEDs and other electronic devices. Due to the strong bonding and chemically inert nature of GaN, dry etching becomes a critical fabrication step. The surface morphology and facet etch angle are analyzed using SEM and AFM measurements. The influence of different mask materials is also studied including Ni as well as a SiO2 and resist bilayer. The high selectivity Ni Mask is found to produce high sidewall angles ~79°. Processing parameters are optimized for both the mask material and GaN in order to achieve a highly anisotropic, smooth profile, without resorting to additional surface treatment steps. An optimizing a SF6/O2 plasma etch process resulted in smooth SiO2 mask sidewalls. The etch rate and GaN surface roughness dependence on the RF power was also examined. Under a low 2mTorr pressure, the RF and ICP power were optimized to 150W and 300W respectively, such that a smooth GaN morphology and sidewalls was achieved with reduced ion damage. The The AFM measurements of the etched GaN surface indicate a low RMS roughness ranging from 4.75 nm to 7.66 nm.

  20. External circuit integration with electromagnetic particle in cell modeling of plasma focus devices

    International Nuclear Information System (INIS)

    Seng, Y. S.; Lee, P.; Rawat, R. S.

    2015-01-01

    The pinch performance of a plasma focus (PF) device is sensitive to the physical conditions of the breakdown phase. It is therefore essential to model and study the initial phase in order to optimize device performance. An external circuit is self consistently coupled to the electromagnetic particle in cell code to model the breakdown and initial lift phase of the United Nations University/International Centre for Theoretical Physics (UNU-ICTP) plasma focus device. Gas breakdown during the breakdown phase is simulated successfully, following a drop in the applied voltage across the device and a concurrent substantial rise in the circuit current. As a result, the plasma becomes magnetized, with the growing value of the magnetic field over time leading to the gradual lift off of the well formed current sheath into the axial acceleration phase. This lifting off, with simultaneous outward sheath motion along the anode and vertical cathode, and the strong magnetic fields in the current sheath region, was demonstrated in this work, and hence validates our method of coupling the external circuit to PF devices. Our method produces voltage waveforms that are qualitatively similar to the observed experimental voltage profiles of the UNU-ICTP device. Values of the mean electron energy before and after voltage breakdown turned out to be different, with the values after breakdown being much lower. In both cases, the electron energy density function turned out to be non-Maxwellian

  1. On non-equilibrium atmospheric pressure plasma jets and plasma bullet

    Science.gov (United States)

    Lu, Xinpei

    2012-10-01

    Because of the enhanced plasma chemistry, atmospheric pressure nonequilibrium plasmas (APNPs) have been widely studied for several emerging applications such as biomedical applications. For the biomedical applications, plasma jet devices, which generate plasma in open space (surrounding air) rather than in confined discharge gaps only, have lots of advantages over the traditional dielectric barrier discharge (DBD) devices. For example, it can be used for root canal disinfection, which can't be realized by the traditional plasma device. On the other hand, currently, the working gases of most of the plasma jet devices are noble gases or the mixtures of the noble gases with small amount of O2, or air. If ambient air is used as the working gas, several serious difficulties are encountered in the plasma generation process. Amongst these are high gas temperatures and disrupting instabilities. In this presentation, firstly, a brief review of the different cold plasma jets developed to date is presented. Secondly, several different plasma jet devices developed in our lab are reported. The effects of various parameters on the plasma jets are discussed. Finally, one of the most interesting phenomena of APNP-Js, the plasma bullet is discussed and its behavior is described. References: [1] X. Lu, M. Laroussi, V. Puech, Plasma Sources Sci. Technol. 21, 034005 (2012); [2] Y. Xian, X. Lu, S. Wu, P. Chu, and Y. Pan, Appl. Phys. Lett. 100, 123702 (2012); [3] X. Pei, X. Lu, J. Liu, D. Liu, Y. Yang, K. Ostrikov, P. Chu, and Y. Pan, J. Phys. D 45, 165205 (2012).

  2. Design and development of a LIBS system on linear plasma device PSI-2 for in situ real-time diagnostics of plasma-facing materials

    Directory of Open Access Journals (Sweden)

    X. Jiang

    2017-08-01

    Full Text Available Laser induced breakdown spectroscopy (LIBS is a strong candidate for detecting and monitoring the H/D/T content on the surface of plasma facing components (PFCs due to its capability of fast direct in situ measurement in extreme environment (e.g., vacuum, magnetic field, long distance, complex geometry. To study the feasibilities and encounter the challenges of LIBS on plasma devices, a LIBS system has been set up on the linear plasma device PSI-2. A number of key parameters including laser energy, the influence of magnetic field and the persistence of laser induced plasma are studied. Real-time measurements of deuterium outgassing on tungsten samples exposed to deuterium plasma of 1025 D/m2 are performed in the first 40–130 min after plasma exposure. The experimental results are compared to the calculations in the literature.

  3. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  4. Gas processing device

    International Nuclear Information System (INIS)

    Kobayashi, Yoshihiro; Seki, Eiji.

    1991-01-01

    State of electric discharge is detected based on a gas pressure in a sealed container and a discharging current flowing between both of electrodes. When electric arc discharges occur, introduction of gases to be processed is stopped and a voltage applied to both of the electrodes is interrupted. Then, when the gas pressure in the sealed container is lowered to a predetermined value, a power source voltage is applied again to both of the electrodes to recover glow discharges, and the introduction of the gas to be processed is started. With such steps, even if electric arc discharges occur, they are eliminated automatically and, accordingly, normal glow discharges can be recovered, to prevent failures of the device due to electric arc discharges. The glow discharges are recovered automatically without stopping the operation of the gas processing device, and gas injection and solidification processing can be conducted continuously and stably. (T.M.)

  5. Plasma Sheath Behavior in a Coaxial Discharge Device

    International Nuclear Information System (INIS)

    EL-Aragi, G.; Soliman, H.M.; Masoud, M.M.

    2001-01-01

    The behavior of the plasma sheath has been studied experimentally and theoretically for 3 kJ coaxial discharge device. The discharge takes place in argon gas with pressure of 0.8 mbar. The experiments are conducted with a 10 kV bank charging voltage, which corresponds to 110 kA peak discharge current with time period of 34 μs. The experimental investigations have been studied using a magnetic probes and a miniature Rogowsky coil. A snowplough model is used to drive an analytical solution of the plasma sheath behavior in axial direction. Measurements of radial distribution of plasma sheath current density J r at the muzzle, show that J r has the following relation, J r is proportional to r -1.1 . From the experimental results and theoretical calculations of axial distribution of azimuthal magnetic field induction and plasma sheath velocity, the inclination angle between the normal of the plasma sheath with the axial distance at any axial position is evaluated and it has approximately a constant value for most axial distances. Also, the axial motion of plasma sheath acceleration is estimated experimentally a max = 0.13 x 10 12 ' cm / s 2 at z = 11 cm and from theoretical calculations a max = 0.15 x 10 12 cm/ s 2 at max z = 1.6 cm. A comparison of the experimental results with the theoretical calculations, under the assumption of the snowplough model are not in agreement. (author)

  6. Characterization of high flux magnetized helium plasma in SCU-PSI linear device

    Science.gov (United States)

    Xiaochun, MA; Xiaogang, CAO; Lei, HAN; Zhiyan, ZHANG; Jianjun, WEI; Fujun, GOU

    2018-02-01

    A high-flux linear plasma device in Sichuan University plasma-surface interaction (SCU-PSI) based on a cascaded arc source has been established to simulate the interactions between helium and hydrogen plasma with the plasma-facing components in fusion reactors. In this paper, the helium plasma has been characterized by a double-pin Langmuir probe. The results show that the stable helium plasma beam with a diameter of 26 mm was constrained very well at a magnetic field strength of 0.3 T. The core density and ion flux of helium plasma have a strong dependence on the applied current, magnetic field strength and gas flow rate. It could reach an electron density of 1.2 × 1019 m-3 and helium ion flux of 3.2 × 1022 m-2 s-1, with a gas flow rate of 4 standard liter per minute, magnetic field strength of 0.2 T and input power of 11 kW. With the addition of -80 V applied to the target to increase the helium ion energy and the exposure time of 2 h, the flat top temperature reached about 530 °C. The different sizes of nanostructured fuzz on irradiated tungsten and molybdenum samples surfaces under the bombardment of helium ions were observed by scanning electron microscopy. These results measured in the SCU-PSI linear device provide a reference for International Thermonuclear Experimental Reactor related PSI research.

  7. Surface modification study of zirconium on exposure to fusion grade plasma in an 11.5 kJ plasma focus device

    International Nuclear Information System (INIS)

    Srivastava, Rohit; Niranjan, Ram; Rout, R.K.; Kaushik, T.C.; Chakravarthy, Y.; Mishra, P.

    2017-01-01

    In continuation of our investigation on effect of fusion grade plasma produced in an existing MEPF-12 (11.5 kJ, 40 μF, 24 kV) plasma focus (PF) facility on different materials, likely to be used in future fusion reactors, we have reported here the study on Zirconium (Zr) metal. In the present work, the Zr sample in disc (2 mm thick, 10 mm diameter) form was exposed to twenty shots of plasma focus operated at 4 mbar deuterium gas filling pressure and 11.5 kJ bank energy. The samples were placed at a distance of 6 cm from the tip of the anode in the MEPF-12 PF device. The emissions from the device comprise of deuterium ions in wide energy range (a few keV to several hundreds of keV), high temperature plasma (in general a few keV) and neutrons of 2.45 MeV energy produced due to D(D, 3 He)n fusion reactions

  8. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  9. Plasma diagnostics in the CECI device through visible spectroscopy

    International Nuclear Information System (INIS)

    Ueda, M.; Kayama, M.E.; Aso, Y.

    1991-11-01

    In this paper we discuss the application of a visible spectrometer which was used to diagnose a plasma produced in an RFP device, called CECI. A Jobin Yvon, HR-640 S spectrometer with a photomultiplier detector was used to measure the Doppler broadening of lines emitted by the plasma, and allowed to measure the ion temperatures of the order of 2-3 eV. The electron temperature of 40-50 eV was determined by the method of He I line intensity ratio. The spectroscopically determined ion temperature is in better accordance with the 10 eV electron temperature obtained with an electrostatic probe. The line emissions of He II, H I, C II and O II were compared with signals from other diagnostics, and their correlations indicated the presence of MHD instabilities in the plasma. (author)

  10. Deposition of aluminium nanoparticles using dense plasma focus device

    International Nuclear Information System (INIS)

    Devi, Naorem Bilasini; Srivastava, M P; Roy, Savita

    2010-01-01

    Plasma route to nanofabrication has drawn much attention recently. The dense plasma focus (DPF) device is used for depositing aluminium nanoparticles on n-type Si (111) wafer. The plasma chamber is filled with argon gas and evacuated at a pressure of 80 Pa. The substrate is placed at distances 4.0 cm, 5.0 cm and 6.0 cm from the top of the central anode. The aluminium is deposited on Si wafer at room temperature with two focused DPF shots. The deposits on the substrate are examined for their morphological properties using atomic force microscopy (AFM). The AFM images have shown the formation of aluminium nanoparticles. From the AFM images, it is found that the size of aluminium nanoparticles increases with increase in distance between the top of anode and the substrate for same number of DPF shots.

  11. ERO modeling of Cr sputtering in the linear plasma device PSI-2

    Science.gov (United States)

    Eksaeva, A.; Borodin, D.; Kreter, A.; Nishijima, D.; Pospieszczyk, A.; Schlummer, T.; Ertmer, S.; Terra, A.; Unterberg, B.; Kirschner, A.; Romazanov, J.; Brezinsek, S.; Rasinski, M.; Henderson, S.; O'Mullane, M.; Summers, H.; Bluteau, M.; Marenkov, E.

    2017-12-01

    The prediction of the first wall deterioration and possible plasma contamination by impurities is a high priority task for ITER. 3D Monte-Carlo code ERO is a tool for modeling of eroded impurity transport and spectroscopy in plasma devices useful for experiment interpretation. Chromium (Cr) is a fusion-relevant reactor wall element (e.g. component of RAFM steels expected for use in DEMO). Linear plasma devices including PSI-2 are effective tools for investigations of plasma-surface interaction effects, allowing continuous plasma operation and good control over irradiation parameters. Experiments on Cr sputtering were conducted at PSI-2. In these experiments the Cr erosion was measured by three techniques: mass loss of the sample, quartz micro-balance of deposited impurities at a distance from it and optical emission spectroscopy. Experiments were modeled with the 3D Monte-Carlo code ERO, previously validated by application to similar experiments with tungsten (W). The simulations are demonstrated to reproduce the main experimental outcomes proving the quality of the sputtering data used. A significant focuses of the paper is the usage and validation of atomic data (resent metastable-resolved dataset from ADAS) for interpretation of Cr spectroscopy. Initial population of quasi-metastable state was fitted by matching the modeling with the experimental line intensity profiles.

  12. Deposition of Al N and Ti N thin films on substrates of stainless steel SS304 using plasma focus device

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Soukieh, M.; Abou Kharoub, M.; Al-Sadat, W.

    2006-06-01

    A 2.8 kJ plasma focus device was used to deposit thin films of aluminium nitride Al N and titanium nitride Ti N on a stainless steel 394 substrate, in order to improve its surface properties. The deposition process was carried out using various number of nitrogen plasma shots at pressures 0.5-0.75 mbar and at different sample's distances from the anode. The plasma diagnostics was achieved using the voltage and current signals recorded by a voltage divider and Rogovskii coil to determine the temperature and plasma density. The surface hardness of SS-304 was increased by about 50% after plasma coating and the thickness of the coated layers was about 1-2μm. This study shows that the hardness is increased with increasing the number of shots and decreased with the distance from the anode. The coated layers were characterized and a qualitative understanding of the deposition process was given. (author)

  13. Gaseous waste processing device in nuclear power plant

    International Nuclear Information System (INIS)

    Takechi, Eisuke; Matsutoshi, Makoto.

    1978-01-01

    Purpose: To arrange the units of waste processing devices in a number one more than the number thereof required for a plurality of reactors, and to make it usable commonly as a preliminary waste processing device thereby to effectively use all the gaseous waste processing devices. Constitution: A gaseous waste processing device is constituted by an exhaust gas extractor, a first processing device, a second processing device and the like, which are all connected in series. Upon this occasion, devices from the exhaust gas extractor to the first processing device and valves, which are provided in each of reactors, are arranged in series, on one hand, but valves at the downstream side join one another by one pipeline, and are connected to a stack through a total gaseous waste processing device, on another. (Yoshihara, H.)

  14. Nonlinear stability of m=1 flute mode in a nonparaxial open plasma device

    International Nuclear Information System (INIS)

    Lanskij, I.M.; Stupakov, G.V.

    1991-01-01

    Plasma flute stability as to high shifts under strong effects of ion Larmor finite radius conditions is studied. System consisting of long axisymmetric paraxial mirror device with stabilizing cells at its edges is considered. Variation of plasma energy as to its shift as a whole is calculated. It is shown, that depending on stabilizer type the force bringing plasma back in equilibrium state with shift growth may both increase and decrease

  15. A process to fabricate fused silica nanofluidic devices with embedded electrodes using an optimized room temperature bonding technique

    Science.gov (United States)

    Boden, Seth; Karam, P.; Schmidt, A.; Pennathur, S.

    2017-05-01

    Fused silica is an ideal material for nanofluidic systems due to its extreme purity, chemical inertness, optical transparency, and native hydrophilicity. However, devices requiring embedded electrodes (e.g., for bioanalytical applications) are difficult to realize given the typical high temperature fusion bonding requirements (˜1000 °C). In this work, we optimize a two-step plasma activation process which involves an oxygen plasma treatment followed by a nitrogen plasma treatment to increase the fusion bonding strength of fused silica at room temperature. We conduct a parametric study of this treatment to investigate its effect on bonding strength, surface roughness, and microstructure morphology. We find that by including a nitrogen plasma treatment to the standard oxygen plasma activation process, the room temperature bonding strength increases by 70% (0.342 J/m2 to 0.578 J/m2). Employing this optimized process, we fabricate and characterize a nanofluidic device with an integrated and dielectrically separated electrode. Our results prove that the channels do not leak with over 1 MPa of applied pressure after a 24 h storage time, and the electrode exhibits capacitive behavior with a finite parallel resistance in the upper MΩ range for up to a 6.3Vdc bias. These data thus allow us to overcome the barrier that has barred nanofluidic progress for the last decade, namely, the development of nanometer scale well-defined channels with embedded metallic materials for far-reaching applications such as the exquisite manipulation of biomolecules.

  16. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  17. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  18. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  19. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  20. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  1. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  2. The current-voltage characteristic and potential oscillations of a double layer in a triple plasma device

    International Nuclear Information System (INIS)

    Carpenter, R.T.; Torven, S.

    1986-07-01

    The properties of a strong double layer in a current circuit with a capacitance and an inductance are investigated in a triple plasma device. The double layer gives rise to a region of negative differential resistance in the current-voltage characteristic of the device, and this gives non-linear oscillations in the current and the potential drop over the double layer (PhiDL). For a sufficiently large circuit inductance PhiDL reaches an amplitude given by the induced voltage (-LdI/dt) which is much larger than the circuit EMF due to the rapid current decrease when PhiDL increases. A variable potential minimum exists in the plasma on the low potential side of the double layer, and the depth of the minimum increases when PhiDL increases. An increasing fraction of the electrons incident at the double layer are then reflected, and this is found to be the main process giving rise to the negative differential resistance. A qualitative model for the variation of the minimum potential with PhiDL is also proposed. It is based on the condition that the minimum potential must adjust itself self-consistentely so that quasi-neutrality is maintained in the plasma region where the minimum is assumed. (authors)

  3. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  4. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  5. Study on edge plasma physics and particle control in the Heliotron-E device

    Energy Technology Data Exchange (ETDEWEB)

    Mizuuchi, T; Obiki, T; Noda, N; Matsuura, H; Kondo, K; Akaishi, K; Motojima, O; Kaneko, H; Zushi, H; Takeiri, Y

    1989-04-01

    The edge plasma physics and the particle control under the intrinsic magnetic limiter configuration of a helical system have been studied with the Heliotron-E device, where currentless plasmas of T/sub e//le/1-2 keV, T/sub i//le/1 keV and anti n/sub e//le/2x10/sup 20//m/sup 3/ are produced by a combination of ECRH, NBI and/or ICRH. It is indicated that the separatrix region of the heliotron device is able to act as a divertor magnetic field. According to calculations of the magnetic field line in the edge region, the separatrix region has some different characteristics from the scrape-off layer in tokamak devices; the existence of a fine structure in the separatrix region and asymmetry of the region in toroidal and poloidal directions are observed. A localized pattern of the heat load on the first wall is experimentally observed. This agrees with the heat-load profile expected from the magnetic configuration and the distribution of the plasma in the edge region. A carbonization of the first wall is successfully applied to the Heliotron E device for reduction of metallic impurity contents. The heat load at the divertor trace decreased and that on the other part of the first wall increased in the high recycling conditions after the carbonization. (orig.).

  6. Plasma processed coating of laser fusion targets

    International Nuclear Information System (INIS)

    Johnson, W.L.; Letts, S.A.; Myers, D.W.; Crane, J.K.; Illige, J.D.; Hatcher, C.W.

    1979-01-01

    Coatings for laser fusion targets have been deposited in an inductively coupled discharge device by plasma polymerization. Two feed gases were used: perfluoro-2-butene, which produced a fluorocarbon coating (CF 1 3 ) with a density of 1.8 g/cc, and trans-2-butene which produced a hydrocarbon coating (CH 1 3 ) with a density of 1.0 g/cc. Uniform pin-hole free films have been deposited to a thickness of up to 30 μm of fluorocarbon and up to 110 μm of hydrocarbon. The effect of process variables on surface smoothness has been investigated. The basic defect in the coating has been found to result from shadowing by a small surface irregularity in an anisotropic coating flux

  7. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O{sub 2} mixed-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju [Kwangwoon University, Seoul (Korea, Republic of)

    2014-08-15

    We investigated the effects of Ar and O{sub 2} treatment and of Ar/O{sub 2} mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O{sub 2}-plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O{sub 2} mixed-gas plasma. The plasma treatment in the Ar/O{sub 2}-mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10{sup 7}, 1.24 cm{sup 2}/V·s, and 513 mV/dec, respectively.

  8. Power source device for thermonuclear device

    International Nuclear Information System (INIS)

    Ozaki, Akira.

    1992-01-01

    The present invention provides a small sized and economical power source device for a thermonuclear device. That is, the device comprises a conversion device having a rated power determined by a power required during a plasma current excitation period and a conversion device having a rated power determined by a power required during a plasma current maintaining period, connected in series to each other. Then, for the former conversion device, power is supplied from an electric power generator and, for the latter, power is supplied from a power system. With such a constitution, during the plasma electric current maintaining period for substantially continuous operation, it is possible to conduct bypassing paired operation for the former conversion device while the electric power generator is put under no load. Further, since a short period rated power may be suffice for the former conversion device and the electric power generator having the great rated power required for the plasma electric current excitation period, they can be reduced in the size and made economical. On the other hand, since the power required for the plasma current maintaining period is relatively small, the capacity of the continuous rated conversion device may be small, and the power can be received from the power system. (I.S.)

  9. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  10. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  11. 77 FR 51571 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers...

    Science.gov (United States)

    2012-08-24

    ... Music and Data Processing Devices, Computers, and Components Thereof; Notice of Receipt of Complaint... complaint entitled Wireless Communication Devices, Portable Music and Data Processing Devices, Computers..., portable music and data processing devices, computers, and components thereof. The complaint names as...

  12. System for deuterium-tritium mixture filling the working chamber of a dense plasma focus device

    International Nuclear Information System (INIS)

    Bondar', A.I.; Vyskubov, V.P.; Gerasimov, S.A.

    1981-01-01

    A gas-vacuum system designed for filling the gas-discharge chamber of a plasma focus device with equal-coaponent deuterium-tritium mixture is described. The system consists of a unit for gaseous mixture prepa ration and a unit for mixture absorption and device evacuation. The system provides the gaseous mixture purification of O 2 and N 2 impurities. Final tritium content in the gas-discharge chamber after tritium removal is not greater than 2x10 8 Bq/l. Tritium content in a sealed box in which the device is placed does not exceed 30 Bq/l that is less than limiting safe value. The conclusion is made that the described system design gives an opportunity to begin experimental studies at plasma focus devices with deuterium-tritium mixture [ru

  13. Analysis of the interaction of deuterium plasmas with tungsten in the Fuego-Nuevo II device

    Science.gov (United States)

    Ramos, Gonzalo; Castillo, Fermín; Nieto, Martín; Martínez, Marco; Rangel, José; Herrera-Velázquez, Julio

    2012-10-01

    Tungsten is one of the main candidate materials for plasma-facing components in future fusion power plants. The Fuego-Nuevo II, a plasma focus device, which can produce dense magnetized helium and deuterium plasmas, has been adapted to address plasma-facing materials questions. In this paper we present results of tungsten targets exposed to deuterium plasmas in the Fuego Nuevo II device, using different experimental conditions. The plasma generated and accelerated in the coaxial gun is expected to have, before the pinch, energies of the order of hundreds eV and velocities of the order of 40,000 m s-1. At the pinch, the ions are reported to have energies of the order of 1.5 keV at most. The samples, analysed with a scanning electron microscope (SEM) in cross section show a damage profile to depths of the order of 580 nm, which are larger than those expected for ions with 1.5 keV, and may be evidence of ion acceleration. An analysis with the SRIM (Stopping Range of Ions in Matter) package calculations is shown.

  14. Non-logic devices in logic processes

    CERN Document Server

    Ma, Yanjun

    2017-01-01

    This book shows readers how to design semiconductor devices using the most common and lowest cost logic CMOS processes.  Readers will benefit from the author’s extensive, industrial experience and the practical approach he describes for designing efficiently semiconductor devices that typically have to be implemented using specialized processes that are expensive, time-consuming, and low-yield. The author presents an integrated picture of semiconductor device physics and manufacturing techniques, as well as numerous practical examples of device designs that are tried and true.

  15. Linear and nonlinear ion beam instabilities in a double plasma device

    International Nuclear Information System (INIS)

    Lee, S.G.; Diebold, D.; Hershkowitz, N.

    1994-01-01

    Ion beam instabilities in the double plasma device DOLI-1 were found to be quite sensitive to the difference between the source and target chamber plasma potentials when those potentials were within an electron temperature T e /e or so of each other. When the target chamber plasma potential of DOLI-1 was ≤ T e /e more positive than the source chamber plasma potential, a global ion beam-ion beam instability was observed. On the other hand, when the maximum target potential was between approximately 0.5 T e /e and 2.0 T e /e below the source potential, an ion-ion beam instability and a soliton associated with it were observed. This soliton is unique in that it is not launched but rather is self generated by the plasma and beam. When the target potential was less than source potential by more than two or so T e /e, the plasma was quite quiescent, which allowed small amplitude wave packet launched by Langmuir probe to be detected

  16. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  17. Interaction of powerful hot plasma and fast ion streams with materials in dense plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Chernyshova, M., E-mail: maryna.chernyshova@ipplm.pl [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Gribkov, V.A. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Kowalska-Strzeciwilk, E.; Kubkowska, M.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Zielinska, E. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Demina, E.V.; Pimenov, V.N.; Maslyaev, S.A. [Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Bondarenko, G.G. [National Research University Higher School of Economics (HSE), Moscow (Russian Federation); Vilemova, M.; Matejicek, J. [Institute of Plasma Physics of the CAS, Prague (Czech Republic)

    2016-12-15

    Highlights: • Materials perspective for use in mainstream nuclear fusion facilities were studied. • Powerful streams of hot plasma and fast ions were used to induce irradiation. • High temporal, spatial, angular and spectral resolution available in experiments. • Results of irradiation were investigated by number of analysis techniques. - Abstract: A process of irradiating and ablating solid-state targets with hot plasma and fast ion streams in two Dense Plasma Focus (DPF) devices – PF-6 and PF-1000 was examined by applying a number of diagnostics of nanosecond time resolution. Materials perspective for use in chambers of the mainstream nuclear fusion facilities (mainly with inertial plasma confinement like NIF and Z-machine), intended both for the first wall and for constructions, have been irradiated in these simulators. Optical microscopy, SEM, Atomic Emission Spectroscopy, images in secondary electrons and in characteristic X-ray luminescence of different elements, and X-ray elemental analysis, gave results on damageability for a number of materials including low-activated ferritic and austenitic stainless steels, β-alloy of Ti, as well as two types of W and a composite on its base. With an increase of the number of shots irradiating the surface, its morphology changes from weakly pronounced wave-like structures or ridges to strongly developed ones. At later stages, due to the action of the secondary plasma produced near the target materials they melted, yielding both blisters and a fracturing pattern: first along the grain and then “in-between” the grains creating an intergranular net of microcracks. At the highest values of power flux densities multiple bubbles appeared. Furthermore, in this last case the cracks were developed because of microstresses at the solidification of melt. Presence of deuterium within the irradiated ferritic steel surface nanolayers is explained by capture of deuterons in lattice defects of the types of impurity atoms

  18. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    discharges, plasma chemistry - particularly in oxygen containing plasmas, modeling of plasmas and plasma surface interactions in complex comprehensive plasma codes, modeling of the development of surface profiles and kinetics of surface collisions, plasma treatment of materials, plasma processing and applications in thin film deposition, nanoscale device production and many other applications. Yet all the papers, one way or the other, address the key issues of the next generation of plasma technologies in the micro and nano electronic industry. The issue of radicals and also of electron molecule collisions is addressed by J Tennyson who presents a guide into using a code for R-matrix calculations of electron-molecule collisions at low and intermediate energies. Related experimental results are presented by T Field who showed recent measurements of electron radical attachment cross sections, by T Märk who discusses electron impact ionization/dissociation of molecules and subsequent production of energetic radicals, and by M Kimura and his coauthors who discuss productions of radicals and ions by electron and photon impacts on CH4. Finally T Maddern and M Brunger share with us the first results from the new very complex system for comprehensive measurements of electron radical cross sections, the first example being CF2. B Marinković mainly focuses on recent results of his group having in mind the data needs for plasma modeling. Collisions at surfaces are addressed by P Tegeder and more specifically she presents here the evolution of negative ion resonances at surfaces. The electron swarm data as projected onto gaseous dielectrics but also having application in plasma processing is covered by J De Urquijo who attempted to answer the question whether CF3I is a better dielectric than SF6. The basic processes leading to the gas breakdown have been addressed by D Marić and Z Lj Petrović who focus on the transition from Townsend to constricted-normal glow regime while trying to

  19. The application of selected radionuclides for monitoring of the D-D reactions produced by dense plasma-focus device.

    Science.gov (United States)

    Jednorog, S; Szydlowski, A; Bienkowska, B; Prokopowicz, R

    The dense plasma focus (DPF) device-DPF-1000U which is operated at the Institute of Plasma Physics and Laser Microfusion is the largest that type plasma experiment in the world. The plasma that is formed in large plasma experiments is characterized by vast numbers of parameters. All of them need to be monitored. A neutron activation method occupies a high position among others plasma diagnostic methods. The above method is off-line, remote, and an integrated one. The plasma which has enough temperature to bring about nuclear fusion reactions is always a strong source of neutrons that leave the reactions area and take along energy and important information on plasma parameters and properties as well. Silver as activated material is used as an effective way of neutrons measurement, especially when they are emitted in the form of short pulses like as it happens from the plasma produced in Dense Plasma-Focus devices. Other elements such as beryllium and yttrium are newly introduced and currently tested at the Institute of Plasma Physics and Laser Microfusion to use them in suitable activation neutron detectors. Some specially designed massive indium samples have been recently adopted for angular neutrons distribution measurements (vertical and horizontal) and have been used in the recent plasma experiment conducted on the DPF-1000U device. This choice was substantiated by relatively long half-lives of the neutron induced isotopes and the threshold character of the 115 In(n,n') 115m In nuclear reaction.

  20. In-liquid Plasma. A stable light source for advanced oxidation processes in environmental remediation

    Science.gov (United States)

    Tsuchida, Akihiro; Shimamura, Takeshi; Sawada, Seiya; Sato, Susumu; Serpone, Nick; Horikoshi, Satoshi

    2018-06-01

    A microwave-inspired device that generates stable in-liquid plasma (LP) in aqueous media and emits narrow light emission lines at 280-320 nm, 660 nm and 780 nm is examined as a light source capable of driving photochemical reactions and advanced oxidation processes in wastewater treatments. The microwave-driven lighting efficiency was improved by decompressing the inside of the reaction vessel, which resulted in lowering the incident power of the microwaves and suppressed the deterioration of the microwave irradiation antenna. This protocol made it possible to generate continuous stable plasma in water. Evaluation of the LP device was carried out by revisiting the decomposition of 1,4-dioxane in aqueous media against the use of such other conventional water treatment processes as (i) UV irradiation alone, (ii) TiO2-assisted photocatalysis with UV irradiation (UV/TiO2), (iii) oxidation with sodium hypochlorite (NaClO), and (iv) UV-assisted decomposition in the presence of NaClO (UV/NaClO). The in-liquid plasma technique proved superior to these four other methods. The influence of pH on the LP protocol was ascertained through experiments in acidified (HCl and H2SO4) and alkaline (NaOH and KOH) aqueous media. Except for H2SO4, decomposition of 1,4-dioxane was enhanced in both acidic and alkaline media.

  1. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  2. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  3. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  4. Toward High-Performance Coatings for Biomedical Devices: Study on Plasma-Deposited Fluorocarbon Films and Ageing in PBS

    Directory of Open Access Journals (Sweden)

    Diego Mantovani

    2010-03-01

    Full Text Available High performance coatings tailored to medical devices represent a recognised approach to modulate surface properties. Plasma-deposited fluorocarbon films have been proposed as a potential stent coating. Previous studies have shown promising adhesion properties: the 35 nm-thick film sustained plastic deformation up to 25% such as induced during the clinical implantation. In this study, the compositional and morphological changes of plasma-deposited fluorocarbon films were examined during ageing in a pseudo-physiological medium, a phosphate buffer solution (PBS, by angle-resolved XPS, FT-IR data and AFM images. The evolution of the ageing process is discussed: defluorination and crosslinking yielded an oxidized protective top layer onto the films, which showed further degradation.

  5. Collective processing device for spent fuel

    International Nuclear Information System (INIS)

    Irie, Hiroaki; Taniguchi, Noboru.

    1996-01-01

    The device of the present invention comprises a sealing vessel, a transporting device for transporting spent fuels to the sealing vessel, a laser beam cutting device for cutting the transported spent fuels, a dissolving device for dissolving the cut spent fuels, and a recovering device for recovering radioactive materials from the spent fuels during processing. Reprocessing treatments comprising each processing of dismantling, shearing and dissolving are conducted in the sealing vessel can ensure a sealing barrier for the radioactive materials (fissionable products and heavy nuclides). Then, since spent fuels can be processed in a state of assemblies, and the spent fuels are easily placed in the sealing vessel, operation efficiency is improved, as well as operation cost is saved. Further, since the spent fuels can be cut by a remote laser beam operation, there can be prevented operator's exposure due to radioactive materials released from the spent fuels during cutting operation. (T.M.)

  6. Electronic devices for analog signal processing

    CERN Document Server

    Rybin, Yu K

    2012-01-01

    Electronic Devices for Analog Signal Processing is intended for engineers and post graduates and considers electronic devices applied to process analog signals in instrument making, automation, measurements, and other branches of technology. They perform various transformations of electrical signals: scaling, integration, logarithming, etc. The need in their deeper study is caused, on the one hand, by the extension of the forms of the input signal and increasing accuracy and performance of such devices, and on the other hand, new devices constantly emerge and are already widely used in practice, but no information about them are written in books on electronics. The basic approach of presenting the material in Electronic Devices for Analog Signal Processing can be formulated as follows: the study with help from self-education. While divided into seven chapters, each chapter contains theoretical material, examples of practical problems, questions and tests. The most difficult questions are marked by a diamon...

  7. Atmospheric pressure plasma jets: an overview of devices and new directions

    International Nuclear Information System (INIS)

    Winter, J; Brandenburg, R; Weltmann, K-D

    2015-01-01

    Atmospheric pressure plasma jets have a long history of more than 50 years. During this time their design and plasma generation mechanism has been developed and adapted to various fields of applications. This review aims at giving an overview of jet devices by starting with a brief history of their development. This is followed by an overview of commonly used terms and definitions as well as a survey of different classification schemes (e.g. geometry, excition frequency or specific energy input) described in literature. A selective update of new designs and novel research achievments on atmospheric pressure plasma jets published in 2012 or later shows the impressive variety and rapid development of the field. Finally, a brief outlook on the future trends and directions is given. (paper)

  8. Ion implantation on nickel targets by means of repetitive plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Vitulli, S.; Rapezzi, L. [ENEA Brasimone, Camugnano, Bologna (Italy); Apicella, M.L.; Samuelli, M. [ENEA Frascati, Frascati, Roma (Italy)

    2004-07-01

    Some test has been done in order to assess the possible use of a plasma focus as an implanter. The device utilized is the repetitive Plasma Focus operating in the ENEA Brasimone Center. The implanted sample is a sheet of Nickel with a surface of 17 cm{sup 2} inserted in a rigid sample at a variable distance from the top of the anode. After irradiation the sample is analyzed with Auger spectroscopy that provides the surface concentration of the various elements on the sample at different implantation depths. The result of the analysis shows that the Plasma Focus is an effective implantation source, even for metallurgical applications. (orig.)

  9. Current sheath curvature correlation with the neon soft x-ray emission from plasma focus device

    International Nuclear Information System (INIS)

    Zhang, T; Lin, X; Chandra, K A; Tan, T L; Springham, S V; Patran, A; Lee, P; Lee, S; Rawat, R S

    2005-01-01

    The insulator sleeve length is one of the major parameters that can severely affect the neon soft x-ray yield from a plasma focus. The effect of the insulation sleeve length on various characteristic timings of plasma focus discharges and hence the soft x-ray emission characteristics has been investigated using a resistive divider. The pinhole images and laser shadowgraphy are used to explain the observed variation in the average soft x-ray yield (measured using a diode x-ray spectrometer) with variation of the insulator sleeve length. We have found that for a neon filled plasma focus device the change in insulator sleeve length changes the current sheath curvature angle and thus the length of the focused plasma column. The optimized current sheath curvature angle is found to be between 39 0 and 41 0 , at the specific axial position of 6.2-9.3 cm from the cathode support plate, for our 3.3 kJ plasma focus device. A strong dependence of the neon soft x-ray yield on the current sheath curvature angle has thus been reported

  10. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  11. Potential formation in the plasma confinement region of a radio-frequency plugged linear device

    International Nuclear Information System (INIS)

    Fujita, Hideki; Kumazawa, Ryuhei; Howald, A.M.; Okamura, Shoichi; Sato, Teruyuki; Adati, Keizo; Garner, H.R.; Nishimura, Kiyohiko.

    1987-08-01

    Plasma potential formation in an open-ended plasma confinement system with RF plugging (the RFC-XX-M device) is investigated. The plasma potential in the central confinement region is measured with a heavy ion beam probe system and potentials at the RF plug section are measured with multi-grid energy analyzers. The measured plasma potential is compared with that deduced from the generalized Pastukhov formula. Results show that the plasma potential develops as an ambipolar potential to equate ion and electron end losses. During RF plugging, electrons are heated by Landau damping, while ions are not heated since adiabatic conditions apply during ion plugging in this experiment. (author)

  12. Gas storage and processing device

    International Nuclear Information System (INIS)

    Kobayashi, Yoshihiro.

    1988-01-01

    Purpose: To improve the gas solidification processing performance in a gas storing and processing device for solidifying treatment of radioactive gaseous wastes (krypton 85) by ion injection method. Constitution: The device according to the present invention is constituted by disposing a coil connected with a magnetic field power source to the outer circumference of an outer cathode vessel, so that axial magnetic fields are formed to the inside of the outer cathode vessel. With such a device, thermoelectrons released from the thermocathode downwardly collide against gaseous radioactive wastes at high probability while moving spirally by the magnetic fields. The thus formed gas ions are solidified by sputtering in the cathode in the vessel. (Horiuchi, T.)

  13. Palm top plasma focus device as a portable pulsed neutron source

    International Nuclear Information System (INIS)

    Rout, R. K.; Niranjan, Ram; Srivastava, R.; Rawool, A. M.; Kaushik, T. C.; Gupta, Satish C.; Mishra, P.

    2013-01-01

    Development of a palm top plasma focus device generating (5.2 ± 0.8) × 10 4 neutrons/pulse into 4π steradians with a pulse width of 15 ± 3 ns is reported for the first time. The weight of the system is less than 1.5 kg. The system comprises a compact capacitor bank, a triggered open air spark gap switch, and a sealed type miniature plasma focus tube. The setup is around 14 cm in diameter and 12.5 cm in length. The energy driver for the unit is a capacitor bank of four cylindrical commercially available electrolytic capacitors. Each capacitor is of 2 μF capacity, 4.5 cm in diameter, and 9.8 cm in length. The cost of each capacitor is less than US$ 10. The internal diameter and the effective length of the plasma focus unit are 2.9 cm and 5 cm, respectively. A DC to DC converter power supply powered by two rechargeable batteries charges the capacitor bank to the desired voltage and also provides a trigger pulse of −15 kV to the spark gap. The maximum energy of operation of the device is 100 J (8 μF, 5 kV, 59 kA) with deuterium gas filling pressure of 3 mbar. The neutrons have also been produced at energy as low as 36 J (3 kV) of operation. The neutron diagnostics are carried out with a bank of 3 He detectors and with a plastic scintillator detector. The device is portable, reusable, and can be operated for multiple shots with a single gas filling.

  14. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  15. Detection of x-rays emitted from a plasma focus device with energy of 2.8 KJ, and its applications in plasma diagnostic and radiography

    International Nuclear Information System (INIS)

    AL-Hawat, Sh.; Akel, M.

    2011-06-01

    The local plasma focus device was modified by replacing the old capacitors (25μF,20 kV ,1.43μH ) and the open spark gap by new capacitors with (25μF,20 kV ,200 nH ) and a new closed spark gap, so instead of a current of 50 kA as a maximum value we obtained a maximum current about 120 kA. The modified device is capable now to generate x-rays, which was confirmed by taking some radiographies for metallic pieces, electronic elements and others . In addition to that some diagnostics were carried out on the device using Ohm voltage divider to record voltage curves, Rogovskii coil for measuring the current, and five channel diodes to evaluate the temporal evolution of x-rays generated in the device working on argon vs. pressure and voltage. The generation of the soft x-ray emission in a low energy 2.8 kJ plasma focus device operated with argon using a detector of five PIN-Si BPX-65 diodes filtered with different foils of Mylar, Al and Cu. Spectral analysis using the recorded x-ray signals ratio method shows that there are two components in the x-ray emissions: one arising from the focused argon plasma with temperature of 2.5 keV and the other arising from the electron beam activity on copper anode, where the second component is predominant in most of investigated experiments due to the used of solid anode. Numerical experiments were carried out using five phases radiative Lee model RADPF5.15d-dd with N 2 , O 2 , Ar, Ne gases on plasma focus device AECS PF1-2 (or PF SY1-2) for its characterization and soft x-ray optimization. (author)

  16. Computer simulation of a plasma focus device driven by a magnetic pulser

    Energy Technology Data Exchange (ETDEWEB)

    Georgescu, N; Zoita, V [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania); Larour, J [Ecole Polytechnique, Palaiseau (France). Lab. de Physique des Milieux Ionises

    1997-12-31

    A plasma focus device, driven by a magnetic pulse compression circuit, is simulated by using a PSPICE proffam. The elaborated program is much simpler than the other existing ones, which analyse the circuit by directly solving a system of integral-differential equations. The pre-pulse voltage and the high-voltage rise-times are obtained for a set of values of the bypass impedance (R or L). The optimum bypass impedance turns out to be an inductance. During the discharge period, the plasma load is considered as an LR impedance, each component being time dependent. A method is presented for giving us the possibility to introduce the time varying impedances in a PSPICE program. Finally, a set of simulation results (plasma current and voltage, plasma magnetic energy, plasma sheath mechanical energy, pinch voltage) is shown. The results are in good agreement with the classical experimental data. (author). 2 figs., 4 refs.

  17. Plasma sprayed TiC coatings for first wall protection in fusion devices

    International Nuclear Information System (INIS)

    Groot, P.; Laan, J.G. van der; Laas, L.; Mack, M.; Dvorak, M.

    1989-01-01

    For protection of plasma facing components in nuclear fusion devices thick titanium carbide coatings are being developed. Coatings have been produced by plasma spraying at atmospheric pressure (APS) and low pressure (LPPS) and analyzed with respect to microstructure and chemical composition. Thermo-mechanical evaluation has been performed by applying short pulse laser heat flux tests. The influence of coating thickness and porosity on the resistance to spalling by thermal shocks appears to be more important than aspects of chemical composition. (author)

  18. Highly ionized copper contribution to the soft X-ray emission in a plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Zoita, V; Patran, A [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania); Larour, J [Ecole Polytechnique, Palaiseau (France). Lab. de Physique des Milieux Ionises

    1997-12-31

    In order to discriminate between the contributions of the gas plasma and of the anode (solid or plasma) to the soft X-ray emission in a plasma focus device, a series of experiments was carried out using the following combinations of experimental conditions: various gases, different absorption filters and viewing different regions in front of the centre electrode. The experiments were performed on the IPF-2/20 plasma focus device using the following working gases: helium, neon and helium-argon mixtures. The diagnostics used: magnetic probe for current derivative, PIN diode for the minimum pinch radius detection, PIN diodes for the soft X-ray emission, scintillator-photomultiplier detector for the hard X-ray emission. From the analysis of the various diagnostics data recorded with very good time correlation, it followed that the soft K-ray signals had a strong contribution from optical transitions of the highly ionised Cu (Cu XX to XXII) emitting in the range 0.8-1.3 nm. (author). 7 figs., 9 refs.

  19. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  20. Measurements of plasma termination in ICRF heated long pulse discharges with fast framing cameras in the Large Helical Device

    International Nuclear Information System (INIS)

    Shoji, Mamoru; Kasahara, Hiroshi; Tanaka, Hirohiko

    2015-01-01

    The termination process of long pulse plasma discharges in the Large Helical Device (LHD) have been observed with fast framing cameras, which shows that the reason for the termination of the discharged has been changed with increased plasma heating power, improvements of plasma heating systems and change of the divertor configuration, etc. For long pulse discharges in FYs2010-2012, the main reason triggering the plasma termination was reduction of ICRF heating power with rise of iron ion emission due to electric breakdown in an ICRF antenna. In the experimental campaign in FY2013, the duration time of ICRF heated long pulse plasma discharges has been extended to about 48 minutes with a plasma heating power of ∼1.2 MW and a line-averaged electron density of ∼1.2 × 10"1"9 m"-"3. The termination of the discharges was triggered by release of large amounts of carbon dusts from closed divertor regions, indicating that the control of dust formation in the divertor regions is indispensable for extending the duration time of long pulse discharges. (author)

  1. System constitution of plasma high frequency heating device and element equipment

    International Nuclear Information System (INIS)

    Nagashima, Takashi

    1988-01-01

    On the high frequency heating device used for nuclear fusion experiment, the system constitution and the main items of development for the element equipment are described. As for the high frequency heating device, large technical progress was observed in the past 10 years as the second stage heating for tokamaks and one of the main means of current drive. At present, three frequency zones are regarded as promising for plasma high frequency heating in large nuclear fusion devices, and the experiment of 10 MW class is in progress at JT-60, JET and so on. There are electron cyclotron heating, lower hybrid resonance frequency heating and ion cyclotron range of frquency heating. The basic constitution of these heating devices includes a high frequency source, a transmission system, a connection system, and a common system for control, cooling, record and others. The ECH device using gyrotrons of several tens GHz, the LHRF heating device using large power klystrons up to several GHz and the ICRF heating device up to 200 MHz are briefly explained. The main element equipments composing the high frequency heating systems of several tens MW are discussed. (Kako, I.)

  2. 77 FR 58576 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers...

    Science.gov (United States)

    2012-09-21

    ... Devices, Portable Music and Data Processing Devices, Computers, and Components Thereof; Institution of... communication devices, portable music and data processing devices, computers, and components thereof by reason... alleges that an industry in the United States exists as required by subsection (a)(2) of section 337. The...

  3. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  4. Measurements of ion temperature and flow of pulsed plasmas produced by a magnetized coaxial plasma gun device using an ion Doppler spectrometer

    Science.gov (United States)

    Kitagawa, Y.; Sakuma, I.; Iwamoto, D.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is important to know surface damage characteristics of plasma-facing component materials during transient heat and particle loads such as type I ELMs. A magnetized coaxial plasma gun (MCPG) device has been used as transient heat and particle source in ELM simulation experiments. Characteristics of pulsed plasmas produced by the MCPG device play an important role for the plasma material interaction. In this study, ion temperature and flow velocity of pulsed He plasmas were measured by an ion Doppler spectrometer (IDS). The IDS system consists of a light collection system including optical fibers, 1m-spectrometer and a 16 channel photomultiplier tube (PMT) detector. The IDS system measures the width and Doppler shift of HeII (468.58 nm) emission line with the time resolution of 1 μs. The Doppler broadened and shifted spectra were measured with 45 and 135 degree angles with respect to the plasmoid traveling direction. The observed emission line profile was represented by sum of two Gaussian components to determine the temperature and flow velocity. The minor component at around the wavelength of zero-velocity was produced by the stationary plasma. As the results, the ion velocity and temperature were 68 km/s and 19 eV, respectively. Thus, the He ion flow energy is 97 eV. The observed flow velocity agrees with that measured by a time of flight technique.

  5. Plasma position control device

    International Nuclear Information System (INIS)

    Takase, Haruhiko.

    1987-01-01

    Purpose: To conduct position control stably to various plasmas and reduce the burden on the control coil power source. Constitution: Among the proportional, integration and differentiation controls, a proportional-differentiation control section and an integration control section are connected in parallel. Then, a signal switching circuit is disposed to the control signal input section for the proportional-differentiation control section such that either a present position of plasmas or deviation between the present plasma position and an aimed value can be selected as a control signal depending on the control procedures or the state of the plasmas. For instance, if a rapid response is required for the control, the deviation between the present plasma position and the aimed value is selected as the input signal to conduct proportional, integration and differentiation controls. While on the other hand, if it is intended to reduce the burden on the control coil power source, it is adapted such that the control signal inputted to the proportional-differentiation control section itself can select the present plasma position. (Yoshihara, H.)

  6. IEEE conference record -- Abstracts: 1996 IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    Anon.

    1996-01-01

    This meeting covered the following topics: space plasmas; non-equilibrium plasma processing; computer simulation of vacuum power tubes; vacuum microelectronics; microwave systems; basic phenomena in partially ionized gases -- gaseous electronics, electrical discharges; ball lightning/spherical plasma configuration; plasma diagnostics; plasmas for lighting; dense plasma focus; intense ion and electron beams; plasma, ion, and electron sources; flat panel displays; fast z-pinches and x-ray lasers; environmental/energy issues in plasma science; thermal plasma processing; computational plasma physics; magnetic confinement fusion; microwave-plasma interactions; space plasma engineering; EM and ETH launchers; fast wave devices; intense beam microwaves; slow wave devices; space plasma measurements; basic phenomena in fully ionized plasma -- waves, instabilities, plasma theory, etc; plasma closing switches; fast opening switches; and laser-produced plasma. Separate abstracts were prepared for most papers in this conference

  7. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  8. Fiscal 1998 achievement report on regional consortium research and development project. Venture business fostering regional consortium in its 2nd year--Creation of key industries (Development of multi-purpose high-precision plasma process); 1998 nendo tamokuteki koseido netsu plasma process no kaihatsu seika hokokusho. 2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Research and development is conducted of a new material process using thermal plasma for manufacturing new ceramics efficiently and for forming a high-quality spray-deposited ceramic coating high in melting point. In the development of devices, addition of an insulation convergence section enables the construction of a plasma jet generator which operates with stability across a range from atmospheric pressure through 10{sup -1} Torr and exhibits high thermal efficiency of approximately 70%. In the study of basic matters, the thermal plasma process is experimented for its optimization and the interaction between thermal plasma and injected materials is elucidated. In the development of a technology for manufacturing high-quality sprayed coatings, optimum plasma spraying conditions are established for each grain size of alumina/titania composite materials, with the rate of one component to the other taken into consideration. The optimum plasma spraying conditions are determined after examining the state of fused powder recovered after plasma spraying, porosity, the rate of coating adhesion, etc. It is found that coatings formed by high-power thermal plasma application are attributable to the vapor-solid process and, thanks to the finding, a crystal orientation control technology is developed for such coatings. (NEDO)

  9. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  10. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  11. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  12. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  13. Plasma jet printing for flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Gandhiraman, Ram P.; Singh, Eric; Diaz-Cartagena, Diana C.; Koehne, Jessica; Meyyappan, M. [Center for Nanotechnology, NASA Ames Research Center, Moffett Field, California 94035 (United States); Nordlund, Dennis [Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States)

    2016-03-21

    Recent interest in flexible electronics and wearable devices has created a demand for fast and highly repeatable printing processes suitable for device manufacturing. Robust printing technology is critical for the integration of sensors and other devices on flexible substrates such as paper and textile. An atmospheric pressure plasma-based printing process has been developed to deposit different types of nanomaterials on flexible substrates. Multiwalled carbon nanotubes were deposited on paper to demonstrate site-selective deposition as well as direct printing without any type of patterning. Plasma-printed nanotubes were compared with non-plasma-printed samples under similar gas flow and other experimental conditions and found to be denser with higher conductivity. The utility of the nanotubes on the paper substrate as a biosensor and chemical sensor was demonstrated by the detection of dopamine, a neurotransmitter, and ammonia, respectively.

  14. Optimization of neon soft X-ray emission from 200 J plasma focus device for application in soft X-ray lithography

    International Nuclear Information System (INIS)

    Kalaiselvi, S.M. P.; Tan, T.L.; Talebitaher, A.; Lee, Paul; Rawat, R.S.

    2014-01-01

    The Fast Miniature Plasma Focus (FMPF) device is basically made up of coaxial electrodes with centrally placed anode and six cathode rods surrounding them concentrically. They are enclosed in a vacuum chamber, filled with low pressure operating gas. However, in our experiments, these cathode rods were removed to investigate the influence of them on neon soft X-ray (SXR) and hard X-ray (HXR) emission from the device. On removal of cathode rods, the cathode base plate serves as cathode and the plasma sheath is formed between the anode and the base plate of cathode. Neon was used as the operating gas for our experiments and the FMPF device used is of 235 J energy capacities. The experimental results showed that the FMPF device was able to focus better and the SXR emission efficiency was five times higher without cathode rods than with cathode rods. On the contrary, HXR emission did not vary with and without cathode rods. This observed phenomenon was further cross-checked through imaging of plasma dynamics, with and without cathode rods. FMPF device consists of 4 Pseudo Spark Gap (PSG) switches, which need to operate synchronously to deliver high voltage from capacitors to the anode. It was also seen that, the presence or absence of cathode rods also influence the synchronous operation of PSG switches. It also implies that this is one definite way to optimize the SXR emission from the FMPF device. This study reveals an important finding that, cathode rods play a vital role in the formation of plasma sheath with consequential influence on the radiation emission from plasma focus devices. Enhancement of the X-ray emission from this device is definitely a stepping stone in the realization of this device for industrial applications such as X-ray lithography for semiconductor industries. (author)

  15. Magnetic pulse compression circuits for plasma devices

    Energy Technology Data Exchange (ETDEWEB)

    Georgescu, N; Zoita, V; Presura, R [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania)

    1997-12-31

    Two magnetic pulse compression circuits (MPCC), for two different plasma devices, are presented. The first is a 20 J/pulse, 3-stage circuit designed to trigger a low pressure discharge. The circuit has 16-18 kV working voltage, and 200 nF in each stage. The saturable inductors are realized with toroidal 25 {mu}m strip-wound cores, made of a Fe-Ni alloy, with 1.5 T saturation induction. The total magnetic volume is around 290 cm{sup 3}. By using a 25 kV/1 A thyratron as a primary switch, the time compression is from 3.5 {mu}s to 450 ns, in a short-circuit load. The second magnetic pulser is a 200 J/pulse circuit, designed to drive a high average power plasma focus soft X-ray source, for X-ray microlithography as the main application. The 3-stage pulser should supply a maximum load current of 100 kA with a rise-time of 250 - 300 ns. The maximum pulse voltage applied on the plasma discharge chamber is around 20 - 25 kV. The three saturable inductors in the circuit are made of toroidal strip-wound cores with METGLAS 2605 CO amorphous alloy as the magnetic material. The total, optimized mass of the magnetic material is 34 kg. The maximum repetition rate is limited at 100 Hz by the thyratron used in the first stage of the circuit, the driver supplying to the load about 20 kW average power. (author). 1 tab., 3 figs., 3 refs.

  16. Fast mega pixels video imaging of a toroidal plasma in KT5D device

    International Nuclear Information System (INIS)

    Xu Min; Wang Zhijiang; Lu Ronghua; Sun Xiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu Wandong; Wang Jun; Xiao Delong; Yu Yi; Zhu Zhenghua; Hu Linyin

    2005-01-01

    A direct imaging system, viewing visible light emission from plasmas tangentially or perpendicularly, has been set up on the KT5D toroidal device to monitor the real two-dimensional profiles of purely ECR generated plasmas. This system has a typical spatial resolution of 0.2 mm (1280x1024 pixels) when imaging the whole cross section. Interesting features of ECR plasmas have been found. Different from what classical theories have expected, a resonance layer with two or three bright spots, rather than an even vertical band, has been observed. In addition, images also indicate an intermittent splitting and drifting character of the plasmas

  17. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  18. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  19. Study on MHD instabilities in the CECI plasma device using Fourier probes

    International Nuclear Information System (INIS)

    Rosal, A.C.; Aso, Y.; Ueda, M.

    1991-01-01

    A magnetic diagnostics called Fourier analyser aiming to study MHD instabilities by Fourier series expansion of poloidal magnetic field for m ≤ 3 modes was developed and tested. The diagnostics will be used in the RFP (reversed field pinch) type toroidal plasma device. (M.C.K.)

  20. 78 FR 24775 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers and...

    Science.gov (United States)

    2013-04-26

    ... Devices, Portable Music and Data Processing Devices, Computers and Components Thereof; Commission Decision... importation of certain wireless communication devices, portable music and data processing devices, computers... '826 patent''). The complaint further alleges the existence of a domestic industry. The Commission's...

  1. 77 FR 38826 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers and...

    Science.gov (United States)

    2012-06-29

    ... Devices, Portable Music and Data Processing Devices, Computers and Components Thereof, Commission Decision... importation of certain wireless communication devices, portable music and data processing devices, computers... further alleges the existence of a domestic industry. The Commission's notice of investigation named Apple...

  2. 78 FR 12785 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers and...

    Science.gov (United States)

    2013-02-25

    ... Devices, Portable Music and Data Processing Devices, Computers and Components Thereof; Commission Decision... importation of certain wireless communication devices, portable music and data processing devices, computers... further alleges the existence of a domestic industry. The Commission's notice of investigation named Apple...

  3. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  4. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  5. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  6. 77 FR 52759 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers and...

    Science.gov (United States)

    2012-08-30

    ... Devices, Portable Music and Data Processing Devices, Computers and Components Thereof; Notice of... communication devices, portable music and data processing devices, computers and components thereof by reason of... complaint further alleges the existence of a domestic industry. The Commission's notice of investigation...

  7. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  8. High density high performance plasma with internal diffusion barrier in Large Helical Device

    International Nuclear Information System (INIS)

    Sakamoto, R.; Kobayashi, M.; Miyazawa, J.

    2008-10-01

    A attractive high density plasma operational regime, namely an internal diffusion barrier (IDB), has been discovered in the intrinsic helical divertor configuration on the Large Helical Device (LHD). The IDB which enables core plasma to access a high density/high pressure regime has been developed. It is revealed that the IDB is reproducibly formed by pellet fueling in the magnetic configurations shifted outward in major radius. Attainable central plasma density exceeds 1x10 21 m -3 . Central pressure reaches 1.5 times atmospheric pressure and the central β value becomes fairly high even at high magnetic field, i.e. β(0)=5.5% at B t =2.57 T. (author)

  9. Radially sheared azimuthal flows and turbulent transport in a cylindrical helicon plasma device

    International Nuclear Information System (INIS)

    Tynan, G R; Burin, M J; Holland, C; Antar, G; Diamond, P H

    2004-01-01

    A radially sheared azimuthal flow is observed in a cylindrical helicon plasma device. The shear flow is roughly azimuthally symmetric and contains both time-stationary and slowly varying components. The turbulent radial particle flux is found to peak near the density gradient maximum and vanishes at the shear layer location. The shape of the radial plasma potential profile associated with the azimuthal E x B flow is predicted accurately by theory. The existence of the mean shear flow in a plasma with finite flow damping from ion-neutral collisions and no external momentum input implies the existence of radial angular momentum transport from the turbulent Reynolds-stress

  10. Plasma engineering: a perspective

    International Nuclear Information System (INIS)

    Gralnick, S.L.

    1978-01-01

    This review paper will present the authors perspective of the field of Plasma Engineering as it has evolved over the preceding five years. This embrionic discipline has grown in that period of time to the point where it is sufficiently mature to become part of the curriculum, and a speciality within, the discipline of Nuclear Engineering. Plasma Engineering can be distinguished from the underlying science of plasma physics in that in the pursuit of the latter, our goal is the understanding of the fundamental processes governing the behavior of plasmas while the former discipline seeks the embodiment of these concepts in useful devices. Consequent to this goal, the plasma engineer, of necessity, is concerned with the interfaces between a plasma configuration and the device by which it is produced and maintained. These interface problems, often referred to as kitchen physics are multidisciplinary in nature, and their solution requires careful attention to both plasma physics and machine engineering detail

  11. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  12. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  13. Particle modeling of plasmas computational plasma physics

    International Nuclear Information System (INIS)

    Dawson, J.M.

    1991-01-01

    Recently, through the development of supercomputers, a powerful new method for exploring plasmas has emerged; it is computer modeling of plasmas. Such modeling can duplicate many of the complex processes that go on in a plasma and allow scientists to understand what the important processes are. It helps scientists gain an intuition about this complex state of matter. It allows scientists and engineers to explore new ideas on how to use plasma before building costly experiments; it allows them to determine if they are on the right track. It can duplicate the operation of devices and thus reduce the need to build complex and expensive devices for research and development. This is an exciting new endeavor that is in its infancy, but which can play an important role in the scientific and technological competitiveness of the US. There are a wide range of plasma models that are in use. There are particle models, fluid models, hybrid particle fluid models. These can come in many forms, such as explicit models, implicit models, reduced dimensional models, electrostatic models, magnetostatic models, electromagnetic models, and almost an endless variety of other models. Here the author will only discuss particle models. He will give a few examples of the use of such models; these will be taken from work done by the Plasma Modeling Group at UCLA because he is most familiar with work. However, it only gives a small view of the wide range of work being done around the US, or for that matter around the world

  14. Experimental study of the performance of a very small repetitive plasma focus device in different working conditions

    Energy Technology Data Exchange (ETDEWEB)

    Goudarzi, S., E-mail: sgoudarzi@aeoi.org.ir; Babaee, H.; Esmaeli, A.; Nasiri, A. [Atomic Energy Organization of Iran, Plasma and Nuclear Fusion Research School, Nuclear Science and Technology Research Institute (Iran, Islamic Republic of)

    2017-01-15

    SORENA-1 is a very small repetitive Mather-type plasma focus device (20 J) that can operate at frequencies up to 1 Hz. This device has been designed and constructed in the Plasma and Nuclear Fusion Research School of the Nuclear Science and Technology Research Institute of Iran. In this article, the structure of SORENA-1 is described and results of experiments with Ar, Ne, and D{sub 2} working gases at several discharge voltages and initial pressures are presented and analyzed.

  15. Hole injection enhancement in organic light emitting devices using plasma treated graphene oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jesuraj, P. Justin; Parameshwari, R. [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India); Kanthasamy, K.; Koch, J. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Pfnür, H. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Laboratorium für Nano- und Quantene$ngineering, Schneiderberg 30, D-30167, Hannover (Germany); Jeganathan, K., E-mail: kjeganathan@yahoo.com [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India)

    2017-03-01

    Graphical abstract: Plasma treated Graphene oxide for hole injection enhancement in OLEDs. - Highlights: • Oxygen (O{sub 2}) and hydrogen (H{sub 2}) plasma exposed graphene oxide (GO) sheets have been demonstrated as hole buffer layers in OLEDs. • O{sub 2} plasma exposure induces assimilation of oxygen contents in GO lattice resulting in improved work function that reduced the hole injection barrier further. Whereas, H{sub 2} plasma contrastingly reduced the GO by excluding oxygen which ensuing lower work function. • X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy investigations reveal the capricious amount of oxygen in GO lattice and its corresponding work function variations. • GO and O{sub 2} plasma treated GO significantly improves the current efficiency of OLEDs more than one order with notable reduction in turn on voltage. - Abstract: The hole injection layer (HIL) with high work function (WF) is desirable to reduce the injection barrier between anode and hole transport layer in organic light emitting devices (OLED). Here, we report a novel approach to tune the WF of graphene oxide (GO) using oxygen and hydrogen plasma treatment and its hole injection properties in OLEDs. The mild exposure of oxygen plasma on GO (O{sub 2}-GO) significantly reduces the injection barrier by increasing the WF of anode (4.98 eV) through expansion of C−O bonds. In contrast, the hole injection barrier was drastically increased for hydrogen plasma treated GO (H{sub 2}-GO) layers as the WF is lowered by the contraction of C−O bond. By employing active O{sub 2}-GO as HIL in OLEDs found to exhibit superior current efficiency of 4.2 cd/A as compared to 3.3 cd/A for pristine GO. Further, the high injection efficiency of O{sub 2}-GO infused hole only device can be attributed to the improved energy level matching. Ultraviolet and X-ray photoelectron spectroscopy were used to correlate the WF of HIL infused anode towards the enhanced performance of

  16. Development of procedure using plasma welding process to produce 125I seeds

    International Nuclear Information System (INIS)

    Feher, Anselmo

    2006-01-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing 125 I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed 125 I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal 125 I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  17. First comparative analysis concerning the plasma platelet contamination during MNC collection.

    Science.gov (United States)

    Pfeiffer, Hella; Achenbach, Susanne; Strobel, Julian; Zimmermann, Robert; Eckstein, Reinhold; Strasser, Erwin F

    2017-08-01

    Monocytes can be cultured into dendritic cells with addition of autologous plasma, which is highly prone to platelet contamination due to the apheresis process. Since platelets affect the maturation process of monocytes into dendritic cells and might even lead to a diminished harvest of dendritic cells, it is very important to reduce the platelet contamination. A new collection device (Spectra Optia) was analyzed, compared to two established devices (COM.TEC, Cobe Spectra) and evaluated regarding the potential generation of source plasma. Concurrent plasma collected during leukapheresis was analyzed for residual cell contamination in a prospective study with the new Spectra Optia apheresis device (n=24) and was compared with COM.TEC and Cobe Spectra data (retrospective analysis, n=72). Donor pre-donation counts of platelets were analyzed for their predictive value of contaminating PLTs in plasma harvests. The newest apheresis device showed the lowest residual platelet count of the collected concurrent plasma (median 3.50×10 9 /l) independent of pre-donation counts. The other two devices and sets had a higher platelet contamination. The contamination of the plasma with leukocytes was very low (only 2.0% were higher than 0.5×10 9 /l). This study showed a significant reduction of platelet contamination of the concurrent plasma collected with the new Spectra Optia device. This plasma product with low residual platelets and leukocytes might also be used as plasma for fractionation. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  19. Characterization of light ion beams generated by a plasma focus device

    International Nuclear Information System (INIS)

    Koo, Bon Cheul

    1999-02-01

    Plasma focus device has been studied as neutron and X-ray sources generated from the high pressure fusion reaction during Z-pinch. Recently, the scope of the device is focused on efficient neutron generation, X-ray lithography, preliminary fusion experiment, and ion/electron beam generation devices. A Hexagonal Beam Generator with six parallel capacitors has been developed and generated ion beams from 30kJ(C=6 μ F, V= 100kV) maximum energy. To find the optimum condition of ion beam generation, the correlation among charging voltage(20∼30kV), operation pressure of chamber(0.1∼5 torr), and length of electrode has been studied. To measure ion beam, a Faraday Cup and 3 Rogowski coils were installed. Energy of ion beam was obtained by adopting time-of -flight method between Rogowski coils

  20. Tank vent processing system having a corrosion preventive device

    International Nuclear Information System (INIS)

    Ouchi, Shoichi; Sato, Hirofumi

    1987-01-01

    Purpose: To prevent corrosion of a tank vent processing device by injecting an oxygen gas. Constitution: Oxygen gas and phosphorous at high temperature are poured into a tank vent processing device and amorphous oxide layers optimum to the prevention of external corrosion are formed to the inner surface of the device. Since the corrosion preventive device using the oxygen gas injection can be constituted as a relatively simple device, it is more economical than constituting a relatively large tank vent processing device with corrosion resistant stainless steels. (Kamimura, M.)

  1. Challenges for Plasma Diagnostic in a Next Step Device (FIRE)

    International Nuclear Information System (INIS)

    Young, Kenneth M.

    2002-01-01

    The physics program of any next-step tokamak such as FIRE [Fusion Ignition Research Experiment] sets demands for plasma measurement which are at least as comprehensive as on present tokamaks, with the additional capabilities needed for control of the plasma and for understanding the effects of the alpha-particles. The diagnostic instrumentation must be able to provide the fine spatial and temporal resolution required for the advanced tokamak plasma scenarios. It must also be able to overcome the effects of neutron- and gamma-induced electrical noise in ceramic components or detectors, and fluorescence and absorption in optical components. There are practical engineering issues of minimizing radiation streaming while providing essential diagnostic access to the plasma. Many diagnostics will require components at or close to the first wall, e.g., ceramics and MI cable for magnetic diagnostics and mirrors for optical diagnostics; these components must be mounted to operate, and survive, i n fluxes which require special material selection. A better set of diagnostics of alpha-particles than that available for the TFTR [Tokamak Fusion Test Reactor] is essential; it must be qualified well before moving into D-T [deuterim-tritium] experiments. A start has been made to assessing the potential implementation of key diagnostics for the FIRE device. The present status is described

  2. Nitriding of Ti substrate using energetic ions from plasma focus device

    International Nuclear Information System (INIS)

    Henriquez, A; Bhuyan, H; Favre, M; Bora, B; Wyndham, E; Chuaqui, H; Mändl, S; Gerlach, J W; Manova, D

    2012-01-01

    Plasma Focus (PF) discharge is a pulsed plasma producing discharge that generates high temperature and high density plasma for a short duration. PF devices are known to emit intense ion beams pulses of characteristic energy in the keV to a few MeV range, in a time scale of tens of nanoseconds. We have previously investigated the ion flux and energy spectrum of ion beams emitted from a low energy PF, operating at 20 kV, with 1.8 kJ stored energy. It was observed that the ion beams have wide range of energy and intensity spectra with a clear angular anisotropy. Due to the wide range of ion energy and intensity spectra PF has become a subject of current interest for its applications in material sciences including surface modification and thin film deposition. The purpose of this study is the formation of titanium nitride (TiN) thin film and to investigate the structural properties of the TiN thin films in terms of PF angular positions. Substrates like Ti and Ti/Si were nitrided in a 1.8 kJ PF device at different angular positions with respect to the PF axis in order to correlate their surface properties with ion beam parameters. Preliminary characterizations of the ion implanted substrates have been conducted, using SEM, EDX and XRD. Our results indicate the formation of nanocrystalline TiN thin film only in certain angular positions. Angular dependency of the surface morphology was observed, which shows that the surface features strongly depends on ion beam energy and flux. With increasing angular positions, a reduction in the deposition rate and the sputter rate is observed. A pronounced nanostructured surface is only observed at the axis of the pinched plasma column, indicating the dominant role of sputtering and perhaps melting and fast re-crystallization of the surface in creating the nanostructures.

  3. Performance of large electron energy filter in large volume plasma device

    International Nuclear Information System (INIS)

    Singh, S. K.; Srivastava, P. K.; Awasthi, L. M.; Mattoo, S. K.; Sanyasi, A. K.; Kaw, P. K.; Singh, R.

    2014-01-01

    This paper describes an in-house designed large Electron Energy Filter (EEF) utilized in the Large Volume Plasma Device (LVPD) [S. K. Mattoo, V. P. Anita, L. M. Awasthi, and G. Ravi, Rev. Sci. Instrum. 72, 3864 (2001)] to secure objectives of (a) removing the presence of remnant primary ionizing energetic electrons and the non-thermal electrons, (b) introducing a radial gradient in plasma electron temperature without greatly affecting the radial profile of plasma density, and (c) providing a control on the scale length of gradient in electron temperature. A set of 19 independent coils of EEF make a variable aspect ratio, rectangular solenoid producing a magnetic field (B x ) of 100 G along its axis and transverse to the ambient axial field (B z ∼ 6.2 G) of LVPD, when all its coils are used. Outside the EEF, magnetic field reduces rapidly to 1 G at a distance of 20 cm from the center of the solenoid on either side of target and source plasma. The EEF divides LVPD plasma into three distinct regions of source, EEF and target plasma. We report that the target plasma (n e ∼ 2 × 10 11  cm −3 and T e ∼ 2 eV) has no detectable energetic electrons and the radial gradients in its electron temperature can be established with scale length between 50 and 600 cm by controlling EEF magnetic field. Our observations reveal that the role of the EEF magnetic field is manifested by the energy dependence of transverse electron transport and enhanced transport caused by the plasma turbulence in the EEF plasma

  4. Neutral particle kinetics in fusion devices

    International Nuclear Information System (INIS)

    Tendler, M.; Heifetz, D.

    1986-05-01

    The theory of neutral particle kinetics treats the transport of mass, momentum, and energy in a plasma due to neutral particles which themselves are unaffected by magnetic fields. This transport affects the global power and particle balances in fusion devices, as well as profile control and plasma confinement quality, particle and energy fluxes onto device components, performance of pumping systems, and the design of diagnostics and the interpretation of their measurements. This paper reviews the development of analytic, numerical, and Monte Carlo methods of solving the time-independent Boltzmann equation describing neutral kinetics. These models for neutral particle behavior typically use adaptations of techniques developed originally for computing neutron transport, due to the analogy between the two phenomena, where charge-exchange corresponds to scattering and ionization to absorption. Progress in the field depends on developing multidimensional analytic methods, and obtaining experimental data for the physical processes of wall reflection, the neutral/plasma interaction, and for processes in fusion devices which are directly related to neutral transport, such as H/sub α/ emission rates, plenum pressures, and charge-exchange emission spectra

  5. Neutral particle kinetics in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Tendler, M.; Heifetz, D.

    1986-05-01

    The theory of neutral particle kinetics treats the transport of mass, momentum, and energy in a plasma due to neutral particles which themselves are unaffected by magnetic fields. This transport affects the global power and particle balances in fusion devices, as well as profile control and plasma confinement quality, particle and energy fluxes onto device components, performance of pumping systems, and the design of diagnostics and the interpretation of their measurements. This paper reviews the development of analytic, numerical, and Monte Carlo methods of solving the time-independent Boltzmann equation describing neutral kinetics. These models for neutral particle behavior typically use adaptations of techniques developed originally for computing neutron transport, due to the analogy between the two phenomena, where charge-exchange corresponds to scattering and ionization to absorption. Progress in the field depends on developing multidimensional analytic methods, and obtaining experimental data for the physical processes of wall reflection, the neutral/plasma interaction, and for processes in fusion devices which are directly related to neutral transport, such as H/sub ..cap alpha../ emission rates, plenum pressures, and charge-exchange emission spectra.

  6. CMOS and BiCMOS process integration and device characterization

    CERN Document Server

    El-Kareh, Badih

    2009-01-01

    Covers both the theoretical and practical aspects of modern silicon devices and the relationship between their electrical properties and processing conditions. This book also covers silicon devices and integrated process technologies. It discusses modern silicon devices, their characteristics, and interactions with process parameters.

  7. Plasma parameter estimations for the Large Helical Device based on the gyro-reduced Bohm scaling

    International Nuclear Information System (INIS)

    Okamoto, Masao; Nakajima, Noriyoshi; Sugama, Hideo.

    1991-10-01

    A model of gyro-reduced Bohm scaling law is incorporated into a one-dimensional transport code to predict plasma parameters for the Large Helical Device (LHD). The transport code calculations reproduce well the LHD empirical scaling law and basic parameters and profiles of the LHD plasma are calculated. The amounts of toroidal currents (bootstrap current and beam-driven current) are also estimated. (author)

  8. Magneto-plasma separating technologies and their possible application for conversion spent fuel and radioactive waste

    International Nuclear Information System (INIS)

    Kovtun, Yu.V.; Skyibenko, Je.Yi.; Yuferov, V.B.

    2007-01-01

    A problem of spent fuel (SF) and radioactive waste (RAW) processing is considered in the views of using magneto-plasma technologies. Basing on this analysis, the block-diagram of RAW processing by the technology using a magneto-plasma separator is offered. The paper describes the device for material element separation, where the main physical mechanism of plasma formation and heating are collective processes involved by the plasma-beam interaction. The dimensions of a pilot-separating device are determined

  9. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  10. Compact and tunable focusing device for plasma wakefield acceleration

    Science.gov (United States)

    Pompili, R.; Anania, M. P.; Chiadroni, E.; Cianchi, A.; Ferrario, M.; Lollo, V.; Notargiacomo, A.; Picardi, L.; Ronsivalle, C.; Rosenzweig, J. B.; Shpakov, V.; Vannozzi, A.

    2018-03-01

    Plasma wakefield acceleration, either driven by ultra-short laser pulses or electron bunches, represents one of the most promising techniques able to overcome the limits of conventional RF technology and allows the development of compact accelerators. In the particle beam-driven scenario, ultra-short bunches with tiny spot sizes are required to enhance the accelerating gradient and preserve the emittance and energy spread of the accelerated bunch. To achieve such tight transverse beam sizes, a focusing system with short focal length is mandatory. Here we discuss the development of a compact and tunable system consisting of three small-bore permanent-magnet quadrupoles with 520 T/m field gradient. The device has been designed in view of the plasma acceleration experiments planned at the SPARC_LAB test-facility. Being the field gradient fixed, the focusing is adjusted by tuning the relative position of the three magnets with nanometer resolution. Details about its magnetic design, beam-dynamics simulations, and preliminary results are examined in the paper.

  11. Functionalization of polymer powders for SLS-processes using an atmospheric plasma jet in a fluidized bed reactor

    Energy Technology Data Exchange (ETDEWEB)

    Sachs, Marius; Schmitt, Adeliene; Schmidt, Jochen; Peukert, Wolfgang; Wirth, Karl-Ernst [Institute of Particle Technology, Friedrich-Alexander-University Erlangen-Nuremberg (Germany)

    2015-05-22

    Recently additive manufacturing processes such as selective laser sintering (SLS) of polymers have gained more importance for industrial applications [1]. Tailor-made modification of polymers is essential in order to make these processes more efficient and to cover the industrial demands. The so far used polymer materials show weak performance regarding the mechanical stability of processed parts. To overcome this limitation, a new route to functionalize the surface of commercially available polymer particles (PA12; PE-HD; PP) using an atmospheric plasma jet in combination with a fluidized bed reactor has been investigated. Consequently, an improvement of adhesion and wettability [2] of the polymer surface without restraining the bulk properties of the powder is achieved. The atmospheric plasma jet process can provide reactive species at moderate temperatures which are suitable for polymer material. The functionalization of the polymer powders improves the quality of the devices build in a SLS-process.

  12. A study of x-ray emission from the anode region in a plasma focus device

    International Nuclear Information System (INIS)

    Jia Wang; Tsinchi Yang

    1988-01-01

    The physical process of x-ray emission from the anode region in a plasma focus device due to the interaction of a powerful electron beam with the metal anode and with ionised metallic vapour from the anode is investigated. The influence of the magnetic field of the beam is taken into consideration. A MC-PIC model (Monte Carlo-particle in cell) is proposed for the process, in which an electron-photon collision cascade is simulated by the MC approach and the time-dependent state of metallic vapour is determined by PIC computation. The time-resolved energy spectra and angular distributions of x-ray emission from the extending anode region are calculated. The time-integrated characteristics of the x-ray emission can be compared with the results of experiments as far as they are available. (author)

  13. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y. [eds.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage.

  14. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    International Nuclear Information System (INIS)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage

  15. Sample processing device and method

    DEFF Research Database (Denmark)

    2011-01-01

    A sample processing device is disclosed, which sample processing device comprises a first substrate and a second substrate, where the first substrate has a first surface comprising two area types, a first area type with a first contact angle with water and a second area type with a second contact...... angle with water, the first contact angle being smaller than the second contact angle. The first substrate defines an inlet system and a preparation system in areas of the first type which two areas are separated by a barrier system in an area of the second type. The inlet system is adapted to receive...

  16. Current scaling of plasma focus devices

    International Nuclear Information System (INIS)

    Schiuma, C.; Herold, H.; Kaeppeler, H.J.; Shakhatre, M.; Auluck, S.K.H.

    1990-03-01

    In continuation of the work by G. Decker et al. on current and neutron yield scaling of plasma focus devices an analytical solution for the circuit equation (with resistance R = 0) in the compression phase was derived. Together with the solution for the rundown phase from G. Decker et al, which was extended for finite resistance (R ≠ 0), there follows an analytical scaling theory for maximum and pinch currents. At the same time there exists the possibility to discuss the influence of finite resistance on current variation and scaling parameters. The model solutions were checked out by numerical integrations of the current equation. While at the beginning of the rundown phase the ohmic resistance cannot be neglected (the magnitude R/L plays an important role), its influence at the end of the rundown phase and in the compression phase is negligible. The theoretically determined values are compared with the results of numerous probe measurements. (orig.)

  17. Research program for plasma confinement and heating in ELMO bumpy torus devices

    International Nuclear Information System (INIS)

    Dandl, R.A.; Dory, R.A.; Eason, H.O.

    1975-06-01

    A sequence of experimental devices and related research activities which leads progressively toward an attractive full-scale reactor is described. The implementation of the steps in this sequence hinges on the development of microwave power sources, with high specific power levels, at millimeter wavelengths. Two proposed steps in this sequence are described. The first step proposed here, denoted EBT-S, requires increasing the EBT magnetic field to permit microwave heating at 18 and 28 GHz, as compared to the present 10.6 and 18-GHz configuration. A three-fold increase in plasma density, some increase in the temperatures, and an opportunity to test the validity of the transport models presently used to predict the plasma parameters are anticipated. This step will provide important operating experience with the 28-GHz power supplies, which are prototype tubes for millimeter sources at 120 GHz In the second step a new superconducting bumpy torus, EBT-II, would be fabricated to permit microwave heating at 90 and 120 GHz. This device would be designed to produce plasma densities and temperatures comparable to those of present-day tokamaks. This report reviews the experimental and theoretical research on EBT that has been carried out to date or formulated for the near future, and provides a status report as well as a research program plan. (U.S.)

  18. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  19. Helium ion distributions in a 4 kJ plasma focus device by 1 mm-thick large-size polycarbonate detectors

    Science.gov (United States)

    Sohrabi, M.; Habibi, M.; Ramezani, V.

    2014-11-01

    Helium ion beam profile, angular and iso-ion beam distributions in 4 kJ Amirkabir plasma focus (APF) device were effectively observed by the unaided eyes and studied in single 1 mm-thick large-diameter (20 cm) polycarbonate track detectors (PCTD). The PCTDs were processed by 50 Hz-HV electrochemical etching using a large-size ECE chamber. The results show that helium ions produced in the APF device have a ring-shaped angular distribution peaked at an angle of ∼ ± 60 ° with respect to the top of the anode. Some information on the helium ion energy and distributions is also provided. The method is highly effective for ion beam studies.

  20. Automated Processing of Plasma Samples for Lipoprotein Separation by Rate-Zonal Ultracentrifugation.

    Science.gov (United States)

    Peters, Carl N; Evans, Iain E J

    2016-12-01

    Plasma lipoproteins are the primary means of lipid transport among tissues. Defining alterations in lipid metabolism is critical to our understanding of disease processes. However, lipoprotein measurement is limited to specialized centers. Preparation for ultracentrifugation involves the formation of complex density gradients that is both laborious and subject to handling errors. We created a fully automated device capable of forming the required gradient. The design has been made freely available for download by the authors. It is inexpensive relative to commercial density gradient formers, which generally create linear gradients unsuitable for rate-zonal ultracentrifugation. The design can easily be modified to suit user requirements and any potential future improvements. Evaluation of the device showed reliable peristaltic pump accuracy and precision for fluid delivery. We also demonstrate accurate fluid layering with reduced mixing at the gradient layers when compared to usual practice by experienced laboratory personnel. Reduction in layer mixing is of critical importance, as it is crucial for reliable lipoprotein separation. The automated device significantly reduces laboratory staff input and reduces the likelihood of error. Overall, this device creates a simple and effective solution to formation of complex density gradients. © 2015 Society for Laboratory Automation and Screening.

  1. Interaction of dense nitrogen plasma with SS304 surface using APF plasma focus device

    Science.gov (United States)

    Afrashteh, M.; Habibi, M.; Heydari, E.

    2012-04-01

    The nitridation of SS304 surfaces is obtained by irradiating nitrogen ions from Amirkabir plasma focus device, which use multiple focus deposition shots at optimum distance 10 cm from the anode. The Vickers Micro-Hardness values are improved more than twice for the nitrided samples comparing to the nonnitrided ones. The X-ray diffraction (XRD) analysis is carried out in order to explore the phase changes in the near surface structure of the metals. The results of Scanning Electron Microscopy (SEM) indicate changes in surface morphology which are the emergence of smooth and uniform film on the surface of the nitrided metals.

  2. The measurement of potential distribution of plasma in MM-4 fusion device

    International Nuclear Information System (INIS)

    Tian Zhongyu; Ming Linzhou; Feng Xiaozhen; Feng Chuntang; Yi Youjun; Wang Jihai; Liu Yihua

    1988-11-01

    Some experimental results of the potential distribution in MM-4 fusion device are presented by measuring the floating potential of probe. The results showed that the distribution of axial potential is asymmetrical, but the radial potential is symmetrical. There are double ion potential wells in the plasma. The depth of the deepest potential well become deeper is the strength of the magnetic field and injection current are increasing. The location of the deepest well is moved towards the device center along with the increasing of injection energy. This is different from others results. The mechanism of causing this distribution in also discussed

  3. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  4. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  5. State of art data acquisition system for large volume plasma device

    International Nuclear Information System (INIS)

    Sugandhi, Ritesh; Srivastava, Pankaj; Sanyasi, Amulya Kumar; Srivastav, Prabhakar; Awasthi, Lalit Mohan; Mattoo, Shiban Krishna; Parmar, Vijay; Makadia, Keyur; Patel, Ishan; Shah, Sandeep

    2015-01-01

    The Large volume plasma device (LVPD) is a cylindrical device (ϕ = 2m, L = 3m) dedicated for carrying out investigations on plasma physics problems ranging from excitation of whistler structures to plasma turbulence especially, exploring the linear and nonlinear aspects of electron temperature gradient(ETG) driven turbulence, plasma transport over the entire cross section of LVPD. The machine operates in a pulsed mode with repetition cycle of 1 Hz and acquisition pulse length of duration of 15 ms, presently, LVPD has VXI data acquisition system but this is now in phasing out mode because of non-functioning of its various amplifier stages, expandability and unavailability of service support. The VXI system has limited capabilities to meet new experimental requirements in terms of numbers of channel (16), bit resolutions (8 bit), record length (30K points) and calibration support. Recently, integration of new acquisition system for simultaneous sampling of 40 channels of data, collected over multiple time scales with high speed is successfully demonstrated, by configuring latest available hardware and in-house developed software solutions. The operational feasibility provided by LabVIEW platform is not only for operating DAQ system but also for providing controls to various subsystems associated with the device. The new system is based on PXI express instrumentation bus and supersedes the existing VXI based data acquisition system in terms of instrumentation capabilities. This system has capability to measure 32 signals at 60 MHz sampling frequency and 8 signals with 1.25 GHz with 10 bit and 12 bit resolution capability for amplitude measurements. The PXI based system successfully addresses and demonstrate the issues concerning high channel count, high speed data streaming and multiple I/O modules synchronization. The system consists of chassis (NI 1085), 4 high sampling digitizers (NI 5105), 2 very high sampling digitizers (NI 5162), data streaming RAID drive (NI

  6. A new multi-line cusp magnetic field plasma device (MPD) with variable magnetic field

    Science.gov (United States)

    Patel, A. D.; Sharma, M.; Ramasubramanian, N.; Ganesh, R.; Chattopadhyay, P. K.

    2018-04-01

    A new multi-line cusp magnetic field plasma device consisting of electromagnets with core material has been constructed with a capability to experimentally control the relative volume fractions of magnetized to unmagnetized plasma volume as well as accurate control on the gradient length scales of mean density and temperature profiles. Argon plasma has been produced using a hot tungsten cathode over a wide range of pressures 5 × 10-5 -1 × 10-3 mbar, achieving plasma densities ranging from 109 to 1011 cm-3 and the electron temperature in the range 1-8 eV. The radial profiles of plasma parameters measured along the non-cusp region (in between two consecutive magnets) show a finite region with uniform and quiescent plasma, where the magnetic field is very low such that the ions are unmagnetized. Beyond that region, both plasma species are magnetized and the profiles show gradients both in temperature and density. The electrostatic fluctuation measured using a Langmuir probe radially along the non-cusp region shows less than 1% (δIisat/Iisat physics parameter space relevant to both laboratory multi-scale plasmas and astrophysical plasmas.

  7. Study of the Plasma Evolution in the PF-1000 Device by Means of Optical Diagnostics

    International Nuclear Information System (INIS)

    Kasperczuk, A.; Kumar, R.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Scholz, M.; Tomaszewski, K.

    2002-01-01

    Investigation of a plasma evolution was carried out in a PF-1000 device with the following parameters: diameter of the inner electrode -24.4 cm, diameter of the outer one -36.8 cm, charging voltage in the range of 30-40 kV and deuterium pressure in the range of 1-5 1 To study the evolution of the plasma, an optical frame camera and YAGlaser shadowgraphy, both with exposure times of about 1 ns, were employed. Among the cases analyzed of plasma focus discharges, two types of plasma sheath disturbance can be distinguished: type I - classical MHD m = 0 instability (a wave with four maxima in the main), type 11 - singular great scale disturbance. On the basis of the plasma images, the dynamics of the plasma sheath, characteristic periods of the plasma evolution and plasma dimensions were determined. In order to reconstruct the spatial distribution of the electron density a special method was prepared

  8. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  9. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    Science.gov (United States)

    Neu, R.

    2006-04-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures.

  10. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    International Nuclear Information System (INIS)

    Neu, R.

    2006-01-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures

  11. Three-dimensional simulation study of compact toroid injection into magnetized plasmas

    International Nuclear Information System (INIS)

    Yoshio Suzuki; Tomohiko Watanabe; Tetsuya Sato; Takaya Hayashi

    1999-01-01

    Three-dimensional dynamics of a compact toroid (CT), which is injected into a magnetized target plasma modeling a part of a fusion device is investigated by using magnetohydrodynamic numerical simulations. It is found that the injected CT penetrates into the device region, suffering from a tilting instability. In this process, magnetic reconnection between the CT magnetic field and the device magnetic field takes place, which disrupts the magnetic configuration of the CT. As a result, the high density plasma confined in the CT magnetic field is locally supplied in the device region. Furthermore, the authors examine the penetration depth of the CT high density plasma. And it is revealed that the CT high density plasma is decelerated by the device magnetic field through the compressional heating

  12. DIAGNOSTICS FOR EROSION AND DEPOSITION PROCESSES IN FUSION PLASMAS

    NARCIS (Netherlands)

    van Rooij, G. J.; Wright, G. M.

    2010-01-01

    An overview is given of the wide range of diagnostics that is providing valuable information on the interaction between plasma and the material wall in a fusion device. Of each technique, a brief description is given in combination with the main advantages and disadvantages for PSI research.

  13. Diagnostics for erosion and deposition processes in fusion plasmas

    NARCIS (Netherlands)

    van Rooij, G. J.; Wright, G. M.

    2012-01-01

    An overview is given of the wide range of diagnostics that is providing valuable information on the interaction between plasma and the material wall in a fusion device. Of each technique, a brief description is given in combination with the main advantages and disadvantages for PSI research.

  14. Diagnostics for erosion and deposition processes in fusion plasmas

    NARCIS (Netherlands)

    van Rooij, G. J.; Wright, G. M.

    2008-01-01

    An overview is given of the wide range of diagnostics that is providing valuable information on the interaction between plasma and the material wall in a fusion device. Of each technique, a brief description is given in combination with the main advantages and disadvantages for PSI research.

  15. Simulation of impurity transport in the peripheral plasma due to the emission of dust in long pulse discharges on the Large Helical Device

    Directory of Open Access Journals (Sweden)

    M. Shoji

    2017-08-01

    Full Text Available Two different plasma termination processes by dust emission were observed in long pulse discharges in the Large Helical Device. One is a plasma termination caused by large amounts of carbon dust released from a lower divertor region. The other is termination caused by stainless steel (iron dust emission from the surface of a helical coil can. The effect of the dust emission on the sustainment of the long pulse discharges are investigated using a three-dimensional edge plasma transport code (EMC3-EIRENE coupled with a dust transport code (DUSTT. The simulation shows that the plasma is more influenced by the iron dust emission from the helical coil can than by the carbon dust emission from the divertor region. The simulation revealed that the plasma flow in divertor legs is quite effective for preventing dust from terminating the long pulse discharges.

  16. Plasma devices for focusing extreme light pulses

    International Nuclear Information System (INIS)

    Fuchs, J.; Gonoskov, A.A.; Nakatsutsumi, M.; Nazarov, W.; Quere, F.; Sergeev, A.M.; Yan, X.Q.

    2014-01-01

    Since the inception of the laser, there has been a constant push toward increasing the laser peak intensity, as this has lead to opening the exploration of new territories, and the production of compact sources of particles and radiation with unprecedented characteristics. However, increasing the peak laser intensity is usually performed by enhancing the produced laser properties, either by lowering its duration or increasing its energy, which involves a great level of complexity for the laser chain, or comes at great cost. Focusing tightly is another possibility to increase the laser intensity, but this comes at the risk of damaging the optics with target debris, as it requires their placement in close proximity to the interaction region. Plasma devices are an attractive, compact alternative to tightly focus extreme light pulses and further increase the final laser intensity. (authors)

  17. A comprehensive study of electrostatic turbulence and transport in the laboratory basic plasma device TORPEX

    Science.gov (United States)

    Furno, I.; Fasoli, A.; Avino, F.; Bovet, A.; Gustafson, K.; Iraji, D.; Labit, B.; Loizu, J.; Ricci, P.; Theiler, C.

    2012-04-01

    TORPEX is a toroidal device located at the CRPP-EPFL in Lausanne. In TORPEX, a vertical magnetic field superposed on a toroidal field creates helicoidal field lines with both ends terminating on the torus vessel. The turbulence driven by magnetic curvature and plasma gradients causes plasma transport in the radial direction while at the same time plasma is progressively lost along the field lines. The relatively simple magnetic geometry and diagnostic access of the TORPEX configuration facilitate the experimental study of low frequency instabilities and related turbulent transport, and make an accurate comparison between simulations and experiments possible. We first present a detailed investigation of electrostatic interchange turbulence, associated structures and their effect on plasma using high-resolution diagnostics of plasma parameters and wave fields throughout the whole device cross-section, fluid models and numerical simulations. Interchange modes nonlinearly develop blobs, radially propagating filaments of enhanced plasma pressure. Blob velocities and sizes are obtained from probe measurements using pattern recognition and are described by an analytical expression that includes ion polarization currents, parallel sheath currents and ion-neutral collisions. Then, we describe recent advances of a non-perturbative Li 6+ miniaturized ion source and a detector for the investigation of the interaction between supra thermal ions and interchange-driven turbulence. We present first measurements of the spatial and energy space distribution of the fast ion beam in different plasma scenarios, in which the plasma turbulence is fully characterized. The experiments are interpreted using two-dimensional fluid simulations describing the low-frequency interchange turbulence, taking into account the plasma source and plasma losses at the torus vessel. By treating fast ions as test particles, we integrate their equations of motion in the simulated electromagnetic fields, and

  18. Air core poloidal magnetic field system for a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Marcus, F.B.

    1978-01-01

    A poloidal magnetics system for a plasma producing device of toroidal configuration is provided that reduces both the total volt-seconds requirement and the magnitude of the field change at the toroidal field coils. The system utilizes an air core transformer wound between the toroidal field (TF) coils and the major axis outside the TF coils. Electric current in the primary windings of this transformer is distributed and the magnetic flux returned by air core windings wrapped outside the toroidal field coils. A shield winding that is closely coupled to the plasma carries a current equal and opposite to the plasma current. This winding provides the shielding function and in addition serves in a fashion similar to a driven conducting shell to provide the equilibrium vertical field for the plasma. The shield winding is in series with a power supply and a decoupling coil located outside the TF coil at the primary winding locations. The present invention requires much less energy than the usual air core transformer and is capable of substantially shielding the toroidal field coils from poloidal field flux

  19. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  20. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  1. Evidence Considerations for Mobile Devices in the Occupational Therapy Process

    Directory of Open Access Journals (Sweden)

    Kelly Erickson

    2015-04-01

    Full Text Available Mobile app-based device utilization, including smartphones and handheld tablets, suggests a need to evaluate evidence to guide selection and implementation of these devices in the occupational therapy process. The purpose of the research was to explore the current body of evidence in relation to mobile app-based devices and to identify factors in the use of these devices throughout the occupational therapy process. Following review of available occupational therapy profession guidelines, assistive technology literature, and available mobile device research, practitioners using mobile app-based devices in occupational therapy should consider three areas: client needs, practitioner competence, and device factors. The purpose of this guideline is to identify factors in the selection and use of mobile app-based devices throughout the occupational therapy process based on available evidence. Considerations for mobile device implementation during the occupational therapy process is addressed, including evaluating outcomes needs, matching device with the client, and identifying support needs of the client.

  2. Integrative device and process of oxidization, degassing, acidity adjustment of 1BP from APOR process

    Energy Technology Data Exchange (ETDEWEB)

    Zuo, Chen; Zheng, Weifang, E-mail: wfazh@ciae.ac.cn; Yan, Taihong; He, Hui; Li, Gaoliang; Chang, Shangwen; Li, Chuanbo; Yuan, Zhongwei

    2016-02-15

    Graphical abstract: Previous (left) and present (right) device of oxidation, degassing, acidity adjustment of 1BP. - Highlights: • We designed an integrative device and process. • The utilization efficiency of N{sub 2}O{sub 4} is increased significantly. • Our work results in considerable simplification of the device. • Process parameters are determined by experiments. - Abstract: Device and process of oxidization, degassing, acidity adjustment of 1BP (The Pu production feed from U/Pu separation section) from APOR process (Advanced Purex Process based on Organic Reductants) were improved through rational design and experiments. The device was simplified and the process parameters, such as feed position and flow ratio, were determined by experiments. Based on this new device and process, the reductants N,N-dimethylhydroxylamine (DMHAN) and methylhydrazine (MMH) in 1BP solution could be oxidized with much less N{sub 2}O{sub 4} consumption.

  3. Data processing device

    International Nuclear Information System (INIS)

    Kita, Yoshio.

    1994-01-01

    A data processing device for use in a thermonuclear testing device comprises a frequency component judging section for analog signals, a sample time selection section based on the result of the judgement, a storing memory section for selecting digital data memorized in the sampling time. Namely, the frequency components of the analog signals are detected by the frequency component judging section, and one of a plurality of previously set sampling times is selected by the sampling time selection section based on the result of the judgement of the frequency component judging section. Then, digital data obtained by A/D conversion are read and preliminarily memorized in the storing memory section. Subsequently, the digital data memorized in the sampling time selected by the sampling time selection section are selected and transmitted to a superior computer. The amount of data to be memorized can greatly reduced, to reduce the cost. (N.H.)

  4. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  5. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  6. Modeling of thermalization phenomena in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Panneerchelvam, Premkumar; Raja, Laxminarayan L.

    2018-05-01

    Coaxial plasma accelerators are electromagnetic acceleration devices that employ a self-induced Lorentz force to produce collimated plasma jets with velocities ~50 km s‑1. The accelerator operation is characterized by the formation of an ionization/thermalization zone near gas inlet of the device that continually processes the incoming neutral gas into a highly ionized thermal plasma. In this paper, we present a 1D non-equilibrium plasma model to resolve the plasma formation and the electron-heavy species thermalization phenomena that take place in the thermalization zone. The non-equilibrium model is based on a self-consistent multi-species continuum description of the plasma with finite-rate chemistry. The thermalization zone is modelled by tracking a 1D gas-bit as it convects down the device with an initial gas pressure of 1 atm. The thermalization process occurs in two stages. The first is a plasma production stage, associated with a rapid increase in the charged species number densities facilitated by cathode surface electron emission and volumetric production processes. The production stage results in the formation of a two-temperature plasma with electron energies of ~2.5 eV in a low temperature background gas of ~300 K. The second, a temperature equilibration stage, is characterized by the energy transfer between the electrons and heavy species. The characteristic length scale for thermalization is found to be comparable to axial length of the accelerator thus putting into question the equilibrium magnetohydrodynamics assumption used in modeling coaxial accelerators.

  7. Contributions to the 7th International Conference on plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    1986-01-01

    The report contains three papers presented in the 7th International Conference on plasma surface interactions in controlled fusion devices held in Princeton (USA) 5-9 May 1986, all referred to the FT Tokamak

  8. Stable confinement of toroidal electron plasma in an internal conductor device Prototype-Ring Trap

    International Nuclear Information System (INIS)

    Saitoh, H.; Yoshida, Z.; Watanabe, S.

    2005-01-01

    A pure electron plasma has been produced in an internal conductor device Prototype-Ring Trap (Proto-RT). The temporal evolution of the electron plasma was investigated by the measurement of electrostatic fluctuations. Stable confinement was realized when the potential profile adjusted to match the magnetic surfaces. The confinement time varies as a function of the magnetic field strength and the neutral gas pressure, and is comparable to the diffusion time of electrons determined by the classical collisions with neutral gas. Although the addition of a toroidal magnetic field stabilized the electrostatic fluctuation of the plasma, the effects of the magnetic shear shortened the stable confinement time, possibly because of the obstacles of coil support structures

  9. Numerical simulation of the bubble growth due to hydrogen isotopes inventory processes in plasma-irradiated tungsten

    International Nuclear Information System (INIS)

    Sang, Chaofeng; Sun, Jizhong; Bonnin, Xavier; Liu, Shengguang; Wang, Dezhen

    2013-01-01

    Hydrogen isotopes (HI) inventory is a key issue for fusion devices like ITER. It is especially urgent to understand how HI are retained in tungsten since it currently is the most important candidate material for the plasma-facing wall. Bubble growth is an important experimental complication that yet prevents a full understanding of HI retention processes in tungsten walls and most critically the divertor elements. In this work, we develop a model based on rate equations, which includes the bubble growth in tungsten being exposed to a HI plasma. In the model, HI molecules can be produced through recombination processes on the inner surface of a bubble, and HI molecules can also dissociate themselves to solute atoms, and the latter diffuse into the bulk wall because of very high pressures inside the bubble. The present model is applied to simulate how HI are retained in plasma-irradiated tungsten in the form of molecules to explain the wall temperature, trap concentration, incident HI flux and fluence dependencies of bubble growth

  10. Helium ion distributions in a 4 kJ plasma focus device by 1 mm-thick large-size polycarbonate detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sohrabi, M., E-mail: dr_msohrabi@yahoo.com; Habibi, M.; Ramezani, V.

    2014-11-14

    Helium ion beam profile, angular and iso-ion beam distributions in 4 kJ Amirkabir plasma focus (APF) device were effectively observed by the unaided eyes and studied in single 1 mm-thick large-diameter (20 cm) polycarbonate track detectors (PCTD). The PCTDs were processed by 50 Hz–HV electrochemical etching using a large-size ECE chamber. The results show that helium ions produced in the APF device have a ring-shaped angular distribution peaked at an angle of ∼±60° with respect to the top of the anode. Some information on the helium ion energy and distributions is also provided. The method is highly effective for ion beam studies. - Highlights: • Helium iso-ion beam profile and angular distributions were studied in the 4 kJ APF device. • Large-area 1 mm-thick polycarbonate detectors were processed by 50 Hz-HV ECE. • Helium ion beam profile and distributions were observed by unaided eyes in a single detector. • Helium ion profile has ring-shaped distributions with energies lower at the ring location. • Helium iso-ion track density, diameter and energy distributions are estimated.

  11. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  12. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  13. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    International Nuclear Information System (INIS)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.

    2001-01-01

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics

  14. A very sensitive ion collection device for plasma-laser characterization.

    Science.gov (United States)

    Cavallaro, S; Torrisi, L; Cutroneo, M; Amato, A; Sarta, F; Wen, L

    2012-06-01

    In this paper a very sensitive ion collection device, for diagnostic of laser ablated-target plasma, is described. It allows for reducing down to few microvolts the signal threshold at digital scope input. A standard ion collector is coupled to a transimpedance amplifier, specially designed, which increases data acquisition sensitivity by a gain ≈1100 and does not introduce any significant distortion of input signal. By time integration of current intensity, an amount of charge as small as 2.7 × 10(-2) pC can be detected for photopeak events.

  15. Plasma chromatography

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    This book examines the fundamental theory and various applications of ion mobility spectroscopy. Plasma chromatography developed from research on the diffusion and mobility of ions. Topics considered include instrument design and description (e.g., performance, spectral interpretation, sample handling, mass spectrometry), the role of ion mobility in plasma chromatography (e.g., kinetic theory of ion transport), atmospheric pressure ionization (e.g., rate equations), the characterization of isomers by plasma chromatography (e.g., molecular ion characteristics, polynuclear aromatics), plasma chromatography as a gas chromatographic detection method (e.g., qualitative analysis, continuous mobility monitoring, quantitative analysis), the analysis of toxic vapors by plasma chromatography (e.g., plasma chromatograph calibration, instrument control and data processing), the analysis of semiconductor devices and microelectronic packages by plasma chromatography/mass spectroscopy (e.g., analysis of organic surface contaminants, analysis of water in sealed electronic packages), and instrument design and automation (hardware, software)

  16. Hard X-ray sources from miniature plasma focus devices

    International Nuclear Information System (INIS)

    Raspa, V.; Silva, P.; Moreno, J.; Zambra, M.; Soto, L.

    2004-01-01

    As first stage of a program to design a repetitive pulsed radiation generator for industrial applications, two miniature plasma foci have been designed and constructed at the Chilean commission of nuclear energy. The devices operate at an energy level of the order of tens of joules (PF-50 J, 160 nF capacitor bank, 20-35 kV, 32-100 J, ∼ 150 ns time to peak current) and hundred of joules (PF-400 J, 880 nF, 20-35 kV, 176-539 J, ∼ 300 ns time to peak current). Hard X-rays are being studied in these devices operating with hydrogen. Images of metallic plates with different thickness were obtained on commercial radiographic film, Agfa Curix ST-G2, in order to characterize the energy of the hard X-ray outside of the discharge chamber of PF-400 J. An effective energy of the order of 90 keV was measured under those conditions. X ray images of different metallic objects also have been obtained. (authors)

  17. Hard X-ray sources from miniature plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Raspa, V. [Buenos Aires Univ., PLADEMA, CONICET and INFIP (Argentina); Silva, P.; Moreno, J.; Zambra, M.; Soto, L. [Comision Chilena de Energia Nuclear, Santiago (Chile)

    2004-07-01

    As first stage of a program to design a repetitive pulsed radiation generator for industrial applications, two miniature plasma foci have been designed and constructed at the Chilean commission of nuclear energy. The devices operate at an energy level of the order of tens of joules (PF-50 J, 160 nF capacitor bank, 20-35 kV, 32-100 J, {approx} 150 ns time to peak current) and hundred of joules (PF-400 J, 880 nF, 20-35 kV, 176-539 J, {approx} 300 ns time to peak current). Hard X-rays are being studied in these devices operating with hydrogen. Images of metallic plates with different thickness were obtained on commercial radiographic film, Agfa Curix ST-G2, in order to characterize the energy of the hard X-ray outside of the discharge chamber of PF-400 J. An effective energy of the order of 90 keV was measured under those conditions. X ray images of different metallic objects also have been obtained. (authors)

  18. Microencapsulation and Electrostatic Processing Device

    Science.gov (United States)

    Morrison, Dennis R. (Inventor); Mosier, Benjamin (Inventor); Cassanto, John M. (Inventor)

    2001-01-01

    A microencapsulation and electrostatic processing (MEP) device is provided for forming microcapsules. In one embodiment, the device comprises a chamber having a filter which separates a first region in the chamber from a second region in the chamber. An aqueous solution is introduced into the first region through an inlet port, and a hydrocarbon/ polymer solution is introduced into the second region through another inlet port. The filter acts to stabilize the interface and suppress mixing between the two immiscible solutions as they are being introduced into their respective regions. After the solutions have been introduced and have become quiescent, the interface is gently separated from the filter. At this point, spontaneous formation of microcapsules at the interface may begin to occur, or some fluid motion may be provided to induce microcapsule formation. In any case, the fluid shear force at the interface is limited to less than 100 dynes/sq cm. This low-shear approach to microcapsule formation yields microcapsules with good sphericity and desirable size distribution. The MEP device is also capable of downstream processing of microcapsules, including rinsing, re-suspension in tertiary fluids, electrostatic deposition of ancillary coatings, and free-fluid electrophoretic separation of charged microcapsules.

  19. Simulation analysis of dust-particle transport in the peripheral plasma in the Large Helical Device

    International Nuclear Information System (INIS)

    Shoji, Mamoru; Masuzaki, Suguru; Kawamura, Gakushi; Yamada, Hiroshi; Tanaka, Yasunori; Uesugi, Yoshihiko; Pigarov, Alexander Yu.; Smirnov, Roman D.

    2014-01-01

    The function of the peripheral plasma in the Large Helical Device (LHD) on transport of dusts is investigated using a dust transport simulation code (DUSTT) in a non-axisymmetric geometry. The simulation shows that the transport of the dusts is dominated by the plasma flow (mainly by ion drag force) formed in the peripheral plasma. The trajectories of dusts are investigated in two probable situations: release of spherical iron dusts from the inboard side of the torus, and drop of spherical carbon dusts from a divertor plate installed near an edge of an upper port. The trajectories in these two situations are calculated in various sized dust cases. From a viewpoint of protection of the main plasma from dust penetration, it proves that there are two functions in the LHD peripheral plasma. One is sweeping of dusts by the effect of the plasma flow in the divertor legs, and another one is evaporation/sublimation of dusts by heat load onto the dusts in the ergodic layer. (author)

  20. Investigation of the neutron production phases of a large plasma focus device

    International Nuclear Information System (INIS)

    Hayd, A.; Maurer, M.; Meinke, P.; Herold, H.; Bertalot, L.; Deutsch, R.; Grauf, W.; Jaeger, U.; Kaeppeler, H.J.; Lepper, F.; Oppenlaender, T.; Schmidt, H.; Schmidt, R.; Schwarz, J.; Schwoerer, K.; Shakhatre, M.

    1982-09-01

    Plasma dynamic behavior and neutron production in large focus devices with pinch currents of approximately 1 MA have been studied with theoretical as well as experimental methods. For treating turbulent plasma motion, a hybrid code based on the analytical computer algorithm REDUCE was developed. Experimental diagnostics include schlieren photographs, reaction proton localization with pinhole cameras and neutron measurements with Ag-counters and scintillators. Calculated and measured data concern the 280 kJ, 60 kV operational mode of the POSEIDON plasma focus. It is shown that for large pinch currents ( > 500 kA), neutron emission also appears before m = 0 onset in the intermediate phase. This part of the neutron production becomes predominant for very large currents. The lifetime of this intermediate phase strongly increases with increasing current. According to theory, the late phase of the focus is governed by strong turbulence phenomena. The lifetime of the turbulence packets is approximately 150 ns and seems to explain the long lasting neutron emission in this phase. (orig.)

  1. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    International Nuclear Information System (INIS)

    NYGREN, RICHARD E.; STAVROS, DIANA T.

    2000-01-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed

  2. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  3. A Simulation of Pre-Arcing Plasma Discharge Processes in Water Purification

    International Nuclear Information System (INIS)

    Rodriguez-Mendez, B. G.; Piedad-Beneitez, A. de la; Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Benitez-Read, J. S.; Pacheco-Sotelo, J. O.; Pena-Eguiluz, R.; Mercado-Cabrera, A.; Valencia-A, R.; Barocio, S. R.

    2006-01-01

    The simulation of a water purification system within a coaxial cylinder reactor operated by 1 kHz frequency plasma discharges in pre-arcing regimes is presented. In contrast with precedent works, this computational model considers three mechanisms of the system operation: (a) the relevant physical characteristics of water (b) the ionisation and expansion processes in the spark channel including the near-breakdown electric current generated by the rate of change of the effective capacitance and resistance in the discharge, and (c) the energy associated with this initial spark in the water. The outcome of the model seems to meet all main requirements to allow the design and construction of specific water purification technology devices

  4. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  5. Use of a dry-plasma collection device to overcome problems with storage and transportation of blood samples for epidemiology studies in developing countries.

    Science.gov (United States)

    Nurgalieva, Z Z; Almuchambetova, R; Machmudova, A; Kapsultanova, D; Osato, M S; Peacock, J; Zoltek, R P; Marchildon, P A; Graham, D Y; Zhangabylov, A

    2000-11-01

    Studies are difficult in areas lacking modern facilities due to the inability to reliably collect, store, and ship samples. Thus, we sought to evaluate the use of a dry plasma collection device for seroepidemiology studies. Plasma was obtained by fingerstick using a commercial dry plasma collection device (Chemcard Plasma Collection Device) and serum (venipuncture) from individuals in Kazakhstan. Plasma samples were air dried for 15 min and then stored desiccated in foil zip-lock pouches at 4 to 6 degrees C and subsequently shipped to the United States by air at ambient temperature. Serum samples remained frozen at -20 degrees C until assayed. Helicobacter pylori status was determined by enzyme-linked immunosorbent assay (HM-CAP EIA) for the dry plasma and the serum samples. The results were concordant in 250 of the 289 cases (86.5%). In 25 cases (8.6%), the dry plasma samples gave indeterminate results and could not be retested because only one sample was collected. Five serum samples were positive, and the corresponding dry plasma samples were negative; one serum sample was negative, and the corresponding plasma sample was positive. The relative sensitivity and specificity of the Chemcard samples to serum were 97.6 and 97.9%, respectively, excluding those with indeterminate results. Repeated freeze-thawing had no adverse effect on the accuracy of the test. We found the dry plasma collection device to provide an accurate and practical alternative to serum when venipuncture may be difficult or inconvenient and sample storage and handling present difficulties, especially for seroepidemiologic studies in rural areas or developing countries and where freeze-thawing may be unavoidable.

  6. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  7. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  8. Progress in high-efficient solution process organic photovoltaic devices fundamentals, materials, devices and fabrication

    CERN Document Server

    Li, Gang

    2015-01-01

    This book presents an important technique to process organic photovoltaic devices. The basics, materials aspects and manufacturing of photovoltaic devices with solution processing are explained. Solution processable organic solar cells - polymer or solution processable small molecules - have the potential to significantly reduce the costs for solar electricity and energy payback time due to the low material costs for the cells, low cost and fast fabrication processes (ambient, roll-to-roll), high material utilization etc. In addition, organic photovoltaics (OPV) also provides attractive properties like flexibility, colorful displays and transparency which could open new market opportunities. The material and device innovations lead to improved efficiency by 8% for organic photovoltaic solar cells, compared to 4% in 2005. Both academic and industry research have significant interest in the development of this technology. This book gives an overview of the booming technology, focusing on the solution process fo...

  9. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  10. Performance analyses of Elmo Bumpy Torus plasmas and plasma support systems

    International Nuclear Information System (INIS)

    Fenstermacher, M.E.

    1979-01-01

    The development and applcation of the OASIS Code (Operational Analysis of ELMO Bumpy Torus Support and Ignition Systems) for the study of EBT device and plasma performance are presented. The code performs a time-independent, zero-dimensional self-consistent calculation of plasma and plasmasupport systems parameters for the physics and engineering of EBT devices. The features of OASIS modeling for the EBT plasma include: (1) particle balance of the bulk toroidal and electron ring plasma components for experimental (H-H, D-D, He-He etc.) as well as reactor (D-T) devices; (2) energy balance in the bulk and ring plasmas for externally heated or ignition devices; (3) alpha particle effects for reactor devices; (4) auxiliary heating effects, including microwave (ECRH), RF heating (e.g., ICRH), and neutral beam methods; and (5) ignition conditions, including fusion power, alpha power and neutron wall loading. The performance studies using OASIS focussed on variation in plasma and device size and on microwave input power and frequency. An additional study was performed to determine the characteristics of an EBT reactor proof-of-principle device operated with a deuterium-tritium plasma. Sensitivity studies were performed for variation in the input microwave power sharing fractions and the dependence of the bulk n tau scaling law on bulk electron temperature

  11. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  12. Review and perspectives of electrostatic turbulence and transport studies in the basic plasma physics device TORPEX

    Science.gov (United States)

    Avino, Fabio; Bovet, Alexandre; Fasoli, Ambrogio; Furno, Ivo; Gustafson, Kyle; Loizu, Joaquim; Ricci, Paolo; Theiler, Christian

    2012-10-01

    TORPEX is a basic plasma physics toroidal device located at the CRPP-EPFL in Lausanne. In TORPEX, a vertical magnetic field superposed on a toroidal field creates helicoidal field lines with both ends terminating on the torus vessel. We review recent advances in the understanding and control of electrostatic interchange turbulence, associated structures and their effect on suprathermal ions. These advances are obtained using high-resolution diagnostics of plasma parameters and wave fields throughout the whole device cross-section, fluid models and numerical simulations. Furthermore, we discuss future developments including the possibility of generating closed field line configurations with rotational transform using an internal toroidal wire carrying a current. This system will also allow the study of innovative fusion-relevant configurations, such as the snowflake divertor.

  13. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  14. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  15. Basic physical phenomena, neutron production and scaling of the dense plasma focus

    International Nuclear Information System (INIS)

    Kaeppeler, H.J.

    This paper presents an attempt at establishing a model theory for the dense plasma focus in order to present a consistent interpretation of the basic physical phenomena leading to neutron production from both acceleration and thermal processes. To achieve this, the temporal history of the focus is divided into the compression of the plasma sheath, a qiescent and very dense phase with ensuing expansion, and an instable phase where the focus plasma is disrupted by instabilities. Finally, the decay of density, velocity and thermal fields is considered. Under the assumption that Io 2 /sigmaoRo 2 = const and to/Tc = const, scaling laws for plasma focus devices are derived. It is shown that while generally the neutron yield scales with the fourth power of maximum current, neutron production from thermal processes becomes increasingly important for large devices, while in the small devices neutron production from acceleration processes is by far predominant. (orig.) [de

  16. Aquaregia and Oxygen Plasma Treatments on Fluorinated Tin Oxide for Assembly of PLEDs Devices Using OC1C10-PPV as Emissive Polymer

    Directory of Open Access Journals (Sweden)

    Emerson Roberto SANTOS

    2009-02-01

    Full Text Available In this work were carried out treatments with oxygen plasma and aquaregia on fluorinated tin oxide (FTO films varying the treatment times. After treatments, the samples were analyzed by techniques measurements: sheet resistance, thickness, Hall effect, transmittance and superficial roughness. Devices using FTO/PEDOT:PSS/OC1C10-PPV/Al were assembled. In this experiment some variations were observed by sheet resistance and thickness and Hall effect measurements indicated most elevated carriers concentration and resistivity for aquaregia than that oxygen plasma. The roughness was elevated for the first minutes with treatment by aquaregia too. In the I-V curves the aquaregia devices presented the lowest threshold voltage for 30 minutes and devices treated by oxygen plasma presented a behavior most resistivity different of typical curves for PLEDs devices.

  17. Two dimensional PMMA nanofluidic device fabricated by hot embossing and oxygen plasma assisted thermal bonding methods

    Science.gov (United States)

    Yin, Zhifu; Sun, Lei; Zou, Helin; Cheng, E.

    2015-05-01

    A method for obtaining a low-cost and high-replication precision two-dimensional (2D) nanofluidic device with a polymethyl methacrylate (PMMA) sheet is proposed. To improve the replication precision of the 2D PMMA nanochannels during the hot embossing process, the deformation of the PMMA sheet was analyzed by a numerical simulation method. The constants of the generalized Maxwell model used in the numerical simulation were calculated by experimental compressive creep curves based on previously established fitting formula. With optimized process parameters, 176 nm-wide and 180 nm-deep nanochannels were successfully replicated into the PMMA sheet with a replication precision of 98.2%. To thermal bond the 2D PMMA nanochannels with high bonding strength and low dimensional loss, the parameters of the oxygen plasma treatment and thermal bonding process were optimized. In order to measure the dimensional loss of 2D nanochannels after thermal bonding, a dimension loss evaluating method based on the nanoindentation experiments was proposed. According to the dimension loss evaluating method, the total dimensional loss of 2D nanochannels was 6 nm and 21 nm in width and depth, respectively. The tensile bonding strength of the 2D PMMA nanofluidic device was 0.57 MPa. The fluorescence images demonstrate that there was no blocking or leakage over the entire microchannels and nanochannels.

  18. Proceedings of the 21st symposium on plasma physics and technology

    International Nuclear Information System (INIS)

    Kulhanek, P.; Rezac, K.; Smetana, M.

    2004-01-01

    The supplement contains 159 papers out of the 229 papers presented at the conference; these papers were selected through the review process of the Czechoslovak Journal of Physics. The papers are divided into 5 categories corresponding to the main topics of the symposium, which covered all kinds of plasma research and associated applications: tokamaks and other magnetic confinement devices; short lived plasmas (plasma focus, z-pinch, X-ray sources); laser plasma; low temperature plasma; and plasma technology. All 22 papers dealing with tokamaks and other magnetic confinement devices were submitted to INIS as well as all 31 papers discussing short lived plasmas. (A.K.)

  19. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  20. Princeton Plasma Physics Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990.

  1. Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990

  2. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  3. Deterministic Aperiodic Structures for on-chip Nanophotonics and Nanoplasmonics Device Applications

    Science.gov (United States)

    2013-04-01

    has been previously validated against semi-analytical multiple scattering methods. In our work, all nanoparticles were modeled by oblate spheroids ...transferred microchannel patterns and the PDMS mold was oxygen- plasma treated along with the colorimetric DANS sensor on SiO2 substrate to form an...optofluidic DANS device. The oxygen plasma process permanently bonded the device together and resulted in hydrophilic surfaces, which easily prime the

  4. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  5. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  6. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  7. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  8. Distributed processing and network of data acquisition and diagnostics control for Large Helical Device (LHD)

    International Nuclear Information System (INIS)

    Nakanishi, H.; Kojima, M.; Hidekuma, S.

    1997-11-01

    The LHD (Large Helical Device) data processing system has been designed in order to deal with the huge amount of diagnostics data of 600-900 MB per 10-second short-pulse experiment. It prepares the first plasma experiment in March 1998. The recent increase of the data volume obliged to adopt the fully distributed system structure which uses multiple data transfer paths in parallel and separates all of the computer functions into clients and servers. The fundamental element installed for every diagnostic device consists of two kinds of server computers; the data acquisition PC/Windows NT and the real-time diagnostics control VME/VxWorks. To cope with diversified kinds of both device control channels and diagnostics data, the object-oriented method are utilized wholly for the development of this system. It not only reduces the development burden, but also widen the software portability and flexibility. 100Mbps EDDI-based fast networks will re-integrate the distributed server computers so that they can behave as one virtual macro-machine for users. Network methods applied for the LHD data processing system are completely based on the TCP/IP internet technology, and it provides the same accessibility to the remote collaborators as local participants can operate. (author)

  9. Radioactive waste processing method and device

    International Nuclear Information System (INIS)

    Ozaki, Shigeru; Tateyama, Shinji.

    1998-01-01

    A powdery activated carbon is charged to radioactive liquid wastes to form a mixed slurry. The slurry is subjected to solid/liquid separation, and a high-molecular water absorbent is charged to the separated activated carbon sludge wastes to process them while stirring. The high-molecular water absorbent comprises a graft polymer of starch and acrylonitrile or a cross-linked polymer of sodium acrylate and a cross-linking agent. The high-molecular water absorbing agent is previously charged to a vessel for containing the wasted active carbon sludges. The device of the present invention comprises a filtration device for solid/liquid separation of the mixed slurry, a sludge-containing vessel, a device for charging the high-molecular water absorbent and a sludge stirring device. The device of charging the high-molecular water absorbent comprises a plurality of weighing devices for weighing the change of the weight of the charged products and a conveyor for transferring the sludge-containing vessels. With such a constitution, stable sludge can be obtained, and activated carbon sludge wastes can be burnt without crushing them. (T.M.)

  10. Plasma focus breeder

    International Nuclear Information System (INIS)

    Ikuta, Kazunari.

    1981-09-01

    Instead of using linear accelerators, it is possible to breed fissile fuels with the help of high current plasma focus device. A mechanism of accelerating proton beam in plasma focus device to high energy would be a change of inductance in plasma column because of rapid growth of plasma instability. A possible scheme of plasma focus breeder is also proposed. (author)

  11. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  12. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  13. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2015-12-01

    monocytic leukemia cancer cells ( THP -1) were also tested and the results 19 demonstrate that a preference for apoptosis in plasma treated THP -1...unanswered questions. We have tested the effects of indirect exposure of non-thermal air plasma on monocytic leukemia cancer cells ( THP -1) and deciphering... tested and the results are shown in Fig. above. The results demonstrate that a preference for apoptosis in plasma treated THP -1 cells under

  14. 21 CFR 801.122 - Medical devices for processing, repacking, or manufacturing.

    Science.gov (United States)

    2010-04-01

    ....122 Medical devices for processing, repacking, or manufacturing. A device intended for processing... act if its label bears the statement “Caution: For manufacturing, processing, or repacking”. ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Medical devices for processing, repacking, or...

  15. Radioactive gaseous waste processing device

    International Nuclear Information System (INIS)

    Murakami, Kazuo.

    1997-01-01

    In a radioactive gaseous waste processing device, a dehumidifier in which a lot of hollow thread membranes are bundled and assembled is disposed instead of a dehumidifying cooling device and a dehumidifying tower. The dehumidifier comprises a main body, a great number of hollow thread membranes incorporated in the main body, a pair of fixing members for bundling and fixing both ends of the hollow thread membranes, a pair of caps for allowing the fixing members to pass through and fixing them on both ends of the main body, an off gas flowing pipe connected to one of the caps, a gas exhaustion pipe connected to the other end of the cap and a moisture removing pipeline connected to the main body. A flowrate control valve is connected to the moisture removing pipeline, and the other end of the moisture removing pipeline is connected between a main condensator and an air extraction device. Then, cooling and freezing devices using freon are no more necessary, and since the device uses the vacuum of the main condensator as a driving source and does not use dynamic equipments, labors for the maintenance is greatly reduced to improve economical property. The facilities are reduced in the size thereby enabling to use space effectively. (N.H.)

  16. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    Energy Technology Data Exchange (ETDEWEB)

    NYGREN,RICHARD E.; STAVROS,DIANA T.

    2000-06-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed.

  17. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    Energy Technology Data Exchange (ETDEWEB)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.; Wong, J. I. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Z. [School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Y. [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Leong, K. C. [GLOBALFOUNDRIES Singapore Pte Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying a voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.

  18. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  19. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  20. Hollow laser plasma self-confined microjet generation

    Science.gov (United States)

    Sizyuk, Valeryi; Hassanein, Ahmed; CenterMaterials under Extreme Environment Team

    2017-10-01

    Hollow laser beam produced plasma (LPP) devices are being used for the generation of the self-confined cumulative microjet. Most important place by this LPP device construction is achieving of an annular distribution of the laser beam intensity by spot. An integrated model is being developed to detailed simulation of the plasma generation and evolution inside the laser beam channel. The model describes in two temperature approximation hydrodynamic processes in plasma, laser absorption processes, heat conduction, and radiation energy transport. The total variation diminishing scheme in the Lax-Friedrich formulation for the description of plasma hydrodynamic is used. Laser absorption and radiation transport models on the base of Monte Carlo method are being developed. Heat conduction part on the implicit scheme with sparse matrixes using is realized. The developed models are being integrated into HEIGHTS-LPP computer simulation package. The integrated modeling of the hollow beam laser plasma generation showed the self-confinement and acceleration of the plasma microjet inside the laser channel. It was found dependence of the microjet parameters including radiation emission on the hole and beam radiuses ratio. This work is supported by the National Science Foundation, PIRE project.

  1. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  2. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  3. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  4. Effect of inserted metal at anode tip on formation of pulsed X-ray emitting zone of plasma focus device

    Science.gov (United States)

    Miremad, Seyed Milad; Shirani Bidabadi, Babak

    2018-04-01

    The effect of the anode's insert material of a plasma focus device on the properties of X-ray emission zone was studied. Inserts were fabricated out of six different materials including aluminum, copper, zinc, tin, tungsten, and lead to cover a wide range of atomic numbers. For each anode's insert material at different gas pressures and different voltages, the shape of X-ray emission zone was recorded by three pinhole cameras, which were installed on sidewall and roof of the chamber of plasma focus device. The results indicated that by changing the gas pressure and the charge voltage of capacitor, the X-ray source of plasma focus emerges with different forms as a concentrated column or conical shape with sharp or cloudy edges. These structures are in the form of a combination of plasma emission and anode-tip emission with different intensities. These observations indicate that the material of the anode-tip especially affects the structure of X-ray emission zone.

  5. Parallel Processing of Images in Mobile Devices using BOINC

    Science.gov (United States)

    Curiel, Mariela; Calle, David F.; Santamaría, Alfredo S.; Suarez, David F.; Flórez, Leonardo

    2018-04-01

    Medical image processing helps health professionals make decisions for the diagnosis and treatment of patients. Since some algorithms for processing images require substantial amounts of resources, one could take advantage of distributed or parallel computing. A mobile grid can be an adequate computing infrastructure for this problem. A mobile grid is a grid that includes mobile devices as resource providers. In a previous step of this research, we selected BOINC as the infrastructure to build our mobile grid. However, parallel processing of images in mobile devices poses at least two important challenges: the execution of standard libraries for processing images and obtaining adequate performance when compared to desktop computers grids. By the time we started our research, the use of BOINC in mobile devices also involved two issues: a) the execution of programs in mobile devices required to modify the code to insert calls to the BOINC API, and b) the division of the image among the mobile devices as well as its merging required additional code in some BOINC components. This article presents answers to these four challenges.

  6. Parallel Processing of Images in Mobile Devices using BOINC

    Directory of Open Access Journals (Sweden)

    Curiel Mariela

    2018-04-01

    Full Text Available Medical image processing helps health professionals make decisions for the diagnosis and treatment of patients. Since some algorithms for processing images require substantial amounts of resources, one could take advantage of distributed or parallel computing. A mobile grid can be an adequate computing infrastructure for this problem. A mobile grid is a grid that includes mobile devices as resource providers. In a previous step of this research, we selected BOINC as the infrastructure to build our mobile grid. However, parallel processing of images in mobile devices poses at least two important challenges: the execution of standard libraries for processing images and obtaining adequate performance when compared to desktop computers grids. By the time we started our research, the use of BOINC in mobile devices also involved two issues: a the execution of programs in mobile devices required to modify the code to insert calls to the BOINC API, and b the division of the image among the mobile devices as well as its merging required additional code in some BOINC components. This article presents answers to these four challenges.

  7. Proceedings of the 20th symposium on plasma physics and technology

    International Nuclear Information System (INIS)

    2002-01-01

    The supplement contains 133 papers from those presented at the conference; these papers were selected through the review process of the Czechoslovak Journal of Physics. The papers are divided into 5 categories corresponding to the main topics of the symposium, which covered all kinds of plasma research and associated applications: tokamaks and other magnetic confinement devices; short lived plasmas (plasma focus, z-pinch, particle beamplasma interaction, Xray sources); laser plasma and research at the Prague Asterix Laser System (PALS); low temperature plasma; and plasma technology. All 10 papers dealing with tokamaks and other magnetic confinement devices were submitted to INIS, as were 17 papers out of the 28 papers discussing short lived plasmas. (A.K.)

  8. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  9. Process and device for processing radioactive wastes

    International Nuclear Information System (INIS)

    1974-01-01

    A method is described for processing liquid radioactive wastes. It includes the heating of the liquid wastes so that the contained liquids are evaporated and a practically anhydrous mass of solid particles inferior in volume to that of the wastes introduced is formed, then the transformation of the solid particles into a monolithic structure. This transformation includes the compressing of the particles and sintering or fusion. The solidifying agent is a mixture of polyethylene and paraffin wax or a styrene copolymer and a polyester resin. The device used for processing the radioactive liquid wastes is also described [fr

  10. 20. AINSE plasma science and technology conference. Conference handbook

    International Nuclear Information System (INIS)

    1995-01-01

    The 20th AINSE plasma science and technology conference was held at Flinders University of South Australia on 13-14 February 1995. Topics under discussion included plasma physics studies, current status of rotamak devices, plasma processing and material studies. The handbook contains the conference program, 54 abstracts and a list of participants

  11. 20. AINSE plasma science and technology conference. Conference handbook

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-31

    The 20th AINSE plasma science and technology conference was held at Flinders University of South Australia on 13-14 February 1995. Topics under discussion included plasma physics studies, current status of rotamak devices, plasma processing and material studies. The handbook contains the conference program, 54 abstracts and a list of participants.

  12. Plasma-wall interaction and plasma facing materials

    International Nuclear Information System (INIS)

    Tanabe, Tetsuo; Miyahara, Akira.

    1990-01-01

    The recognition that plasma-wall interaction plays the essential role from both standpoints of energy balance and particle balance for realizing nuclear fusion reactors has become to prevail. However, on how each elementary process acts and what competitive effect the synthetic action brings about, the stage of doing the qualitative discussion has just come, and the quantitative investigation is the problem for the future. In this paper, the plasma-wall interaction as seen from the research field of plasma-facing materials is discussed centering around graphite materials which have been mostly used at present, and the present status of the research and development on the problems of impurities, hydrogen recycling and heat resistance and radiation resistance is mentioned. Moreover, the problems are pointed out, and the course for the future is looked for. The recent experiment with large tokamaks adopted graphite or carbon as the plasma-facing materials, and the reduction of metallic impurities in plasma showed the clear improvement of plasma confinement characteristics. However, for the next device which requires forced cooling, the usability of graphite is doubtful. (K.I.) 51 refs

  13. Preliminary Results Of A 600 Joules Small Plasma Focus Device

    International Nuclear Information System (INIS)

    Lee, S. H.; Yap, S. L.; Wong, C. S.

    2009-01-01

    Preliminary results of a 600 J (3.7 μF, 18 kV) Mather type plasma focus device operated at low pressure will be presented. The discharge is formed between a solid anode with length of 6 cm and six symmetrically and coaxially arranged cathode rods of same lengths. The cathode base is profiled in a knife-edge design and a set of coaxial plasma gun are attached to it in order to initiate the breakdown and enhance the current sheath formation. The experiments have been performed in argon gas under a low pressure condition of several microbars. The discharge current and the voltage across the electrodes during the discharge are measured with high voltage probe and current coil. The current and voltage characteristics are used to determine the possible range of operating pressure that gives good focusing action. At a narrow pressure regime of 9.0±0.5 μbar, focusing action is observed with good reproducibility. Preliminary result of ion beam energy is presented. More work will be carried out to investigate the radiation output.

  14. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  15. Effects of orbit squeezing on neoclassical toroidal plasma viscosity in tokamaks

    Czech Academy of Sciences Publication Activity Database

    Shaing, K.C.; Sabbagh, S.A.; Chu, M.S.; Bécoulet, M.; Cahyna, Pavel

    2008-01-01

    Roč. 15, č. 8 (2008), 082505-1-082505-8 ISSN 1070-664X Institutional research plan: CEZ:AV0Z20430508 Keywords : plasma boundary layers * plasma instability * plasma magnetohydrodynamics * plasma toroidal confinement * plasma transport processes * Tokamak devices Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.427, year: 2008 http://dx.doi.org/10.1063/1.2965146

  16. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  17. Steady-state operation of magnetic fusion devices: Plasma control and plasma facing components. Report on the IAEA technical committee meeting held at Fukuoka, 25-29 October 1999

    International Nuclear Information System (INIS)

    Engelmann, F.

    2000-01-01

    An IAEA Technical Committee Meeting on Steady-State Operation of Magnetic Fusion Devices - Plasma Control and Plasma Facing Components was held at Fukuoka, Japan, from 25 to 29 October 1999. The meeting was the second IAEA Techical Committee Meeting on the subject, following the one held at Hefei, China, a year earlier. The meeting was attended by over 150 researchers from 10 countries

  18. Radioactive gas waste processing device

    International Nuclear Information System (INIS)

    Soma, Koichi.

    1996-01-01

    The present invention concerns a radioactive gas waste processing device which extracts exhaust gases from a turbine condensator in a BWR type reactor and releases them after decaying radioactivity thereof during temporary storage. The turbine condensator is connected with an extracting ejector, a preheater, a recombiner for converting hydrogen gas into steams, an off gas condensator for removing water content, a flow rate control valve, a dehumidifier, a hold up device for removing radiation contaminated materials, a vacuum pump for sucking radiation decayed-off gases, a circulation water tank for final purification and an exhaustion cylinder by way of connection pipelines in this order. An exhaust gas circulation pipeline is disposed to circulate exhaust gases from an exhaust gas exit pipeline of the recycling water tank to an exhaust gas exit pipeline of the exhaust gas condensator, and a pressure control valve is disposed to the exhaust gas circulation pipeline. This enable to perform a system test for the dehumidification device under a test condition approximate to the load of the dehumidification device under actual operation state, and stabilize both of system flow rate and pressure. (T.M.)

  19. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  20. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  1. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  2. The role and application of ion beam analysis for studies of plasma-facing components in controlled fusion devices

    Science.gov (United States)

    Rubel, Marek; Petersson, Per; Alves, Eduardo; Brezinsek, Sebastijan; Coad, Joseph Paul; Heinola, Kalle; Mayer, Matej; Widdowson, Anna

    2016-03-01

    First wall materials in controlled fusion devices undergo serious modification by several physical and chemical processes arising from plasma-wall interactions. Detailed information is required for the assessment of material lifetime and accumulation of hydrogen isotopes in wall materials. The intention of this work is to give a concise overview of key issues in the characterization of plasma-facing materials and components in tokamaks, especially in JET with an ITER-Like Wall. IBA techniques play a particularly prominent role here because of their isotope selectivity in the low-Z range (1-10), high sensitivity and combination of several methods in a single run. The role of 3He-based NRA, RBS (standard and micro-size beam) and HIERDA in fuel retention and material migration studies is presented. The use of tracer techniques with rare isotopes (e.g. 15N) or marker layers on wall diagnostic components is described. Special instrumentation, development of equipment to enhance research capabilities and issues in handling of contaminated materials are addressed.

  3. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  4. SPECIFICITY OF MANIFACTURING PROCESS VALIDATION FOR DIAGNOSTIC SEROLOGICAL DEVICES

    Directory of Open Access Journals (Sweden)

    O. Yu. Galkin

    2018-02-01

    Full Text Available The aim of this research was to analyze recent scientific literature, as well as national and international legislature on manifacturing process validation of biopharmaceutical production, in particular devices for serological diagnostics. Technology validation in the field of medical devices for serological diagnostics is most influenced by the Technical Regulation for Medical Devices for in vitro Diagnostics State Standards of Ukraine – SSU EN ISO 13485:2015 “Medical devices. Quality management system. Requirements for regulation”, SSU EN ISO 14971:2015 “Medical devices. Instructions for risk management”, Instruction ST-N of the Ministry of Healthcare of Ukraine 42-4.0:2014 “Medications. Suitable industrial practice”, State Pharmacopoeia of Ukraine and Instruction ICH Q9 on risk management. Current recommendations for validations of drugs manufacturing process, including biotechnological manufacturing, can not be directly applied to medical devices for in vitro diagnostics. It was shown that the specifics of application and raw materials require individual validation parameters and process validations for serological diagnostics devices. Critical parameters to consider in validation plans were provided for every typical stage of production of in vitro diagnostics devices on the example of immunoassay kits, such as obtaining protein antigens, including recombinant ones, preparations of mono- and polyclonal antibodies, immunoenzyme conjugates and immunosorbents, chemical reagents etc. The bottlenecks of technologies for in vitro diagnostics devices were analyzed from the bioethical and biosafety points of view.

  5. Intermittent transport in edge plasma with a 3-D magnetic geometry in the Large Helical Device

    International Nuclear Information System (INIS)

    Tanaka, H.; Masuzaki, S.; Ohno, N.; Morisaki, T.; Tsuji, Y.

    2013-01-01

    Blobby plasma transport is a universally observed phenomenon in magnetic confinement devices, and it is considered to be closely related to edge plasma physics. We have investigated such an intermittent event observed inside the divertor region of the Large Helical Device by using a fast-scanning Langmuir probe with two electrodes. Ion saturation current fluctuations showed negative spikes in the divertor leg and positive spikes in the private region. Further, the time delay between the two fluctuations followed a unique trajectory in the positive-skewness region. We found common as well as different fluctuation characteristics between the LHD and tokamaks. We discuss the analysis results in relation to the blob-generation and propagation behaviors in the three-dimensional magnetic geometry around the divertor leg. In addition, we quantitatively estimated the blob propagation velocity and size based on a theoretical assumption

  6. A novel method of sensing temperatures of magnet coils of SINP-MaPLE plasma device

    International Nuclear Information System (INIS)

    Pal, A M; Bhattacharya, S; Biswas, S; Basu, S; Pal, R

    2014-01-01

    A set of 36 magnet coils is used to produce a continuous, uniform magnetic field of about 0.35 Tesla inside the vacuum chamber of the MaPLE Device, a linear laboratory plasma device (3 m long and 0.30 m in diameter) built for studying basic magnetized plasma physics phenomena. To protect the water cooled-coils from serious damage due to overheating temperatures of all the coils are monitored electronically using low cost temperature sensor IC chips, a technique first being used in similar magnet system. Utilizing the Parallel Port of a Personal Computer a novel scheme is used to avoid deploying microprocessor that is associated with involved circuitry and low level programming to address and control the large number of sensors. The simple circuits and a program code to implement the idea are developed, tested and presently in operation. The whole arrangement comes out to be not only attractive, but also simple, economical and easy to install elsewhere

  7. Experimental investigation of plasma relaxation using a compact coaxial magnetized plasma gun in a background plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott; University of New Mexico Collaboration; Los Alamos National Laboratory Collaboration

    2013-10-01

    A compact coaxial plasma gun is employed for experimental studies of plasma relaxation in a low density background plasma. Experiments are being conducted in the linear HelCat device at UNM. These studies will advance the knowledge of basic plasma physics in the areas of magnetic relaxation and space and astrophysical plasmas, including the evolution of active galactic jets/radio lobes within the intergalactic medium. The gun is powered by a 120pF ignitron-switched capacitor bank which is operated in a range of 5-10 kV and ~100 kA. Multiple diagnostics are employed to investigate plasma relaxation process. Magnetized Argon plasma bubbles with velocities ~1.2Cs and densities ~1020 m-3 have been achieved. Different distinct regimes of operation with qualitatively different dynamics are identified by fast CCD camera images, with the parameter determining the operation regime. Additionally, a B-dot probe array is employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify detached plasma bubble configurations. Experimental data and analysis will be presented.

  8. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  9. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  10. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  11. Publisher's Note: ''The MaPLE device of Saha Institute of Nuclear Physics: Construction and its plasma aspects'' [Rev. Sci. Instrum. 81, 073507 (2010)

    International Nuclear Information System (INIS)

    Pal, Rabindranath; Biswas, Subir; Basu, Subhasis; Chattopadhyay, Monobir; Basu, Debjyoti; Chaudhuri, Manis

    2010-01-01

    The Magnetized Plasma Linear Experimental (MaPLE) device is a low cost laboratory plasma device at Saha Institute of Nuclear Physics fabricated in-house with the primary aim of studying basic plasma physics phenomena such as plasma instabilities, wave propagation, and their nonlinear behavior in magnetized plasma regime in a controlled manner. The machine is specially designed to be a versatile laboratory device that can provide a number of magnetic and electric scenario to facilitate such studies. A total of 36 number of 20-turn magnet coils, designed such as to allow easy handling, is capable of producing a uniform, dc magnetic field of about 0.35 T inside the plasma chamber of diameter 0.30 m. Support structure of the coils is planned in an innovative way facilitating straightforward fabrication and easy positioning of the coils. Further special feature lies in the arrangement of the spacers between the coils that can be maneuvered rather easily to create different magnetic configurations. Various methods of plasma production can be suitably utilized according to the experimental needs at either end of the vacuum vessel. In the present paper, characteristics of a steady state plasma generated by electron cyclotron resonance method using 2.45 GHz microwave power are presented. Scans using simple probe drives revealed that a uniform and long plasma column having electron density ∼ 3–5×1010 cm−3 and temperature ∼ 7–10 eV, is formed in the center of the plasma chamber which is suitable for wave launching experiments.

  12. Heat and momentum transport of ion internal transport barrier plasmas on Large Helical Device

    International Nuclear Information System (INIS)

    Nagaoka, K.; Ida, K.; Yoshinuma, M.

    2010-11-01

    The peaked ion-temperature profile with steep gradient so called ion internal transport barrier (ion ITB) was formed in the neutral beam heated plasmas on the Large Helical Device (LHD) and the high-ion-temperature regime of helical plasmas has been significantly extended. The ion thermal diffusivity in the ion ITB plasma decreases down to the neoclassical transport level. The heavy ion beam probe (HIBP) observed the smooth potential profile with negative radial electric field (ion root) in the core region where the ion thermal diffusivity decreases significantly. The large toroidal rotation was also observed in the ion ITB core and the transport of toroidal momentum was analyzed qualitatively. The decrease of momentum diffusivity with ion temperature increase was observed in the ion ITB core. The toroidal rotation driven by ion temperature gradient so called intrinsic rotation is also identified. (author)

  13. Heating in toroidal plasmas

    International Nuclear Information System (INIS)

    Knoepfel, H.; Mazzitelli, G.

    1984-01-01

    The article is a rather detailed report on the highlights in the area of the ''Heating in toroidal plasmas'', as derived from the presentations and discussions at the international symposium with the same name, held in Rome, March 1984. The symposium covered both the physics (experiments and theory) and technology of toroidal fusion plasma heating. Both large fusion devices (either already in operation or near completion) requiring auxiliary heating systems at the level of tens of megawatts, as well as physics of their heating processes and their induced side effects (as studied on smaller devices), received attention. Substantial progress was reported on the broad front of auxiliary plasma heating and Ohmic heating. The presentation of the main conclusions of the symposium is divided under the following topics: neutral-beam heating, Alfven wave heating, ion cyclotron heating, lower hybrid heating, RF current drive, electron cyclotron heating, Ohmic heating and special contributions

  14. Plasma Separation Process: Betacell (BCELL) code: User's manual. [Bipolar barrier junction

    Energy Technology Data Exchange (ETDEWEB)

    Taherzadeh, M.

    1987-11-13

    The emergence of clearly defined applications for (small or large) amounts of long-life and reliable power sources has given the design and production of betavoltaic systems a new life. Moreover, because of the availability of the plasma separation program, (PSP) at TRW, it is now possible to separate the most desirable radioisotopes for betacell power generating devices. A computer code, named BCELL, has been developed to model the betavoltaic concept by utilizing the available up-to-date source/cell parameters. In this program, attempts have been made to determine the betacell energy device maximum efficiency, degradation due to the emitting source radiation and source/cell lifetime power reduction processes. Additionally, comparison is made between the Schottky and PN junction devices for betacell battery design purposes. Certain computer code runs have been made to determine the JV distribution function and the upper limit of the betacell generated power for specified energy sources. A Ni beta emitting radioisotope was used for the energy source and certain semiconductors were used for the converter subsystem of the betacell system. Some results for a Promethium source are also given here for comparison. 16 refs.

  15. Plasma processes inside dispenser hollow cathodes

    International Nuclear Information System (INIS)

    Mikellides, Ioannis G.; Katz, Ira; Goebel, Dan M.; Polk, James E.; Jameson, Kristina K.

    2006-01-01

    A two-dimensional fluid model of the plasma and neutral gas inside dispenser orificed hollow cathodes has been developed to quantify plasma processes that ultimately determine the life of the porous emitters inserted in these devices. The model self-consistently accounts for electron emission from the insert as well as for electron and ion flux losses from the plasma. Two cathodes, which are distinctively different in size and operating conditions, have been simulated numerically. It is found that the larger cathode, with outer tube diameter of 1.5 cm and orifice diameter of 0.3 cm, establishes an effective emission zone that spans approximately the full length of the emitter when operated at a discharge current of 25 A and a flow rate of 5.5 sccm. The net heating of the emitter is caused by ions that are produced by ionization of the neutral gas inside the tube and are then accelerated by the sheath along the emitter. The smaller cathode, with an outer diameter of 0.635 cm and an orifice diameter of 0.1 cm, does not exhibit the same operational characteristics. At a flow rate of 4.25 sccm and discharge current of 12 A, the smaller cathode requires 4.5 times the current density near the orifice and operates with more than 6 times the neutral particle density compared to the large cathode. As a result, the plasma particle density is almost one order of magnitude higher compared to the large cathode. The plasma density in this small cathode is high enough such that the Debye length is sufficiently small to allow 'sheath funneling' into the pores of the emitter. By accessing areas deeper into the insert material, it is postulated that the overall emission of electrons is significantly enhanced. The maximum emission current density is found to be about 1 A/mm 2 in the small cathode, which is about one order of magnitude higher than attained in the large cathode. The effective emission zone in the small cathode extends to about 15% of the emitter length only, and the

  16. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  17. Three-dimensional two-fluid Braginskii simulations of the large plasma device

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, Dustin M., E-mail: dustin.m.fisher.gr@dartmouth.edu; Rogers, Barrett N., E-mail: barrett.rogers@dartmouth.edu [Department of Physics and Astronomy, Dartmouth College, Hanover, New Hampshire 03755 (United States); Rossi, Giovanni D.; Guice, Daniel S.; Carter, Troy A. [Department of Physics and Astronomy, University of California, Los Angeles, California 90095-1547 (United States)

    2015-09-15

    The Large Plasma Device (LAPD) is modeled using the 3D Global Braginskii Solver code. Comparisons to experimental measurements are made in the low-bias regime in which there is an intrinsic E × B rotation of the plasma. In the simulations, this rotation is caused primarily by sheath effects and may be a likely mechanism for the intrinsic rotation seen in LAPD. Simulations show strong qualitative agreement with the data, particularly the radial dependence of the density fluctuations, cross-correlation lengths, radial flux dependence outside of the cathode edge, and camera imagery. Kelvin Helmholtz (KH) turbulence at relatively large scales is the dominant driver of cross-field transport in these simulations with smaller-scale drift waves and sheath modes playing a secondary role. Plasma holes and blobs arising from KH vortices in the simulations are consistent with the scale sizes and overall appearance of those in LAPD camera images. The addition of ion-neutral collisions in the simulations at previously theorized values reduces the radial particle flux by about a factor of two, from values that are somewhat larger than the experimentally measured flux to values that are somewhat lower than the measurements. This reduction is due to a modest stabilizing contribution of the collisions on the KH-modes driving the turbulent transport.

  18. 77 FR 65580 - Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers...

    Science.gov (United States)

    2012-10-29

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-856] Certain Wireless Communication Devices, Portable Music and Data Processing Devices, Computers, and Components Thereof AGENCY: U.S. International Trade Commission. ACTION: Notice. SUMMARY: Notice is hereby given that the U.S. International...

  19. Effects of fusion relevant transient energetic radiation, plasma and thermal load on PLANSEE double forged tungsten samples in a low-energy plasma focus device

    Science.gov (United States)

    Javadi, S.; Ouyang, B.; Zhang, Z.; Ghoranneviss, M.; Salar Elahi, A.; Rawat, R. S.

    2018-06-01

    Tungsten is the leading candidate for plasma facing component (PFC) material for thermonuclear fusion reactors and various efforts are ongoing to evaluate its performance or response to intense fusion relevant radiation, plasma and thermal loads. This paper investigates the effects of hot dense decaying pinch plasma, highly energetic deuterium ions and fusion neutrons generated in a low-energy (3.0 kJ) plasma focus device on the structure, morphology and hardness of the PLANSEE double forged tungsten (W) samples surfaces. The tungsten samples were provided by Forschungszentrum Juelich (FZJ), Germany via International Atomic Energy Agency, Vienna, Austria. Tungsten samples were irradiated using different number of plasma focus (PF) shots (1, 5 and 10) at a fixed axial distance of 5 cm from the anode top and also at various distances from the top of the anode (5, 7, 9 and 11 cm) using fixed number (5) of plasma focus shots. The virgin tungsten sample had bcc structure (α-W phase). After PF irradiation, the XRD analysis showed (i) the presence of low intensity new diffraction peak corresponding to β-W phase at (211) crystalline plane indicating the partial structural phase transition in some of the samples, (ii) partial amorphization, and (iii) vacancy defects formation and compressive stress in irradiated tungsten samples. Field emission scanning electron microscopy showed the distinctive changes to non-uniform surface with nanometer sized particles and particle agglomerates along with large surface cracks at higher number of irradiation shots. X-ray photoelectron spectroscopy analysis demonstrated the reduction in relative tungsten oxide content and the increase in metallic tungsten after irradiation. Hardness of irradiated samples initially increased for one shot exposure due to reduction in tungsten oxide phase, but then decreased with increasing number of shots due to increasing concentration of defects. It is demonstrated that the plasma focus device provides

  20. On-line control of the plasma spraying process by monitoring the temperature, velocity, and trajectory of in-flight particles

    International Nuclear Information System (INIS)

    Moreau, C.; Gougeon, P.; Lamontagne, M.; Lacasse, V.; Vaudreuil, G.; Cielo, P.

    1994-01-01

    This paper describes a new optical sensing device for on-line monitoring of the temperature, velocity and trajectory of in-flight particles during industrial coating production. Thermal radiation emitted by the in-flight particles is collected by a small and robust sensing head that can be attached to the plasma gun providing continuous monitoring of the spray process. The collected radiation is transmitted through optical fibers to a detection cabinet located away from the dusty environment around the operating plasma gun. On-line measurement of the particle velocity, temperature and trajectory can provide an efficient diagnostic tool to maintain optimum spraying conditions leading to a better reproducibility of the coating properties

  1. An in situ accelerator-based diagnostic for plasma-material interactions science on magnetic fusion devices.

    Science.gov (United States)

    Hartwig, Zachary S; Barnard, Harold S; Lanza, Richard C; Sorbom, Brandon N; Stahle, Peter W; Whyte, Dennis G

    2013-12-01

    This paper presents a novel particle accelerator-based diagnostic that nondestructively measures the evolution of material surface compositions inside magnetic fusion devices. The diagnostic's purpose is to contribute to an integrated understanding of plasma-material interactions in magnetic fusion, which is severely hindered by a dearth of in situ material surface diagnosis. The diagnostic aims to remotely generate isotopic concentration maps on a plasma shot-to-shot timescale that cover a large fraction of the plasma-facing surface inside of a magnetic fusion device without the need for vacuum breaks or physical access to the material surfaces. Our instrument uses a compact (~1 m), high-current (~1 milliamp) radio-frequency quadrupole accelerator to inject 0.9 MeV deuterons into the Alcator C-Mod tokamak at MIT. We control the tokamak magnetic fields--in between plasma shots--to steer the deuterons to material surfaces where the deuterons cause high-Q nuclear reactions with low-Z isotopes ~5 μm into the material. The induced neutrons and gamma rays are measured with scintillation detectors; energy spectra analysis provides quantitative reconstruction of surface compositions. An overview of the diagnostic technique, known as accelerator-based in situ materials surveillance (AIMS), and the first AIMS diagnostic on the Alcator C-Mod tokamak is given. Experimental validation is shown to demonstrate that an optimized deuteron beam is injected into the tokamak, that low-Z isotopes such as deuterium and boron can be quantified on the material surfaces, and that magnetic steering provides access to different measurement locations. The first AIMS analysis, which measures the relative change in deuterium at a single surface location at the end of the Alcator C-Mod FY2012 plasma campaign, is also presented.

  2. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  3. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  4. Parallel processing for a 1-D time-dependent solution to impurity rate equations for fusion plasma simulations

    International Nuclear Information System (INIS)

    Veerasingam, R.

    1990-01-01

    In fusion plasmas impurities such as carbon, oxygen or nickel can contaminate the plasma and cause degradation of the performance of a fusion device through radiation. However, impurities can also be used as diagnostics to obtain information about a plasma through spectroscopic experiments which can then be used in plasma modeling and simulations. In the past, serial algorithms have been described for either the time dependent or steady state problem. In this paper, we describe a parallel procedure adopted to solve the time-dependent problem. It can be shown that for the steady state problem a parallel procedure would not be a useful application of parallelization because a few seconds of the Central Processing Unit time on a CRAY-XMP or IBM 3090/600S would suffice to obtain the solution, while this is not the case for the time-dependent problem. In order to study the effects of low Z and high Z impurities on the final state of a plasma, time-dependent solutions are necessary. For purposes of diagnostics and comparisons with experiments, a fast turn around time of the simulations would be advantageous. We have implemented a parallel algorithm on and IBM 3090/600S and tested its performance for a typical set of fusion plasma parameters. 4 refs., 1 tab

  5. Modelling ion cyclotron emission from KSTAR tokamak and LHD helical device plasmas

    Science.gov (United States)

    Dendy, Richard; Chapman, Ben; Reman, Bernard; Chapman, Sandra; Akiyama, Tsuyoshi; Yun, Gunsu

    2017-10-01

    New high quality measurements of ion cyclotron emission (ICE) from KSTAR and LHD greatly extend the scope and diversity of plasma conditions under which ICE is observed. Variables include the origin (fusion reactions or neutral beam injection) and energy (sub- or super-Alfvénic) of the minority energetic ions that drive ICE; the composition of the bulk plasma (hydrogen or deuterium) which supports the modes excited; plasma density in the emitting region, and the timescale on which it changes; and toroidal magnetic field geometry (tokamak or helical device). Future exploitation of ICE as a diagnostic for energetic ion populations in JET D-T plasmas and in ITER rests on quantitative understanding of the physics of the emission. This is tested and extended by current KSTAR and LHD measurements of ICE. We report progress on direct numerical simulation using full orbit ion kinetic codes that solve the Maxwell-Lorentz equations for hundreds of millions of particles. In the saturated regime, these simulations yield excited field spectra that correspond directly to the measured ICE spectra under diverse KSTAR and LHD regimes. At early times, comparison of simulation outputs with linear analytical theory confirms the magnetoacoustic cyclotron instability as the basic driver of ICE. Supported by RCUK Energy Programme Grant EP/P012450/1, NRF Korea Grant 2014M1A7A1A03029881, NIFS budget ULHH029 and Euratom.

  6. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  7. Scrape-off layer plasma modeling for the DIII-D tokamak

    International Nuclear Information System (INIS)

    Porter, G.D.; Rognlien, T.D.; Allen, S.L.

    1994-09-01

    The behavior of the scrape-off layer (SOL) region in tokamaks is believed to play an important role determining the overall device performance. In addition, control of the exhaust power has become one of the most important issues in the design of future devices such as ITER and TPX. This paper presents the results of application of 2-D fluid models to the DII-D tokamak, and research into the importance of processes which are inadequately treated in the fluid models. Comparison of measured and simulated profiles of SOL plasma parameters suggest the physics model contained in the UEDGE code is sufficient to simulate plasmas which are attached to the divertor plates. Experimental evidence suggests the presence of enhanced plasma recombination and momentum removal leading to the existence of detached plasma states. UEDGE simulation of these plasmas obtains a bifurcation to a low temperature plasma at the divertor, but the plasma remains attached. Understanding the physics of this detachment is important for the design of future devices. Analytic studies of the behavior of SOL plasmas enhance our understanding beyond that achieved with fluid modeling. Analysis of the effect of drifts on sheath structure suggest these drifts may play a role in the detachment process. Analysis of the turbulent-transport equations indicate a bifurcation which is qualitatively similar to the experimentally different behavior of the L- and H-mode SOL. Electrostatic simulations of conducting wall modes suggest possible control of the SOL width by biasing

  8. Effects of admixture gas on the production of {sup 18}F radioisotope in plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Talaei, Ahmad [Nuclear Science and Technology Research Institute (NSTR), Nuclear Science Research School, A.E.O.I., 14155-1339 Tehran (Iran, Islamic Republic of); Sadat Kiai, S.M., E-mail: sadatkiai@yahoo.co [Nuclear Science and Technology Research Institute (NSTR), Nuclear Science Research School, A.E.O.I., 14155-1339 Tehran (Iran, Islamic Republic of); Zaeem, A.A. [Department of Physics, Khaje Nasir University of Technology (K.N. Toosi), 1541846911 Tehran (Iran, Islamic Republic of)

    2010-12-15

    In this article, the effect of admixture gas on the heating and cooling of pinched plasma directly related to the enhancement or reduction of {sup 18}F production through the {sup 16}O({sup 3}He, p){sup 18}F is considered in the plasma focus devices. It is shown that by controlling the velocity of added Oxygen particles mixed with the working helium gas into the plasma focus chamber, one can increase the current and decrease the confinement time (plasma heating) or vice verse (plasma cooling). The highest level of nuclear activities of {sup 18}F was found around 16% of the Oxygen admixture participation and was about 0.35 MBq in the conditions of 20 kJ, 0.1 Hz and after 2 min operating of Dena PF. However, in the same condition, but for the frequency of 1 Hz, the level of activity increased up to 3.4 MBq.

  9. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  10. Observation of intense beam in low pressure from IPR Plasma Focus facility

    International Nuclear Information System (INIS)

    Kumar, R.; Shyam, A.; Chaturvedi, S.; Lathi, D.; Sarkar, Partha; Chaudhari, V.; Verma, R.; Shukla, R.; Debnath, K.; Sonara, J.; Shah, K.; Adhikary, B.

    2004-01-01

    Full text: Plasma focus (PF) is a powerful source of various ionizing radiation such as charged particles beam (ions and electrons), X-ray, neutrons etc. This device can operate from energy level of 50J to 1MJ. Plasma Focus is relatively small, simple and cheap in comparison with other radiation sources based on isotopes, accelerators and fusion reactors. Radiation pulse from PF is strong and very short. Now with the new pulsed power technology this device can be operated repeatedly with enhanced lifetime. All these features make plasma focus a versatile device for academic as well as industrial interest such as hot plasma physics and plasma collective processes, equation of state of matter under extreme conditions, material science including material characterization, dynamic equation control, and surface modification and destruction test. Intense burst of neutrons have been observed from a low energy (3.6 kJ) Mather type plasma focus device operated in 0.4 Torr pressure of deuterium medium at IPR. The emitted neutrons (10 9 /shot), that are accompanied by a strong hard X-ray pulse, were found to be having energy up to 3.26 MeV in the axial direction of the device

  11. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  12. Radioactive liquid wastes processing device

    International Nuclear Information System (INIS)

    Sauda, Kenzo; Koshiba, Yukihiko; Yagi, Takuro; Yamazaki, Hideki.

    1985-01-01

    Purpose: To carry out optimum photooxidizing procession following after the fluctuation in the density of organic materials in radioactive liquid wastes to thereby realize automatic remote procession. Constitution: A reaction tank is equipped with an ultraviolet lamp and an ozone dispersing means for the oxidizing treatment of organic materials in liquid wastes under the irradiation of UV rays. There are also provided organic material density measuring devices to the inlet and outlet of the reaction tank, and a control device for controlling the UV lamp power adjusting depending on the measured density. The output of the UV lamp is most conveniently adjusted by changing the applied voltage. The liquid wastes in which the radioactivity dose is reduced to a predetermined level are returned to the reaction tank by the operation of a switching valve for reprocession. The amount of the liquid wastes at the inlet is controlled depending on the measured ozone density by the adjusting valve. In this way, the amount of organic materials to be subjected to photolysis can be kept within a certain limit. (Kamimura, M.)

  13. Studies of the Hard X-ray Emission from the Filippov Type Plasma Focus Device, Dena

    Science.gov (United States)

    Tafreshi, M. A.; Saeedzadeh, E.

    2006-12-01

    This article is about the characteristics of the hard X-ray (HXR) emission from the Filippov type plasma focus (PF) device, Dena. The article begins with a brief presentation of Dena, and the mechanism of the HXR production in PF devices. Then using the differential absorption spectrometry, the energy resolved spectrum of the HXR emission from a 37 kJ discharge in Dena, is estimated. The energy flux density and the energy fluence of this emission have also been calculated to be 1.9 kJ cm-2 s-1 and 9.4 × 10-5 J cm-2. In the end, after presentation of radiography of sheep bones and calf ribs, the medical application of the PF devices has been discussed.

  14. Microstructure devices for process intensification: Influence of manufacturing tolerances and design

    International Nuclear Information System (INIS)

    Brandner, Juergen J.

    2013-01-01

    Process intensification by miniaturization is a common task for several fields of technology. Starting from manufacturing of electronic devices, miniaturization with the accompanying opportunities and problems gained also interest in chemistry and chemical process engineering. While the integration of enhanced functions, e.g. integrated sensors and actuators, is still under consideration, miniaturization itself has been realized in all material classes, namely metals, ceramics and polymers. First devices have been manufactured by scaling down macro-scale devices. However, manufacturing tolerances, material properties and design show much larger influence to the process than in macro scale. Many of the devices generated alike the macro ones work properly, but possibly could be optimized to a certain extend by adjusting the design and manufacturing tolerances to the special demands of miniaturization. Thus, some considerations on the design and production of devices for micro process engineering should be made to provide devices which show reproducible and controllable process behavior. The aim of the following publication is to show the importance of considerations in manufacturing tolerances and dimensions as well as design of microstructures to avoid negative influences and optimize the process characteristics of miniaturized devices. Some examples will be shown to explain the considerations presented here

  15. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  16. Characterization of bismuth nanospheres deposited by plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, M., E-mail: cscientific2@aec.org.sy [IBA Laboratory, Chemistry Department, Atomic Energy Commission of Syria, P.O. Box 6091, Damascus (Syrian Arab Republic); Al-Hawat, Sh.; Akel, M. [Physics Department, Atomic Energy Commission of Syria, P.O. Box 6091, Damascus (Syrian Arab Republic); Mrad, O. [Chemistry Department, Atomic Energy Commission of Syria, P.O. Box 6091, Damascus (Syrian Arab Republic)

    2015-02-14

    A new method for producing thin layer of bismuth nanospheres based on the use of low energy plasma focus device is demonstrated. Various techniques such as scanning electron microscopy, Rutherford backscattering spectroscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy have been used to characterize the morphology and the composition of the nanospheres. Experimental parameters may be adjusted to favour the formation of bismuth nanospheres instead of microspheres. Therefore, the formation of large surface of homogeneous layer of bismuth nanospheres with sizes of below 100 nm can be obtained. The natural snowball phenomenon is observed to be reproduced in nanoscale where spheres roll over the small nanospheres and grow up to bigger sizes that can reach micro dimensions. The comet-like structure, a reverse phenomenon to snowball is also observed.

  17. Characterization of bismuth nanospheres deposited by plasma focus device

    International Nuclear Information System (INIS)

    Ahmad, M.; Al-Hawat, Sh.; Akel, M.; Mrad, O.

    2015-01-01

    A new method for producing thin layer of bismuth nanospheres based on the use of low energy plasma focus device is demonstrated. Various techniques such as scanning electron microscopy, Rutherford backscattering spectroscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy have been used to characterize the morphology and the composition of the nanospheres. Experimental parameters may be adjusted to favour the formation of bismuth nanospheres instead of microspheres. Therefore, the formation of large surface of homogeneous layer of bismuth nanospheres with sizes of below 100 nm can be obtained. The natural snowball phenomenon is observed to be reproduced in nanoscale where spheres roll over the small nanospheres and grow up to bigger sizes that can reach micro dimensions. The comet-like structure, a reverse phenomenon to snowball is also observed

  18. A new nebulization device with exchangeable aerosol generation mode as a useful tool to investigate sample introduction processes in inductively coupled plasma atomic emission spectrometry

    International Nuclear Information System (INIS)

    Grotti, Marco; Lagomarsino, Cristina; Frache, Roberto

    2004-01-01

    A new sample introduction device has been designed in order to differentiate between the effects of the aerosol production and its following desolvation on analytical performances of an inductively coupled plasma optical spectrometer. This research tool allows to easily switch between the pneumatic and ultrasonic aerosol generation mode and to use a joint desolvation chamber. In this way, a real comparison between aerosol production systems may be attained and the influence of aerosol generation process on analytical figures clearly distinguished from that of the desolvation process. In this work, the separate effects of the aerosol generation and desolvation processes on analytical sensitivity and tolerance towards matrix effects have been investigated. Concerning sensitivity, it was found that both the processes play an important role in determining emission intensities, being the increase in sensitivity due to desolvation higher than that due to the improved aerosol generation efficiency. Concerning the matrix effects, a predominant role of the desolvation system was found, while the influence of the aerosol generation mode was much less important. For nitric acid, the decreasing effect was mitigated by the presence of a desolvation system, due to partial removal of the acid. On the contrary, the depressive effect of sulfuric acid was enhanced by the presence of a desolvation system, due to degradation of the solvent removal efficiency and to further decrease in the analyte transport rate caused by clustering phenomena. Concerning the interferences due to sodium and calcium, a depressive effect was observed, which is enhanced by desolvation

  19. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  20. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  1. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  2. Plasma position control device for thermonuclear device

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, Masanori [Mitsubishi Heavy Industries Ltd., Tokyo (Japan); Fujita, Jun-ya; Ioki, Kimihiro

    1995-10-03

    The present invention concerns plasma position control coils having a feeder line structure not requiring high strength for the support portion. Namely, the coils are formed by twisting feeder lines extended from plasma position control coils in a vacuum vessel. The twisted feeder lines are supported using an appropriate structural member. Electromagnetic load is generated to the feeder lines being extended from the position control coils and traversing toroidal fields at a current introduction lines and at current delivery lines respectively. However, since the feeder lines have substantially spiral shape consisting of two twisted lines, the electromagnetic load and the moment caused by the generated load which are inversed to each other are off set. Accordingly, only extremely small force is exerted on the fittings which support the feeder lines. Therefore, small strength may suffice for the fittings and the gaps of mounting the fittings may be made longer. (I.S.).

  3. Plasma position control device for thermonuclear device

    International Nuclear Information System (INIS)

    Onozuka, Masanori; Fujita, Jun-ya; Ioki, Kimihiro.

    1995-01-01

    The present invention concerns plasma position control coils having a feeder line structure not requiring high strength for the support portion. Namely, the coils are formed by twisting feeder lines extended from plasma position control coils in a vacuum vessel. The twisted feeder lines are supported using an appropriate structural member. Electromagnetic load is generated to the feeder lines being extended from the position control coils and traversing toroidal fields at a current introduction lines and at current delivery lines respectively. However, since the feeder lines have substantially spiral shape consisting of two twisted lines, the electromagnetic load and the moment caused by the generated load which are inversed to each other are off set. Accordingly, only extremely small force is exerted on the fittings which support the feeder lines. Therefore, small strength may suffice for the fittings and the gaps of mounting the fittings may be made longer. (I.S.)

  4. Equilibrium and stability studies for high beta plasmas in torsatron/heliotron devices

    International Nuclear Information System (INIS)

    Carreras, B.A.; Cooper, W.A.; Charlton, L.A.

    1983-01-01

    The equilibrium and stability properties of high β plasmas in torsatron/heliotron devices have been investigated. Three numerical approaches have been used to study plasma equilibria for a range of coil configurations. The method of averaging permits fast equilibrium and stability calculations. Two fully 3-D codes, namely the Chodura-Schluter code, and the NEAR code recently developed at ORNL, are used to explore selected regions of parameter space. The resulting equilibria calculated with different methods are in good agreement. This validates the average method approach and enhances its usefulness. Results are presented for configurations with different aspect ratios and number of field periods. The role of the vertical field has also been studied in detail. The main conclusion is that for moderate aspect ratios (Asub(p) <= 8), the self-stabilizing effect of the magnetic axis shift is large enough to open a direct path to the second stability regime. (author)

  5. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  6. Graphics processing unit accelerated three-dimensional model for the simulation of pulsed low-temperature plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Fierro, Andrew, E-mail: andrew.fierro@ttu.edu; Dickens, James; Neuber, Andreas [Center for Pulsed Power and Power Electronics, Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, Texas 79409 (United States)

    2014-12-15

    A 3-dimensional particle-in-cell/Monte Carlo collision simulation that is fully implemented on a graphics processing unit (GPU) is described and used to determine low-temperature plasma characteristics at high reduced electric field, E/n, in nitrogen gas. Details of implementation on the GPU using the NVIDIA Compute Unified Device Architecture framework are discussed with respect to efficient code execution. The software is capable of tracking around 10 × 10{sup 6} particles with dynamic weighting and a total mesh size larger than 10{sup 8} cells. Verification of the simulation is performed by comparing the electron energy distribution function and plasma transport parameters to known Boltzmann Equation (BE) solvers. Under the assumption of a uniform electric field and neglecting the build-up of positive ion space charge, the simulation agrees well with the BE solvers. The model is utilized to calculate plasma characteristics of a pulsed, parallel plate discharge. A photoionization model provides the simulation with additional electrons after the initial seeded electron density has drifted towards the anode. Comparison of the performance benefits between the GPU-implementation versus a CPU-implementation is considered, and a speed-up factor of 13 for a 3D relaxation Poisson solver is obtained. Furthermore, a factor 60 speed-up is realized for parallelization of the electron processes.

  7. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  8. To a question on thermal protection of constructional elements of vacuum-plasma devices

    International Nuclear Information System (INIS)

    Borisko, V.N.; Borisko, S.V.; Zinovev, D.V.; Lapshin, V.I.; Tselujko, A.F.

    2005-01-01

    The progress in development of vacuum-plasma devices is connected with the design and creation of constructional elements from materials, which have a high erosion resistance and can maintain the large specific flux of energy per effective area. Recently as the materials of such constructional elements it was offered to use the reversible sorbents of hydrogen of Zr-V system, which have high-rates of sorption-desorption and large thermal effect of the hydride phases decomposition. In the paper an experimental research of the thermal conditions features of the metal-hydride electrodes, which subjected of the energy loads in the vacuum-plasma devices, are given. The simulation of the energy loads on the electrodes was carried out with the help of gas discharge plasma as there is an possibility to vary the energy spectrum of the bombarding particles and to gather a necessary radiation dose to the material surface. For comparative examinations of various materials under the irradiation by high-energy heavy particles it is the most convenient to use the Penning discharge. In this case, the cathodes made of different materials are under the identical conditions even at the change of working discharge modes. Therefore in the device on the basis of the Penning discharge the cathodes of metal-hydride and stainless steel were set. It was detected, that the increase of the temperature gradient of metal-hydride cathode goes down with the increase of discharge current value. The dependence of operating temperatures difference of cathodes from exposure time has shown that the temperature of the metal-hydride cathode is sufficiently lower than the temperature of the stainless steel cathode. Such a softening of the thermal operation conditions of the metal hydride cathode is caused by thermal decomposition of hydride phases. Besides there is the energy flow dissipation of bombarding particles on the protective gas target formed by desorbed hydrogen. The considerable decrease of

  9. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  10. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2014-10-01

    by Remote Exposure of Resistive Barrier Cold Plasma." Biotechnology and Bioengineering, vol. 111, No. 3. p. 565 - 574 (2014). 16. Magesh...remote exposure of resistive barrier cold plasma.” Biotechnology and Bioengineering. (Accepted for publication in the next issue in 2013) 11. Magesh...foes-Safety-and-Security--1945) 4. “University Touts ’Superbug’ Killing Technology”, Quality Assurance and Food Safety Magazine , July 2013. (Link

  11. Processing device for discharged water from radioactive material handling facility

    International Nuclear Information System (INIS)

    Kono, Takao; Kono, Hiroyuki; Yasui, Katsuaki; Kataiki, Koichi.

    1995-01-01

    The device of the present invention comprises a mechanical floating material-removing means for removing floating materials in discharged water, an ultrafiltration device for separating processed water discharged from the removing means by membranes, a reverse osmotic filtration device for separating the permeated water and a condensing means for evaporating condensed water. Since processed water after mechanically removing floating materials is supplied to the ultrafiltration device, the load applied on the filtering membrane is reduced, to simplify the operation control as a total. In addition, since the amount of resultant condensed water is reduced, and the devolumed condensed water is condensed and dried, the condensing device is made compact and the amount of resultant wastes is reduced. (T.M.)

  12. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  13. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  14. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  15. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  16. A continuous flow micro filtration device for plasma/blood separation using submicron vertical pillar gap structures

    International Nuclear Information System (INIS)

    Kang, Tae Goo; Ji, Hongmiao; Lim, Pei Yi; Chen, Yu; Yoon, Yong-Jin

    2014-01-01

    This work demonstrates a continuous flow plasma/blood separator using a vertical submicron pillar gap structure. The working principle of the proposed separator is based on size exclusion of cells through cross-flow filtration, in which only plasma is allowed to pass through submicron vertical pillars located tangential to the main flow path of the blood sample. The maximum filtration efficiency of 99.9% was recorded with a plasma collection rate of 0.67 µl min −1 for an input blood flow rate of 12.5 µl min −1 . The hemolysis phenomenon was observed for an input blood flow rate above 30 µl min −1 . Based on the experimental results, we can conclude that the proposed device shows potential for the application of on-chip plasma/blood separation as a part of integrated point-of-care (POC) diagnostics systems. (technical note)

  17. Numerical simulation of current-free double layers created in a helicon plasma device

    Science.gov (United States)

    Rao, Sathyanarayan; Singh, Nagendra

    2012-09-01

    Two-dimensional simulations reveal that when radially confined source plasma with magnetized electrons and unmagnetized ions expands into diverging magnetic field B, a current-free double layer (CFDL) embedded in a conical density structure forms, as experimentally measured in the Australian helicon plasma device (HPD). The magnetized electrons follow the diverging B while the unmagnetized ions tend to flow directly downstream of the source, resulting in a radial electric field (E⊥) structure, which couples the ion and electron flows. Ions are transversely (radially) accelerated by E⊥ on the high potential side of the double layer in the CFDL. The accelerated ions are trapped near the conical surface, where E⊥ reverses direction. The potential structure of the CFDL is U-shaped and the plasma density is enhanced on the conical surface. The plasma density is severely depleted downstream of the parallel potential drop (φ||o) in the CFDL; the density depletion and the potential drop are related by quasi-neutrality condition, including the divergence in the magnetic field and in the plasma flow in the conical structure. The potential and density structures, the CFDL spatial size, its electric field strengths and the electron and ion velocities and energy distributions in the CFDL are found to be in good agreements with those measured in the Australian experiment. The applicability of our results to measured axial potential profiles in magnetic nozzle experiments in HPDs is discussed.

  18. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  19. Modelling of plasma-antenna coupling and non-linear radio frequency wave-plasma-wall interactions in the magnetized plasma device under ion cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Lu, LingFeng

    2016-01-01

    Ion Cyclotron Resonant Heating (ICRH) by waves in 30-80 MHz range is currently used in magnetic fusion plasmas. Excited by phased arrays of current straps at the plasma periphery, these waves exist under two polarizations. The Fast Wave tunnels through the tenuous plasma edge and propagates to its center where it is absorbed. The parasitically emitted Slow Wave only exists close to the launchers. How much power can be coupled to the center with 1 A current on the straps? How do the emitted radiofrequency (RF) near and far fields interact parasitically with the edge plasma via RF sheath rectification at plasma-wall interfaces? To address these two issues simultaneously, in realistic geometry over the size of ICRH antennas, this thesis upgraded and tested the Self-consistent Sheaths and Waves for ICH (SSWICH) code. SSWICH couples self-consistently RF wave propagation and Direct Current (DC) plasma biasing via non-linear RF and DC sheath boundary conditions (SBCs) at plasma/wall interfaces. Its upgrade is full wave and was implemented in two dimensions (toroidal/radial). New SBCs coupling the two polarizations were derived and implemented along shaped walls tilted with respect to the confinement magnetic field. Using this new tool in the absence of SBCs, we studied the impact of a density decaying continuously inside the antenna box and across the Lower Hybrid (LH) resonance. Up to the memory limits of our workstation, the RF fields below the LH resonance changed with the grid size. However the coupled power spectrum hardly evolved and was only weakly affected by the density inside the box. In presence of SBCs, SSWICH-FW simulations have identified the role of the fast wave on RF sheath excitation and reproduced some key experimental observations. SSWICH-FW was finally adapted to conduct the first electromagnetic and RF-sheath 2D simulations of the cylindrical magnetized plasma device ALINE. (author) [fr

  20. Plasma-material interactions

    International Nuclear Information System (INIS)

    Wilson, K.L.

    1984-01-01

    Plasma-interactive components must be resistant to erosion processes, efficient in heat removal, and effective in minimizing tritium inventory and permeation. As long as plasma edge temperatures are 50 eV, no one material can satisfy the diverse requirements imposed by these plasma materials interactions. The only solution is the design of duplex, or even more complicated, structures. The material that faces the plasma should be low atomic number, with acceptable erosion and evaporation characteristics. The substrate material must have high thermal conductivity for heat removal. Finally, materials must be selected judiciously for tritium compatibility. In conclusion, materials play a critical role in the achievement of safe and economical magnetic fusion energy. Improvements in materials have already led to many advances in present day device operation, but additional innovative materials solutions are required for the critical plasma materials interaction issues in future power reactors

  1. Processing and characterization of device solder interconnection and module attachment for power electronics modules

    Science.gov (United States)

    Haque, Shatil

    This research is focused on the processing of an innovative three-dimensional packaging architecture for power electronics building blocks with soldered device interconnections and subsequent characterization of the module's critical interfaces. A low-cost approach termed metal posts interconnected parallel plate structure (MPIPPS) was developed for packaging high-performance modules of power electronics building blocks (PEBB). The new concept implemented direct bonding of copper posts, not wire bonding of fine aluminum wires, to interconnect power devices as well as joining the different circuit planes together. We have demonstrated the feasibility of this packaging approach by constructing PEBB modules (consisting of Insulated Gate Bipolar Transistors (IGBTs), diodes, and a few gate driver elements and passive components). In the 1st phase of module fabrication with IGBTs with Si3N 4 passivation, we had successfully fabricated packaged devices and modules using the MPIPPS technique. These modules were tested electrically and thermally, and they operated at pulse-switch and high power stages up to 6kW. However, in the 2nd phase of module fabrication with polyimide passivated devices, we experienced significant yield problems due to metallization difficulties of these devices. The under-bump metallurgy scheme for the development of a solderable interface involved sputtering of Ti-Ni-Cu and Cr-Cu, and an electroless deposition of Zn-Ni-Au metallization. The metallization process produced excellent yield in the case of Si3N4 passivated devices. However, under the same metallization schemes, devices with a polyimide passivation exhibited inconsistent electrical contact resistance. We found that organic contaminants such as hydrocarbons remain in the form of thin monolayers on the surface, even in the case of as-received devices from the manufacturer. Moreover, in the case of polyimide passivated devices, plasma cleaning introduced a few carbon constituents on the

  2. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  3. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  4. Microfabricated modular scale-down device for regenerative medicine process development.

    Directory of Open Access Journals (Sweden)

    Marcel Reichen

    Full Text Available The capacity of milli and micro litre bioreactors to accelerate process development has been successfully demonstrated in traditional biotechnology. However, for regenerative medicine present smaller scale culture methods cannot cope with the wide range of processing variables that need to be evaluated. Existing microfabricated culture devices, which could test different culture variables with a minimum amount of resources (e.g. expensive culture medium, are typically not designed with process development in mind. We present a novel, autoclavable, and microfabricated scale-down device designed for regenerative medicine process development. The microfabricated device contains a re-sealable culture chamber that facilitates use of standard culture protocols, creating a link with traditional small-scale culture devices for validation and scale-up studies. Further, the modular design can easily accommodate investigation of different culture substrate/extra-cellular matrix combinations. Inactivated mouse embryonic fibroblasts (iMEF and human embryonic stem cell (hESC colonies were successfully seeded on gelatine-coated tissue culture polystyrene (TC-PS using standard static seeding protocols. The microfluidic chip included in the device offers precise and accurate control over the culture medium flow rate and resulting shear stresses in the device. Cells were cultured for two days with media perfused at 300 µl.h(-1 resulting in a modelled shear stress of 1.1×10(-4 Pa. Following perfusion, hESC colonies stained positively for different pluripotency markers and retained an undifferentiated morphology. An image processing algorithm was developed which permits quantification of co-cultured colony-forming cells from phase contrast microscope images. hESC colony sizes were quantified against the background of the feeder cells (iMEF in less than 45 seconds for high-resolution images, which will permit real-time monitoring of culture progress in future

  5. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  6. Plasma-current structures of plasma focus during the current disruption

    International Nuclear Information System (INIS)

    Krokhin, O.N.; Kalachev, N.V.; Malafeev, Yu.S.; Nikulin, V.Ya; Polukhin, S.N.; Tsybenko, S.P.

    2000-01-01

    The results are presented of an investigation of the plasma structures arising during the current disruption in the Dense Plasma Focus (DPF). The study was performed using the laser-shadow and interferometry methods together with measurements of current and X-ray radiation. An analysis of the experimental results shows that for the construction of a multi mega-amperes current disruption device, the Filippov type of DPF (in comparison with the Mather type) is to be preferred since the processes occurring in the X-ray regime are much faster than in the pinch regime, and this type of plasma focus is geometrically more suitable for the assembly of such a current disrupter.This disrupter is now under construction, based on the 'Tulip' DPF installation

  7. Study of selective heating at ion cyclotron resonance for the plasma separation process

    Science.gov (United States)

    Compant La Fontaine, A.; Pashkovsky, V. G.

    1995-12-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucléaires de Saclay and Cité Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number kz is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the kz spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge-Kutta method. The influence of ion-ion collisions, inhomogeneity of the static magnetic field B0, and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44Ca heating measurements, made with an energy analyzer.

  8. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  9. On interaction of large dust grains with fusion plasma

    International Nuclear Information System (INIS)

    Krasheninnikov, S. I.; Smirnov, R. D.

    2009-01-01

    So far the models used to study dust grain-plasma interactions in fusion plasmas neglect the effects of dust material vapor, which is always present around dust in rather hot and dense edge plasma environment in fusion devices. However, when the vapor density and/or the amount of ionized vapor atoms become large enough, they can alter the grain-plasma interactions. Somewhat similar processes occur during pellet injection in fusion plasma. In this brief communication the applicability limits of the models ignoring vapor effects in grain-plasma interactions are obtained.

  10. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  11. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  12. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  13. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  14. Studies on divertor effects by means of the Doublet-III high-temperature plasma device

    International Nuclear Information System (INIS)

    Shimada, Michiya

    1982-12-01

    The diverter action on impurity removal, helium ash compression and radiative cooling was studied in Doublet-3, placing emphasis on the applicability to reacting plasma grade devices such as Intor. The following principal results were obtained with a single-null poloidal diverter without the diverter chamber and the diverter throat (referred to as ''open diverter''), and the diverter coils being installed outside the vacuum chamber. The diverter reduced metallic impurities in the central plasma volume, carbon influx and radiation loss, and changed a typically peaked radiation power profile to a hollow profile. In helium-seeded diverter discharge, helium gas pressure near the diverter rose with the increase of main plasma density, and the pressure was high enough to demonstrate the possibility of helium ash exhaust in a diverted tokamak. The radiation power in the diverter volume significantly increased with the increasing main plasma density to as much as 50 % of the input ohmic power. The remote radiation cooling reduced the thermal load on the diverter plate, and the electron temperature near the diverter plate was cooled down. The source of this remote radiative cooling power was the mixture of line radiation of hydrogen neutral and oxygen. (Kako, I.)

  15. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  16. Analyses of quenching process during turn-off of plasma electrolytic carburizing on carbon steel

    International Nuclear Information System (INIS)

    Wu, Jie; Liu, Run; Xue, Wenbin; Wang, Bin; Jin, Xiaoyue; Du, Jiancheng

    2014-01-01

    Highlights: • Cooling rate of carburized steel at the end of PEC treatment is measured. • The quench hardening in the fast or slow turn-off mode hardly takes place. • Decrease of the surface roughness during slow turn-off process is found. • A slow turn-off mode is recommended to replace the conventional turn-off mode. - Abstract: Plasma electrolytic carburizing (PEC) under different turn-off modes was employed to fabricate a hardening layer on carbon steel in glycerol solution without stirring at 380 V for 3 min. The quenching process in fast turn-off mode or slow turn-off mode of power supply was discussed. The temperature in the interior of steel and electron temperature in plasma discharge envelope during the quenching process were evaluated. It was found that the cooling rates of PEC samples in both turn-off modes were below 20 °C/s, because the vapor film boiling around the steel sample reduced the cooling rate greatly in terms of Leidenfrost effect. Thus the quench hardening hardly took place, though the slow turn-off mode slightly decreased the surface roughness of PEC steel. At the end of PEC treatment, the fast turn-off mode used widely at present cannot enhance the surface hardness by quench hardening, and the slow turn-off mode was recommended in order to protect the electronic devices against a large current surge

  17. Experiments on screw-pinch plasmas with elongated cross section

    International Nuclear Information System (INIS)

    Lassing, H.W.

    1989-01-01

    In this thesis experiments are described carried out with SPICA II, a toroidal screw-pinch plasma device. this device is the last one in a series of plasma machines of the toroidal screw-pinch differing from its predecessor in its race-track shaped section. In devices of the type toroidal screw-pinch stable confinement is possible of plasmas with larger β values than in a tokamak discharge. In a pinch the plasma is screwed up, during the formation, in such a way that in a relatively small volume a plasma is formated with a high pressure. During the screwing up the plasma is heated by shock heating as well as adiabatic compression. With the modified snowplow model the density and temperature after the formation can be calculated, starting from the initial conditions. When all ions arrive into the plasma column, the density in the column is determined by the volume compression. First purpose of the experiments was to find a stable discharge. Subsequently discharges have been made with a high as possible β in order to investigate at which maximum β it is possible to confine screw-pinch plasmas stably. When these had been found, the nature and importance could be investigated of the processes following which the screw-pinch plasma looses its energy. (author), 75 res.; 95 figs.; 8 tabs

  18. Experimental Studies of Electrothermal Plasma Gun

    International Nuclear Information System (INIS)

    Diab, F.B.A.

    2013-01-01

    The aim of the present work is to study the capillary plasma discharge dynamics and characteristics. The capillary plasma device is a new technology for producing high density plasma after ablating the capillary wall using a pulsed electric power. An Electrothermal Plasma Gun (ETG) is composed of a capillary discharge tube made of Teflon operated with simple RLC circuit. The device called Electrothermal Gun (ETG) which is composed of 4 capacitors (70 μF, 10 kV, 1.28 μH) connected in parallel to a plasma source by means of one high power supply. The gun was operated in open air at discharge energies between 35 J - 3.5 kJ according to charging voltage. The work presented in this thesis covers the following items, 1- Measurements of the basic parameters and characterizations of the pretest results of the electrical circuits and capillary plasma discharge using Rogowski coil, voltage probe and Photomultiplier. 2- Material processing including (physics of the surface modifications, the morphology of the surface by using Scanning Electron Microscope (SEM) at different conditions, compositions of the materials by using X-ray Fluorescence (XRF), Micro hardness test and material particle deposition.

  19. Development of net-current free heliotron plasmas in the Large Helical Device

    International Nuclear Information System (INIS)

    Komori, A.; Yamada, H.; Kaneko, O.; Kawahata, K.; Mutoh, T.; Ohyabu, N.; Imagawa, S.; Ida, K.; Nagayama, Y.; Shimozuma, T.; Watanabe, K.Y.; Mito, T.; Kobayashi, M.; Nagaoka, K.; Sakamoto, R.; Ohdachi, S.; Sakakibara, S.; Ashikawa, N.; Igami, H.; Kasahara, H.; Kubo, S.; Kumazawa, R.; Nishiura, M.; Masuzaki, S.; Tanaka, K.; Toi, K.; Yoshinuma, M.; Narushima, Y.; Tamura, N.; Saito, K.; Seki, T.; Sudo, S.; Tanaka, H.; Tokuzawa, T.; Yanagi, N.; Yokoyama, M.; Yoshimura, Y.; Akiyama, T.; Chikaraishi, H.; Emoto, M.; Funaba, H.; Goncharov, P.; Goto, M.; Ichiguchi, K.; Ido, T.; Ikeda, K.; Yoshida, N.; Inagaki, S.; Idei, H.; Feng, Y.; Weller, A.; Fukuda, T.; Mitarai, O.; Murakami, S.; Nakamura, Y.; Hino, T.; Ohno, N.; Okamura, T.; Iio, S.; Chowdhuri, M.; Ezumi, N.; Garcia, L.; Ichimura, M.; Irie, M.; Isayama, Akihiko; Iwamae, Atsushi; Takenaga, Hidenobu; Urano, Hajime

    2008-10-01

    Remarkable progress in the physical parameters of net-current free plasmas has been made in the Large Helical Device (LHD) since the last Fusion Energy Conference in Chengdu, 2006 (O. Motojima et al., Nucl. Fusion 47 (2007) S668). The beta value reached 5 % and a high beta state beyond 4.5% from the diamagnetic measurement has been maintained for longer than 100 times the energy confinement time. The density and temperature regimes also have been extended. The central density has exceeded 1.0x10 21 m -3 due to the formation of an Internal Diffusion Barrier (IDB). The ion temperature has reached 6.8 keV at the density of 2x10 19 m -3 , which is associated with the suppression of ion heat conduction loss. Although these parameters have been obtained in separated discharges, each fusion-reactor relevant parameter has elucidated the potential of net-current free heliotron plasmas. Diversified studies in recent LHD experiments are reviewed in this paper. (author)

  20. Equilibrium and stability studies for high-beta plasmas in torsatron/heliotron devices

    International Nuclear Information System (INIS)

    Carreras, B.A.; Charlton, L.A.; Cooper, W.A.

    1983-01-01

    The equilibrium and stability properties of high-#betta# plasmas in torsatron/heliotron devices have been investigated. Three numerical approaches have been used to study plasma equilibria for a range of coil configurations. The method of averaging permits fast equilibrium and stability calculations. Two fully 3-D codes, namely the Chodura-Schluter code, and the NEAR code recently developed at ORNL, are used to explore selected regions of parameter space. The resulting equilibria calculated with different methods are in good agreement. This validates the average method approach and enhances its usefulness. Results are presented for configurations with different aspect ratios and number of field periods. The role of the vertical field has also been studied in detail. The main conclusion is that for moderate aspect ratios (A/sub p/ less than or equal to 8), the self-stabilizing effect of the magnetic-axis shift is large enough to open a direct path to the second-stability regime

  1. Laser-driven, magnetized quasi-perpendicular collisionless shocks on the Large Plasma Device

    International Nuclear Information System (INIS)

    Schaeffer, D. B.; Everson, E. T.; Bondarenko, A. S.; Clark, S. E.; Constantin, C. G.; Vincena, S.; Van Compernolle, B.; Tripathi, S. K. P.; Gekelman, W.; Niemann, C.; Winske, D.

    2014-01-01

    The interaction of a laser-driven super-Alfvénic magnetic piston with a large, preformed magnetized ambient plasma has been studied by utilizing a unique experimental platform that couples the Raptor kJ-class laser system [Niemann et al., J. Instrum. 7, P03010 (2012)] to the Large Plasma Device [Gekelman et al., Rev. Sci. Instrum. 62, 2875 (1991)] at the University of California, Los Angeles. This platform provides experimental conditions of relevance to space and astrophysical magnetic collisionless shocks and, in particular, allows a detailed study of the microphysics of shock formation, including piston-ambient ion collisionless coupling. An overview of the platform and its capabilities is given, and recent experimental results on the coupling of energy between piston and ambient ions and the formation of collisionless shocks are presented and compared to theoretical and computational work. In particular, a magnetosonic pulse consistent with a low-Mach number collisionless shock is observed in a quasi-perpendicular geometry in both experiments and simulations

  2. Radioactive gas storage device

    International Nuclear Information System (INIS)

    Seki, Eiji; Kobayashi, Yoshihiro.

    1989-01-01

    The present invention concerns a device of ionizing radioactive gases to be processed in gaseous nuclear fission products in nuclear fuel reprocessing plants, etc., and injecting them into metal substrates for storage. The device comprises a vessel for a tightly closed type outer electrode in which gases to be processed are introduced, an electrode disposed to the inside of the vessel and the target material, a high DC voltage power source for applying high voltage to the electrodes, etc. There are disposed a first electric discharging portion for preparting discharge plasma for ion injection of different electrode distance and a second electric discharging portion for causing stable discharge between the vessel and the electrode. The first electric discharging portion for the ion injection provides an electrode distance suitable to acceleration sputtering and the second electric discharging portion is used for stable discharge. Accordingly, if the gas pressure in the radioactive gas storage device is reduced by the external disturbance, etc., since the second electric discharging portion satisfies the electric discharging conditions, the device can continue electric discharge. (K.M.)

  3. A manufacturable process integration approach for graphene devices

    Science.gov (United States)

    Vaziri, Sam; Lupina, Grzegorz; Paussa, Alan; Smith, Anderson D.; Henkel, Christoph; Lippert, Gunther; Dabrowski, Jarek; Mehr, Wolfgang; Östling, Mikael; Lemme, Max C.

    2013-06-01

    In this work, we propose an integration approach for double gate graphene field effect transistors. The approach includes a number of process steps that are key for future integration of graphene in microelectronics: bottom gates with ultra-thin (2 nm) high-quality thermally grown SiO2 dielectrics, shallow trench isolation between devices and atomic layer deposited Al2O3 top gate dielectrics. The complete process flow is demonstrated with fully functional GFET transistors and can be extended to wafer scale processing. We assess, through simulation, the effects of the quantum capacitance and band bending in the silicon substrate on the effective electric fields in the top and bottom gate oxide. The proposed process technology is suitable for other graphene-based devices such as graphene-based hot electron transistors and photodetectors.

  4. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  5. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  6. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  7. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  8. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  9. Investigation on the effect of temperature excursion on the helium defects of tungsten surface by using compact plasma device

    International Nuclear Information System (INIS)

    Takamura, S.; Miyamoto, T.; Tomida, Y.; Minagawa, T.; Ohno, N.

    2011-01-01

    The effects of temperature excursion on the helium defects of tungsten surface have been investigated by using compact plasma device AIT-PID (Aichi Institute of Technology - Plasma Irradiation Device). An initial stage of bubble formation has been identified with an order of smaller (sub-micron) bubbles and holes than those in the past in which the micron size is the standard magnitude. The radiation cooling has been detected when a blacking of tungsten surface coming from nanostructure formation is proceeding due to an increase in the emissivity. The temperature increase to the domain (∼1600 K) in bubble/hole formation from that in nanostructure formation has been found to bring a constriction in diameter and a reduction in length of fiber-form nanostructure.

  10. Signal Processing Device (SPD) for networked radiation monitoring system

    International Nuclear Information System (INIS)

    Dharmapurikar, A.; Bhattacharya, S.; Mukhopadhyay, P.K.; Sawhney, A.; Patil, R.K.

    2010-01-01

    A networked radiation and parameter monitoring system with three tier architecture is being developed. Signal Processing Device (SPD) is a second level sub-system node in the network. SPD is an embedded system which has multiple input channels and output communication interfaces. It acquires and processes data from first level parametric sensor devices, and sends to third level devices in response to request commands received from host. It also performs scheduled diagnostic operations and passes on the information to host. It supports inputs in the form of differential digital signals and analog voltage signals. SPD communicates with higher level devices over RS232/RS422/USB channels. The system has been designed with main requirements of minimal power consumption and harsh environment in radioactive plants. This paper discusses the hardware and software design details of SPD. (author)

  11. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  12. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    International Nuclear Information System (INIS)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui

    2016-01-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m 2 were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m 2 for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  13. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui, E-mail: chjang@kaist.ac.kr

    2016-11-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m{sup 2} were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m{sup 2} for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  14. Simulations of plasma heating caused by the coalescence of multiple current loops in a proton-boron fusion plasma

    International Nuclear Information System (INIS)

    Haruki, T.; Yousefi, H. R.; Sakai, J.-I.

    2010-01-01

    Two dimensional particle-in-cell simulations of a dense plasma focus were performed to investigate a plasma heating process caused by the coalescence of multiple current loops in a proton-boron-electron plasma. Recently, it was reported that the electric field produced during the coalescence of two current loops in a proton-boron-electron plasma heats up all plasma species; proton-boron nuclear fusion may therefore be achievable using a dense plasma focus device. Based on this work, the coalescence process for four and eight current loops was investigated. It was found that the return current plays an important role in both the current pinch and the plasma heating. The coalescence of four current loops led to the breakup of the return current from the pinched plasma, resulting in plasma heating. For the coalescence of eight current loops, the plasma was confined by the pinch but the plasma heating was smaller than the two and four loop cases. Therefore the heating associated with current loop coalescence depends on the number of initial current loops. These results are useful for understanding the coalescence of multiple current loops in a proton-boron-electron plasma.

  15. Injection of a coaxial-gun-produced magnetized plasma into a background helicon plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott

    2014-10-01

    A compact coaxial plasma gun is employed for experimental investigation of plasma bubble relaxation into a lower density background plasma. Experiments are being conducted in the linear device HelCat at UNM. The gun is powered by a 120-uF ignitron-switched capacitor bank, which is operated in a range of 5 to 10 kV and 100 kA. Multiple diagnostics are employed to investigate the plasma relaxation process. Magnetized argon plasma bubbles with velocities 1.2Cs, densities 1020 m-3 and electron temperature 13eV have been achieved. The background helicon plasma has density 1013 m-3, magnetic field from 200 to 500 Gauss and electron temperature 1eV. Several distinct operational regimes with qualitatively different dynamics are identified by fast CCD camera images. Additionally a B-dot probe array has been employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify plasma bubble configurations. Experimental data and analysis will be presented.

  16. Numerical Analysis of Amirkabir Plasma Focus (APF) Device for Neon and Argon Gases

    Science.gov (United States)

    Niknam Sharak, M.; Goudarzi, S.; Raeisdana, A.; Jafarabadi, M.

    2013-04-01

    In this paper the experimental results in different working conditions in Amirkabir Plasma Focus (APF) Device have been compared with the numerical results of a two-dimensional simulation code based on Lee's model. The experiments were done with pure Neon and Argon as operating gases over a wide range of working conditions (gas pressures and discharge voltages). It is observed that by a proper choice for values of the efficiency factors, comparison between numerical and experimental results shows a good agreement.

  17. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  18. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  19. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  20. Low Damage, High Anisotropy Inductively Coupled Plasma for Gallium Nitride based Devices

    KAUST Repository

    Ibrahim, Youssef H.

    2013-01-01

    . The processing requirements for laser devices and ridge waveguides are stringent as compared to LEDs and other electronic devices. Due to the strong bonding and chemically inert nature of GaN, dry etching becomes a critical fabrication step. The surface

  1. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  2. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    Science.gov (United States)

    Robert, E.; Sarron, V.; Riès, D.; Dozias, S.; Vandamme, M.; Pouvesle, J.-M.

    2012-06-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 107-108 cm s-1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications.

  3. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    International Nuclear Information System (INIS)

    Robert, E; Sarron, V; Riès, D; Dozias, S; Vandamme, M; Pouvesle, J-M

    2012-01-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 10 7 –10 8 cm s −1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications. (paper)

  4. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  5. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  6. Elements of plasma technology

    CERN Document Server

    Wong, Chiow San

    2016-01-01

    This book presents some fundamental aspects of plasma technology that are important for beginners interested to start research in the area of plasma technology . These include the properties of plasma, methods of plasma generation and basic plasma diagnostic techniques. It also discusses several low cost plasma devices, including pulsed plasma sources such as plasma focus, pulsed capillary discharge, vacuum spark and exploding wire; as well as low temperature plasmas such as glow discharge and dielectric barrier discharge which the authors believe may have potential applications in industry. The treatments are experimental rather than theoretical, although some theoretical background is provided where appropriate. The principles of operation of these devices are also reviewed and discussed.

  7. Atmospheric Plasma Blade for Surgical Purposes

    Science.gov (United States)

    Oksuz, Lutfi; Yurdabak Karaca, Gozde; Özkaptan, Emir; Uygun, Emre; Uygun Oksuz, Aysegul

    2017-10-01

    Atmospheric plasma cut is a process at the minimum level due to the ions, radicals and free electrons generated by the active electrode and target tissue. Atmospheric plasma cutting devices provide significant advantages as a non-contact electrocautery system that can operate in isotonic environment. During operations where plasma cutting is applied, bleeding is controlled and the side effects that would create the isotonic environment are eliminated. In this study in vivo and in vitro studies will be carried out by producing and optimizing the atmospheric plasma blade. Once the optimum parameters of the instrument are determined, in vivo studies will be performed and the pathology results will be evaluated.

  8. Plasma Waves Associated with Mass-Loaded Comets

    Science.gov (United States)

    Tsurutani, Bruce; Glassmeier, Karl-Heinz

    2015-01-01

    Plasma waves and instabilities are integrally involved with the plasma "pickup" process and the mass loading of the solar wind (thus the formation of ion tails and the magnetic tails). Anisotropic plasmas generated by solar wind-comet interactions (the bow shock, magnetic field pileup) cause the generation of plasma waves which in turn "smooth out" these discontinuities. The plasma waves evolve and form plasma turbulence. Comets are perhaps the best "laboratories" to study waves and turbulence because over time (and distance) one can identify the waves and their evolution. We will argue that comets in some ways are better laboratories than magnetospheres, interplanetary space and fusion devices to study nonlinear waves and their evolution.

  9. Development of high energy pulsed plasma simulator for plasma-lithium trench experiment

    Science.gov (United States)

    Jung, Soonwook

    To simulate detrimental events in a tokamak and provide a test-stand for a liquid lithium infused trench (LiMIT) device, a pulsed plasma source utilizing a theta pinch in conjunction with a coaxial plasma accelerator has been developed. An overall objective of the project is to develop a compact device that can produce 100 MW/m2 to 1 GW/m2 of plasma heat flux (a typical heat flux level in a major fusion device) in ~ 100 mus (≤ 0.1 MJ/m2) for a liquid lithium plasma facing component research. The existing theta pinch device, DEVeX, was built and operated for study on lithium vapor shielding effect. However, a typical plasma energy of 3 - 4 kJ/m2 is too low to study an interaction of plasma and plasma facing components in fusion devices. No or little preionized plasma, ringing of magnetic field, collisions of high energy particles with background gas have been reported as the main issues. Therefore, DEVeX is reconfigured to mitigate these issues. The new device is mainly composed of a plasma gun for a preionization source, a theta pinch for heating, and guiding magnets for a better plasma transportation. Each component will be driven by capacitor banks and controlled by high voltage / current switches. Several diagnostics including triple Langmuir probe, calorimeter, optical emission measurement, Rogowski coil, flux loop, and fast ionization gauge are used to characterize the new device. A coaxial plasma gun is manufactured and installed in the previous theta pinch chamber. The plasma gun is equipped with 500 uF capacitor and a gas puff valve. The increase of the plasma velocity with the plasma gun capacitor voltage is consistent with the theoretical predictions and the velocity is located between the snowplow model and the weak - coupling limit. Plasma energies measured with the calorimeter ranges from 0.02 - 0.065 MJ/m2 and increases with the voltage at the capacitor bank. A cross-check between the plasma energy measured with the calorimeter and the triple probe

  10. Princeton University Plasma Physics Laboratory, Princeton, New Jersey

    International Nuclear Information System (INIS)

    1991-01-01

    This report discusses the following topics: Principal parameters of experimental devices; Tokamak Fusion Test Reactor; Burning Plasma Experiment; Princeton Beta Experiment-Modification; Current Drive Experiment-Upgrade; International Thermonuclear Experimental Reactor; International Collaboration; X-Ray Laser Studies; Hyperthermal Atomic Beam Source; Pure Electron Plasma Experiments; Plasma Processing: Deposition and Etching of Thin Films; Theoretical Studies; Tokamak Modeling; Engineering Department; Environment, Safety, and Health and Quality Assurance; Technology Transfer; Office of Human Resources and Administration; PPPL Patent Invention Disclosures; Office of Resource Management; Graduate Education: Plasma Physics; Graduate Education: Program in Plasma Science and Technology; and Science Education Program

  11. Serum and plasma for total and free anticonvulsant drug analyses: effects on EMIT assays and ultrafiltration devices.

    Science.gov (United States)

    Godolphin, W; Trepanier, J; Farrell, K

    1983-01-01

    The suitability of serum and plasma anticoagulated with heparin, EDTA, citrate, or oxalate was assessed for analysis of free and total phenytoin, carbamazepine, and valproic acid. The free fraction was isolated by ultrafiltration through FreeLevel devices (Syva, Palo Alto, CA). Serum, heparin, and EDTA plasma were satisfactory for both free and total phenytoin and carbamazepine. EDTA could not be used for EMIT (Syva) analysis of valproate. Citrate and, to a lesser degree, oxalate cause a significant negative interference in the concentration of these three drugs as measured both by EMIT and gas-liquid chromatography.

  12. The approach of in-situ doping ion conductor fabricated with the cathodic arc plasma for all-solid-state electrochromic devices

    Directory of Open Access Journals (Sweden)

    Min-Chuan Wang

    2018-01-01

    Full Text Available The all-solid-state electrochromic device (ECD with the one substrate structure fabricated by the reactive dc magnetron sputtering (DCMS and in-situ doping cathodic vacuum arc plasma (CVAP technology has been developed. The electrochromic (EC layer and ion conductor layer were deposited by reactive DCMS and CVAP technology, respectively. The in-situ doping ion conductor Ta2O5 deposited by the CVAP technology has provided the better material structure for ion transportation and showed about 2 times ion conductivity than the external doping process. The all-solid-state ECD with the in-situ doping CVAP ion conductor layer has demonstrated a maximum transmittance variation (ΔT of 71% at 550 nm, and a faster switching speed. The lower production cost and higher process stability could be achieved by the application of in-situ doping CVAP technology without breaking the vacuum process. Furthermore, the ion doping process with the reuse of energy during the CVAP process is not only decreasing the process steps, but also reducing the process energy consumption.

  13. Assessment of image quality in x-ray radiography imaging using a small plasma focus device

    Science.gov (United States)

    Kanani, A.; Shirani, B.; Jabbari, I.; Mokhtari, J.

    2014-08-01

    This paper offers a comprehensive investigation of image quality parameters for a small plasma focus as a pulsed hard x-ray source for radiography applications. A set of images were captured from some metal objects and electronic circuits using a low energy plasma focus at different voltages of capacitor bank and different pressures of argon gas. The x-ray source focal spot of this device was obtained to be about 0.6 mm using the penumbra imaging method. The image quality was studied by several parameters such as image contrast, line spread function (LSF) and modulation transfer function (MTF). Results showed that the contrast changes by variations in gas pressure. The best contrast was obtained at a pressure of 0.5 mbar and 3.75 kJ stored energy. The results of x-ray dose from the device showed that about 0.6 mGy is sufficient to obtain acceptable images on the film. The measurements of LSF and MTF parameters were carried out by means of a thin stainless steel wire 0.8 mm in diameter and the cut-off frequency was obtained to be about 1.5 cycles/mm.

  14. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  15. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  16. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  17. Study of selective heating at ion cyclotron resonance for the plasma separation process

    International Nuclear Information System (INIS)

    Compant La Fontaine, A.; Pashkovsky, V.G.

    1995-01-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucleaires de Saclay and Cite Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number k z is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the k z spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge--Kutta method. The influence of ion--ion collisions, inhomogeneity of the static magnetic field B 0 , and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44 Ca heating measurements, made with an energy analyzer. copyright 1995 American Institute of Physics

  18. Radioactive waste processing device

    International Nuclear Information System (INIS)

    Ikeda, Takashi; Funabashi, Kiyomi; Chino, Koichi.

    1992-01-01

    In a waste processing device for solidifying, pellets formed by condensing radioactive liquid wastes generated from a nuclear power plant, by using a solidification agent, sodium chloride, sodium hydroxide or sodium nitrate is mixed upon solidification. In particular, since sodium sulfate in a resin regenerating liquid wastes absorbs water in the cement upon cement solidification, and increases the volume by expansion, there is a worry of breaking the cement solidification products. This reaction can be prevented by the addition of sodium chloride and the like. Accordingly, integrity of the solidification products can be maintained for a long period of time. (T.M.)

  19. Open loop control of filament heating power supply for large volume plasma device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in [Institute for Plasma Research, Gandhinagar, Gujarat 382428 (India); Homi Bhabha National Institute, Mumbai 400094 (India); Srivastava, P.K.; Sanyasi, A.K. [Homi Bhabha National Institute, Mumbai 400094 (India); Srivastav, Prabhakar [Institute for Plasma Research, Gandhinagar, Gujarat 382428 (India); Homi Bhabha National Institute, Mumbai 400094 (India); Awasthi, L.M., E-mail: kushagra.lalit@gmail.com [Institute for Plasma Research, Gandhinagar, Gujarat 382428 (India); Homi Bhabha National Institute, Mumbai 400094 (India); Mattoo, S.K. [Homi Bhabha National Institute, Mumbai 400094 (India)

    2017-02-15

    A power supply (20 V, 10 kA) for powering the filamentary cathode has been procured, interfaced and integrated with the centralized control system of Large Volume Plasma Device (LVPD). Software interface has been developed on the standard Modbus RTU communication protocol. It facilitates the dashboard for configuration, on line status monitoring, alarm management, data acquisition, synchronization and controls. It has been tested for stable operation of the power supply for the operational capabilities. The paper highlights the motivation, interface description, implementation and results obtained.

  20. Open loop control of filament heating power supply for large volume plasma device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2017-01-01

    A power supply (20 V, 10 kA) for powering the filamentary cathode has been procured, interfaced and integrated with the centralized control system of Large Volume Plasma Device (LVPD). Software interface has been developed on the standard Modbus RTU communication protocol. It facilitates the dashboard for configuration, on line status monitoring, alarm management, data acquisition, synchronization and controls. It has been tested for stable operation of the power supply for the operational capabilities. The paper highlights the motivation, interface description, implementation and results obtained.