WorldWideScience

Sample records for plasma processing applications

  1. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  2. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  3. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  4. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  5. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  6. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  7. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  8. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  9. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  10. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  11. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  12. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  13. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  14. Agricultural and Food Processing Applications of Pulsed Power and Plasma Technologies

    Science.gov (United States)

    Takaki, Koichi

    Agricultural and food processing applications of pulsed power and plasma technologies are described in this paper. Repetitively operated compact pulsed power generators with a moderate peak power are developed for the agricultural and the food processing applications. These applications are mainly based on biological effects and can be categorized as germination control of plants such as Basidiomycota and arabidopsis inactivation of bacteria in soil and liquid medium of hydroponics; extraction of juice from fruits and vegetables; decontamination of air and liquid, etc. Types of pulsed power that have biological effects are caused with gas discharges, water discharges, and electromagnetic fields. The discharges yield free radicals, UV radiation, intense electric field, and shock waves. Biologically based applications of pulsed power and plasma are performed by selecting the type that gives the target objects the adequate result from among these agents or byproducts. For instance, intense electric fields form pores on the cell membrane, which is called electroporation, or influence the nuclei. This paper mainly describes the application of the pulsed power for the germination control of Basidiomycota i.e. mushroom, inactivation of fungi in the soil and the liquid medium in hydroponics, and extraction of polyphenol from skins of grape.

  15. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  16. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  17. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  18. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  19. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  20. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  1. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  2. Investigation and optimisation of a plasma cathode electron beam gun for material processing applications

    OpenAIRE

    Del Pozo Rodriguez, Sofia

    2016-01-01

    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University London. This thesis describes design, development and testing work on a plasma cathode electron beam gun as well as plasma diagnosis experiments and Electron Beam (EB) current measurements carried out with the aim of maximising the power of the EB extracted and optimising the electron beam gun system for material processing applications. The elements which influence EB gun design are described...

  3. Plasma cleaning techniques and future applications in environmentally conscious manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1995-07-01

    Plasmas have frequently been used in industry as a last step surface preparation technique in an otherwise predominantly wet-etch process. The limiting factor in the usefulness of plasma cleaning techniques has been the rate at which organic materials are removed. Recent research in the field of plasma chemistry has provided some understanding of plasma processes. By controlling plasma conditions and gas mixtures, ultra-fast plasma cleaning and etching is possible. With enhanced organic removal rates, plasma processes become more desirable as an environmentally sound alternative to traditional solvent or acid dominated process, not only as a cleaning tool, but also as a patterning and machining tool. In this paper, innovations in plasma processes are discussed including enhanced plasma etch rates via plasma environment control and aggressive gas mixtures. Applications that have not been possible with the limited usefulness of past plasma processes are now approaching the realm of possibility. Some of these possible applications will be discussed along with their impact to environmentally conscious manufacturing.

  4. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  5. Practical applications of ion beam and plasma processing for improving corrosion and wear protection

    CERN Document Server

    Klingenberg, M L; Wei, R; Demaret, J; Hirvonen, J

    2002-01-01

    A multi-year project for the US Army has been investigating the use of various ion beam and plasma-based surface treatments to improve the corrosion and wear properties of military hardware. These processes are intended to be complementary to, rather than competing with, other promising macro scale coating processes such high velocity oxy-fuel (HVOF) deposition, particularly in non-line-of- sight and flash chrome replacement applications. It is believed that these processes can improve the tribological and corrosion behavior of parts without significantly altering the dimensions of the part, thereby eliminating the need for further machining operations and reducing overall production costs. The ion beam processes chosen are relatively mature, low-cost processes that can be scaled-up. The key methods that have been considered under this program include nitrogen ion implantation into electroplated hard chrome, ion beam assisted chromium and chromium nitride coatings, and plasma-deposited diamond- like carbon an...

  6. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  7. Application of fusion plasma technology. Final report

    International Nuclear Information System (INIS)

    Sabri, Z.A.

    1976-06-01

    This report presents principal findings of studies conducted at Iowa State on Applications of Fusion Plasma Technology. Two tasks were considered. The first was to identify and investigate plasma processes for near term industrial applications of already developed plasma technology. The second was to explore the potential of reprocessing the fuel for fusion test facilities in a closed-cycle system. For the first task, two applications were considered. One was alumina reduction in magnetically confined plasmas, and the other was uranium enrichment using plasma centrifuges. For the second task, in-core and ex-core plasma purification were considered. Separation techniques that are compatible with the plasma state were identified and preliminary analysis of their effectiveness were carried out. The effects of differential ionization of impurities on the separation effectiveness are considered. Possible technical difficulties in both tasks are identified and recommendations for future work are given

  8. Aerospace Applications of Non-Equilibrium Plasma

    Science.gov (United States)

    Blankson, Isaiah M.

    2016-01-01

    Nonequilibrium plasma/non-thermal plasma/cold plasmas are being used in a wide range of new applications in aeronautics, active flow control, heat transfer reduction, plasma-assisted ignition and combustion, noise suppression, and power generation. Industrial applications may be found in pollution control, materials surface treatment, and water purification. In order for these plasma processes to become practical, efficient means of ionization are necessary. A primary challenge for these applications is to create a desired non-equilibrium plasma in air by preventing the discharge from transitioning into an arc. Of particular interest is the impact on simulations and experimental data with and without detailed consideration of non-equilibrium effects, and the consequences of neglecting non-equilibrium. This presentation will provide an assessment of the presence and influence of non-equilibrium phenomena for various aerospace needs and applications. Specific examples to be considered will include the forward energy deposition of laser-induced non-equilibrium plasmoids for sonic boom mitigation, weakly ionized flows obtained from pulsed nanosecond discharges for an annular Hall type MHD generator duct for turbojet energy bypass, and fundamental mechanisms affecting the design and operation of novel plasma-assisted reactive systems in dielectric liquids (water purification, in-pipe modification of fuels, etc.).

  9. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  10. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  11. Industrial applications of low-temperature plasma physics

    International Nuclear Information System (INIS)

    Chen, F.F.

    1995-01-01

    The application of plasma physics to the manufacturing and processing of materials may be the new frontier of our discipline. Already partially ionized discharges are used in industry, and the performance of plasmas has a large commercial and technological impact. However, the science of low-temperature plasmas is not as well developed as that of high-temperature, collisionless plasmas. In this paper several major areas of application are described and examples of forefront problems in each are given. The underlying thesis is that gas discharges have evolved beyond a black art, and that intellectually challenging problems with elegant solutions can be found. copyright 1995 American Institute of Physics

  12. Applications of digital processing for noise removal from plasma diagnostics

    International Nuclear Information System (INIS)

    Kane, R.J.; Candy, J.V.; Casper, T.A.

    1985-01-01

    The use of digital signal techniques for removal of noise components present in plasma diagnostic signals is discussed, particularly with reference to diamagnetic loop signals. These signals contain noise due to power supply ripple in addition to plasma characteristics. The application of noise canceling techniques, such as adaptive noise canceling and model-based estimation, will be discussed. The use of computer codes such as SIG is described. 19 refs., 5 figs

  13. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  14. Application of plasma technology to nuclear engineering fields

    International Nuclear Information System (INIS)

    Suzuki, Masaaki; Akatsuka, Hiroshi

    1996-01-01

    In order to discuss about the application of the plasma technology to nuclear engineering fields, we mention two subjects, the oxygenation of metal chloride waste by oxygen plasma and the characterization of fine particles generated in the plasma process. Through the experimental results of two subjects, both of the advantage and the disadvantage of the plasma technology and their characteristics are shown and discussed. The following conclusions are obtained. The reactive plasma is effective to oxygenate the chloride wastes. The particle generation which is one of the disadvantages must not be specialized and its characteristics can be estimated. Consequently, the plasma technology should be applicable to nuclear engineering fields adopting its advantage and overcoming its disadvantage. (author)

  15. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  16. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  17. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  18. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  19. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  20. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  1. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  2. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  3. The PERC trademark process: Existing and potential applications for induction coupled plasma technology in hazardous and radioactive waste treatment

    International Nuclear Information System (INIS)

    Blutke, A.S.; Vavruska, J.S.; Serino, J.F.

    1996-01-01

    Plasma Technology, Inc. (PTI), a Santa Fe, New Mexico corporation has developed the Plasma Energy Recycle and Conversion (PERC)trademark treatment process as a safe and environmentally clean alternative to conventional thermal destruction technologies. The PERC trademark treatment process uses as its heat source an advanced Induction Coupled Plasma (ICP) torch connected to a reaction chamber system with an additional emission control system. For example, organic-based gas, liquid, slurry, and/or solid waste streams can be converted into usable or even salable products while residual emissions are reduced to an absolute minimum. In applications for treatment of hazardous and radioactive waste streams, the PERC system could be used for destruction of the hazardous organic constituents and/or significant waste volume reduction while capturing the radioactive fraction in a non-leachable form. Like Direct Current (DC) and Alternating Current (AC) arc plasma systems, ICP torches offer sufficient energy to decompose, melt and/or vitrify any waste stream. The decision for an arc plasma or an IC plasma system has to be made on a case by case evaluation and is highly dependent on the specific waste stream's form and composition. Induction coupled plasma technology offers one simple, but significant difference compared to DC or AC arc plasma systems: the ICP torch is electrodeless. To date, enormous research effort has been spent to improve the lifetime of electrodes and the effectiveness of related cooling systems. Arc plasma systems are established in research laboratories worldwide and are approaching a broad use in commercial applications. ICP technology has been improved relatively recently, but nowadays offers complete new and beneficial approaches in the field of waste conversion and treatment

  4. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  5. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  6. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  7. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  8. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  9. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  10. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  11. Applications of plasma core reactors to terrestrial energy systems

    International Nuclear Information System (INIS)

    Lantham, T.S.; Biancardi, F.R.; Rodgers, R.J.

    1974-01-01

    Plasma core reactors offer several new options for future energy needs in addition to space power and propulsion applications. Power extraction from plasma core reactors with gaseous nuclear fuel allows operation at temperatures higher than conventional reactors. Highly efficient thermodynamic cycles and applications employing direct coupling of radiant energy are possible. Conceptual configurations of plasma core reactors for terrestrail applications are described. Closed-cycle gas turbines, MHD systems, photo- and thermo-chemical hydrogen production processes, and laser systems using plasma core reactors as prime energy sources are considered. Cycle efficiencies in the range of 50 to 65 percent are calculated for closed-cycle gas turbine and MHD electrical generators. Reactor advantages include continuous fuel reprocessing which limits inventory of radioactive by-products and thorium-U-233 breeder configurations with about 5-year doubling times

  12. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  13. Examples for application and diagnostics in plasma-powder interaction

    International Nuclear Information System (INIS)

    Kersten, H; Wiese, R; Thieme, G; Froehlich, M; Kopitov, A; Bojic, D; Scholze, F; Neumann, H; Quaas, M; Wulff, H; Hippler, R

    2003-01-01

    Low-pressure plasmas offer a unique possibility of confinement, control and fine tailoring of particle properties. Hence, dusty plasmas have grown into a vast field and new applications of plasma-processed dust particles are emerging. There is demand for particles with special properties and for particle-seeded composite materials. For example, the stability of luminophore particles could be improved by coating with protective Al 2 O 3 films which are deposited by a PECVD process using a metal-organic precursor gas. Alternatively, the interaction between plasma and injected micro-disperse powder particles can also be used as a diagnostic tool for the study of plasma surface processes. Two examples will be provided: the interaction of micro-sized (SiO 2 ) grains confined in a radiofrequency plasma with an external ion beam as well as the effect of a dc-magnetron discharge on confined particles during deposition have been investigated

  14. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  15. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  16. Density dependence of line intensities and application to plasma diagnostics

    International Nuclear Information System (INIS)

    Masai, Kuniaki.

    1993-02-01

    Electron density dependence of spectral lines are discussed in view of application to density diagnostics of plasmas. The dependence arises from competitive level population processes, radiative and collisional transitions from the excited states. Results of the measurement on tokamak plasmas are presented to demonstrate the usefulness of line intensity ratios for density diagnostics. Also general characteristics related to density dependence are discussed with atomic-number scaling for H-like and He-like systems to be helpful for application to higher density plasmas. (author)

  17. Scalable graphene production: perspectives and challenges of plasma applications

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya (Ken); Zheng, Jie; Li, Xingguo; Keidar, Michael; B. K. Teo, Kenneth

    2016-05-01

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h-1 m-2 was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of various

  18. Scalable graphene production: perspectives and challenges of plasma applications.

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya Ken; Zheng, Jie; Li, Xingguo; Keidar, Michael; B K Teo, Kenneth

    2016-05-19

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h(-1) m(-2) was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of

  19. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  20. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  1. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  2. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  3. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  4. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    discharges, plasma chemistry - particularly in oxygen containing plasmas, modeling of plasmas and plasma surface interactions in complex comprehensive plasma codes, modeling of the development of surface profiles and kinetics of surface collisions, plasma treatment of materials, plasma processing and applications in thin film deposition, nanoscale device production and many other applications. Yet all the papers, one way or the other, address the key issues of the next generation of plasma technologies in the micro and nano electronic industry. The issue of radicals and also of electron molecule collisions is addressed by J Tennyson who presents a guide into using a code for R-matrix calculations of electron-molecule collisions at low and intermediate energies. Related experimental results are presented by T Field who showed recent measurements of electron radical attachment cross sections, by T Märk who discusses electron impact ionization/dissociation of molecules and subsequent production of energetic radicals, and by M Kimura and his coauthors who discuss productions of radicals and ions by electron and photon impacts on CH4. Finally T Maddern and M Brunger share with us the first results from the new very complex system for comprehensive measurements of electron radical cross sections, the first example being CF2. B Marinković mainly focuses on recent results of his group having in mind the data needs for plasma modeling. Collisions at surfaces are addressed by P Tegeder and more specifically she presents here the evolution of negative ion resonances at surfaces. The electron swarm data as projected onto gaseous dielectrics but also having application in plasma processing is covered by J De Urquijo who attempted to answer the question whether CF3I is a better dielectric than SF6. The basic processes leading to the gas breakdown have been addressed by D Marić and Z Lj Petrović who focus on the transition from Townsend to constricted-normal glow regime while trying to

  5. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  6. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  7. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  8. Review of inductively coupled plasmas: Nano-applications and bistable hysteresis physics

    Science.gov (United States)

    Lee, Hyo-Chang

    2018-03-01

    Many different gas discharges and plasmas exhibit bistable states under a given set of conditions, and the history-dependent hysteresis that is manifested by intensive quantities of the system upon variation of an external parameter has been observed in inductively coupled plasmas (ICPs). When the external parameters (such as discharge powers) increase, the plasma density increases suddenly from a low- to high-density mode, whereas decreasing the power maintains the plasma in a relatively high-density mode, resulting in significant hysteresis. To date, a comprehensive description of plasma hysteresis and a physical understanding of the main mechanism underlying their bistability remain elusive, despite many experimental observations of plasma bistability conducted under radio-frequency ICP excitation. This fundamental understanding of mode transitions and hysteresis is essential and highly important in various applied fields owing to the widespread use of ICPs, such as semiconductor/display/solar-cell processing (etching, deposition, and ashing), wireless light lamp, nanostructure fabrication, nuclear-fusion operation, spacecraft propulsion, gas reformation, and the removal of hazardous gases and materials. If, in such applications, plasma undergoes a mode transition and hysteresis occurs in response to external perturbations, the process result will be strongly affected. Due to these reasons, this paper comprehensively reviews both the current knowledge in the context of the various applied fields and the global understanding of the bistability and hysteresis physics in the ICPs. At first, the basic understanding of the ICP is given. After that, applications of ICPs to various applied fields of nano/environmental/energy-science are introduced. Finally, the mode transition and hysteresis in ICPs are studied in detail. This study will show the fundamental understanding of hysteresis physics in plasmas and give open possibilities for applications to various applied

  9. Application of piezodetectors for diagnostics of pulsed and quasi-steady-state plasma streams

    Energy Technology Data Exchange (ETDEWEB)

    Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Tereshin, V.I.; Ladygina, M.S. [NSC KIPT, Kharkov (Ukraine). Inst. of Plasma Physics

    2006-04-15

    The paper reports on studies of the plasma streams generated by two experimental devices: the quasi-steady-state plasma accelerator (QSPA) Kh-50 and the pulsed plasma gun PROSVET. The radial distributions of the plasma pressure for different times and varied distances from the accelerator output have been used for investigation of the plasma stream dynamics and study the plasma compression in the focus region for different operational regimes of plasma accelerators. In experiments for the application of pulsed plasma streams for surface modification of different industrial steels, optimal regimes of surface processing have been chosen on the basis of the plasma pressure measurements. Examples of application of the piezodetectors in simulation experiments on plasma surface interaction under high heat loads are presented.

  10. Application of piezodetectors for diagnostics of pulsed and quasi-steady-state plasma streams

    International Nuclear Information System (INIS)

    Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Tereshin, V.I.; Ladygina, M.S.

    2006-01-01

    The paper reports on studies of the plasma streams generated by two experimental devices: the quasi-steady-state plasma accelerator (QSPA) Kh-50 and the pulsed plasma gun PROSVET. The radial distributions of the plasma pressure for different times and varied distances from the accelerator output have been used for investigation of the plasma stream dynamics and study the plasma compression in the focus region for different operational regimes of plasma accelerators. In experiments for the application of pulsed plasma streams for surface modification of different industrial steels, optimal regimes of surface processing have been chosen on the basis of the plasma pressure measurements. Examples of application of the piezodetectors in simulation experiments on plasma surface interaction under high heat loads are presented

  11. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  12. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  13. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  14. Application of plasma focus device to compression of toroidal plasma

    International Nuclear Information System (INIS)

    Ikuta, Kazunari

    1980-01-01

    A new concept of compressing a toroidal plasma using a plasma focus device is considered. Maximum compression ratio of toroidal plasma is determined merely by the initial density ratio of the toroidal plasma to a sheet plasma in a focus device because of the Rayleigh-Taylor instability. An initiation senario of plasma-linear is also proposed with a possible application of this concepts to the creation of a burning plasma in reversed field configurations, i.e., burning plasma vortex. (author)

  15. Thermal plasma spraying for SOFCs: Applications, potential advantages, and challenges

    Energy Technology Data Exchange (ETDEWEB)

    Hui, Rob; Wang, Zhenwei; Jankovic, Jasna; Yick, Sing; Maric, Radenka; Ghosh, Dave [National Research Council Institute for Fuel Cell Innovation, 4250 Wesbrook Mall, Vancouver, BC V6T 1W5 (Canada); Kesler, Olivera [National Research Council Institute for Fuel Cell Innovation, 4250 Wesbrook Mall, Vancouver, BC V6T 1W5 (Canada); Department of Mechanical Engineering, University of British Columbia, 2054-6250 Applied Science Lane, Vancouver, BC V6T 1Z4 (Canada); Rose, Lars [National Research Council Institute for Fuel Cell Innovation, 4250 Wesbrook Mall, Vancouver, BC V6T 1W5 (Canada); Department of Materials Engineering, University of British Columbia, 309-6350 Stores Road, Vancouver, BC V6T 1Z4 (Canada)

    2007-07-10

    In this article, the applications, potential advantages, and challenges of thermal plasma spray (PS) processing for nanopowder production and cell fabrication of solid oxide fuel cells (SOFCs) are reviewed. PS processing creates sufficiently high temperatures to melt all materials fed into the plasma. The heated material can either be quenched into oxide powders or deposited as coatings. This technique has been applied to directly deposit functional layers as well as nanopowder for SOFCs application. In particularly, low melting point and highly active electrodes can be directly fabricated on zirconia-based electrolytes. This is a simple processing technique that does not require the use of organic solvents, offering the opportunity for flexible adjustment of process parameters, and significant time saving in production of the cell and cost reduction compared with tape casting, screen printing and sintering processing steps. Most importantly, PS processing shows strong potential to enable the deposition of metal-supported SOFCs through the integrated fabrication of membrane-electrode assemblies (MEA) on porous metallic substrates with consecutive deposition steps. On the other hand, the application of PS processing to produce SOFCs faces some challenges, such as insufficient porosity of the electrodes, the difficulty of obtaining a thin (<10 {mu}m) and dense electrolyte layer. Fed with H{sub 2} as the fuel gas and oxygen as the oxidant gas, the plasma sprayed cell reached high power densities of 770 mW cm{sup -2} at 900 C and 430 mW cm{sup -2} at 800 C at a cell voltage of 0.7 V. (author)

  16. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    International Nuclear Information System (INIS)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui

    2016-01-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m 2 were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m 2 for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  17. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui, E-mail: chjang@kaist.ac.kr

    2016-11-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m{sup 2} were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m{sup 2} for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  18. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  19. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  20. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  1. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  2. Basic principles and applications of atmospheric-pressure discharge plasmas

    International Nuclear Information System (INIS)

    Becker, K.H.

    2002-01-01

    The principles that govern the generation and maintenance of atmospheric - pressure discharge plasmas are summarized. The properties and operating parameters of various types such as dielectric barrier discharge plasmas (DBDs), corona discharge plasmas (CDs), microhollow cathode discharge plasmas (MHCDs) , and dielectric capillary electrode discharge plasmas (CDEDs) are introduced. All of them are self sustained, non equilibrium gas discharges that can be operated at atmospheric pressure. CDs and DBDDs represent very similar types of discharges, while DBDs are characterized by insulating layers on one or both electrodes, CDs depend on inhomogeneous electric fields at least in some parts of the electrode configuration to restrict the primary ionization processes to a small fraction of the inter - electrode region. Their application to novel light sources in the ultraviolet (UV) and vacuum ultraviolet (VUV) spectral region is described. (nevyjel)

  3. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    International Nuclear Information System (INIS)

    Chang, Jane

    2012-01-01

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization

  4. Organization by Gordon Research Conferences of the 2012 Plasma Processing Science Conference 22-27 July 2012

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jane

    2012-07-27

    The 2012 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in plasma science and technology as well as explore the applications of this nonequilibrium medium in possible approaches relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics and chemistry, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy, the production of fuels from renewable feedstocks and carbon dioxide neutral solar fuels (from carbon dioxide and water), and plasma-enabled medicine and sterilization.

  5. Laser-plasma interactions and applications

    CERN Document Server

    Neely, David; Bingham, Robert; Jaroszynski, Dino

    2013-01-01

    Laser-Plasma Interactions and Applications covers the fundamental and applied aspects of high power laser-plasma physics. With an internationally renowned team of authors, the book broadens the knowledge of young researchers working in high power laser-plasma science by providing them with a thorough pedagogical grounding in the interaction of laser radiation with matter, laser-plasma accelerators, and inertial confinement fusion. The text is organised such that the theoretical foundations of the subject are discussed first, in Part I. In Part II, topics in the area of high energy density physics are covered. Parts III and IV deal with the applications to inertial confinement fusion and as a driver of particle and radiation sources, respectively. Finally, Part V describes the principle diagnostic, targetry, and computational approaches used in the field. This book is designed to give students a thorough foundation in the fundamental physics of laser-plasma interactions. It will also provide readers with knowl...

  6. Gas-discharge plasma processes for surface modification and conversion of chemical substances. Application for fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, K.; Meyer, D.; Rohland, B.; Heintze, M.; Zahn, R.J.; Hannemann, M.; Meusinger, J.; Ohl, A. [Institute of Non-Thermal Plasma Physics, Greifswald (Germany)]|[Gesellschaft fuer Angewandte Technik mbH Greifswald (Germany)]|[GAPC, Adam Opel AG, IPC, Ruesselsheim (Germany)

    2001-07-01

    The potential of plasma processes towards hydrogen and fuel cell technology will be demonstrated by two examples with preliminary results: 1. plasma modification of polymer electrolyte membranes for direct methanol fuel cells, and 2. plasma supported steam reforming.

  7. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    Science.gov (United States)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  8. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  9. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  10. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  11. Frugal Biotech Applications of Low-Temperature Plasma.

    Science.gov (United States)

    Machala, Zdenko; Graves, David B

    2017-09-01

    Gas discharge low-temperature air plasma can be utilized for a variety of applications, including biomedical, at low cost. We term these applications 'frugal plasma' - an example of frugal innovation. We demonstrate how simple, robust, low-cost frugal plasma devices can be used to safely disinfect instruments, surfaces, and water. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  13. Development, diagnostic and applications of radio-frequency plasma reactor

    Science.gov (United States)

    Puac, N.

    2008-07-01

    In many areas of the industry, plasma processing of materials is a vital technology. Nonequilibrium plasmas proved to be able to produce chemically reactive species at a low gas temperature while maintaining highly uniform reaction rates over relatively large areas (Makabe and Petrovic 2006). At the same time nonequilibrium plasmas provide means for good and precise control of the properties of active particles that determine the surface modification. Plasma needle is one of the atmospheric pressure sources that can be used for treatment of the living matter which is highly sensitive when it comes to low pressure or high temperatures (above 40 C). Dependent on plasma conditions, several refined cell responses are induced in mammalian cells (Sladek et al. 2005). It appears that plasma treatment may find many biomedical applications. However, there are few data in the literature about plasma effects on plant cells and tissues. So far, only the effect of low pressure plasmas on seeds was investigated. It was shown that short duration pretreatments by non equilibrium low temperature air plasma were stimulative in light induced germination of Paulownia tomentosa seeds (Puac et al. 2005). As membranes of plants have different properties to those of animals and as they show a wide range of properties we have tried to survey some of the effects of typical plasma which is envisaged to be used in biotechnological applications on plant cells. In this paper we will make a comparison between two configurations of plasma needle that we have used in treatment of biological samples (Puac et al. 2006). Difference between these two configurations is in the additional copper ring that we have placed around glass tube at the tip of the needle. We will show some of the electrical characteristics of the plasma needle (with and without additional copper ring) and, also, plasma emission intensity obtained by using fast ICCD camera.

  14. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  15. Plasma expansion: fundamentals and applications

    International Nuclear Information System (INIS)

    Engeln, R; Mazouffre, S; Vankan, P; Bakker, I; Schram, D C

    2002-01-01

    The study of plasma expansion is interesting from a fundamental point of view as well as from a more applied point of view. We here give a short overview of the way properties like density, velocity and temperature behave in an expanding thermal plasma. Experimental data show that the basic phenomena of plasma expansion are to some extent similar to those of the expansion of a hot neutral gas. From the application point of view, we present first results on the use of an expanding thermal plasma in the plasma-activated catalysis of ammonia, from N 2 -H 2 mixtures

  16. A Global Modeling Framework for Plasma Kinetics: Development and Applications

    Science.gov (United States)

    Parsey, Guy Morland

    The modern study of plasmas, and applications thereof, has developed synchronously with com- puter capabilities since the mid-1950s. Complexities inherent to these charged-particle, many- body, systems have resulted in the development of multiple simulation methods (particle-in-cell, fluid, global modeling, etc.) in order to both explain observed phenomena and predict outcomes of plasma applications. Recognizing that different algorithms are chosen to best address specific topics of interest, this thesis centers around the development of an open-source global model frame- work for the focused study of non-equilibrium plasma kinetics. After verification and validation of the framework, it was used to study two physical phenomena: plasma-assisted combustion and the recently proposed optically-pumped rare gas metastable laser. Global models permeate chemistry and plasma science, relying on spatial averaging to focus attention on the dynamics of reaction networks. Defined by a set of species continuity and energy conservation equations, the required data and constructed systems are conceptually similar across most applications, providing a light platform for exploratory and result-search parameter scan- ning. Unfortunately, it is common practice for custom code to be developed for each application-- an enormous duplication of effort which negatively affects the quality of the software produced. Presented herein, the Python-based Kinetic Global Modeling framework (KGMf) was designed to support all modeling phases: collection and analysis of reaction data, construction of an exportable system of model ODEs, and a platform for interactive evaluation and post-processing analysis. A symbolic ODE system is constructed for interactive manipulation and generation of a Jacobian, both of which are compiled as operation-optimized C-code. Plasma-assisted combustion and ignition (PAC/PAI) embody the modernization of burning fuel by opening up new avenues of control and optimization

  17. Evaluation of plasma arc welding capabilities and applications

    International Nuclear Information System (INIS)

    Mills, G.S.

    1978-01-01

    Unique capabilities of plasma arc welding in the keyhole mode are described, and the potential applicability of these capabilities to Rocky Flats production needs are evaluated. For the areas of potential benefits studied, the benefits of this welding technique either did not materialize or the complication of implementing the process in production was not warranted by the demonstrated benefits

  18. Some applications on laser material processing

    International Nuclear Information System (INIS)

    Oros, C.

    2005-01-01

    An overview of the state-of-the-art in laser material processing for a large types of lasers from IR (CO 2 laser, NdYAG laser) to UV (excimer laser) and different kinds of materials (metals, dielectrics) is given. Laser radiation has found a wide range of applications as machining tool for various kinds of materials processing. The machining geometry, the work piece geometry, the material properties and economic productivity claim for customized systems with special design for beam guiding, shaping and delivery in order to fully utilize the laser radiation for surface processing with optimum efficiency, maximum processing speed and high processing quality. The laser-material interaction involves complex processes of heating, melting, vaporization, ejection of atoms, ions, and molecules, shock waves, plasma initiation and plasma expansion. The interaction is dependent on the laser beam parameters (pulse duration, energy and wavelength), the solid target properties and the surrounding environments condition. Experimental results for laser surface melting and laser ablation are given. Also, assuming the applicability of a one dimensional model for short pulses used, and restricting condition to single-pulse exposure, the temperature rise on the target was calculated taking account of the finite optical absorption depth and pulse duration of the laser

  19. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  20. Plasma Synthesis of Nanoparticles for Nanocomposite Energy Applications

    Energy Technology Data Exchange (ETDEWEB)

    Peter C. Kong; Alex W. Kawczak

    2008-09-01

    The nanocomposite energy applications for plasma reactor produced nanoparticles are reviewed. Nanoparticles are commonly defined as particles less than 100 nm in diameter. Due to this small size, nanoparticles have a high surface-to-volume ratio. This increases the surface energy compared to the bulk material. The high surface-to-volume ratio and size effects (quantum effects) give nanoparticles distinctive chemical, electronic, optical, magnetic and mechanical properties from those of the bulk material. Nanoparticles synthesis can be grouped into 3 broad approaches. The first one is wet phase synthesis (sol-gel processing), the second is mechanical attrition, and the third is gas-phase synthesis (aerosol). The properties of the final product may differ significantly depending on the fabrication route. Currently, there are no economical large-scale production processes for nanoparticles. This hinders the widespread applications of nanomaterials in products. The Idaho National Laboratory (INL) is engaging in research and development of advanced modular hybrid plasma reactors for low cost production of nanoparticles that is predicted to accelerate application research and enable the formation of technology innovation alliances that will result in the commercial production of nanocomposites for alternative energy production devices such as fuel cells, photovoltaics and electrochemical double layer capacitors.

  1. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  2. Applications of quantum cascade lasers in plasma diagnostics: a review

    International Nuclear Information System (INIS)

    Röpcke, J; Lang, N; Davies, P B; Rousseau, A; Welzel, S

    2012-01-01

    Over the past few years mid-infrared absorption spectroscopy based on quantum cascade lasers operating over the region from 3 to 12 µm and called quantum cascade laser absorption spectroscopy or QCLAS has progressed considerably as a powerful diagnostic technique for in situ studies of the fundamental physics and chemistry of molecular plasmas. The increasing interest in processing plasmas containing hydrocarbons, fluorocarbons, nitrogen oxides and organo-silicon compounds has led to further applications of QCLAS because most of these compounds and their decomposition products are infrared active. QCLAS provides a means of determining the absolute concentrations of the ground states of stable and transient molecular species at time resolutions below a microsecond, which is of particular importance for the investigation of reaction kinetics and dynamics. Information about gas temperature and population densities can also be derived from QCLAS measurements. Since plasmas with molecular feed gases are used in many applications such as thin film deposition, semiconductor processing, surface activation and cleaning, and materials and waste treatment, this has stimulated the adaptation of QCLAS techniques to industrial requirements including the development of new diagnostic equipment. The recent availability of external cavity (EC) QCLs offers a further new option for multi-component detection. The aim of this paper is fourfold: (i) to briefly review spectroscopic issues arising from applying pulsed QCLs, (ii) to report on recent achievements in our understanding of molecular phenomena in plasmas and at surfaces, (iii) to describe the current status of industrial process monitoring in the mid-infrared and (iv) to discuss the potential of advanced instrumentation based on EC-QCLs for plasma diagnostics. (topical review)

  3. Plasma promoted manufacturing of hydrogen and vehicular applications

    Science.gov (United States)

    Bromberg, Leslie

    2003-10-01

    Plasmas can be used for promoting reformation of fuels. Plasma-based reformers developed at MIT use a low temperature, low power, low current electrical discharge to promote partial oxidation conversion of hydrocarbon fuels into hydrogen and CO. The very fuel rich mixture is hard to ignite, and the plasmatron provides a volume-ignition. To minimize erosion and to simplify the power supply, a low current high voltage discharge is used, with wide area electrodes. The plasmatron fuel reformer operates at or slightly above atmospheric pressure. The plasma-based reformer technology provides the advantages of rapid startup and transient response; efficient conversion of the fuel to hydrogen rich gas; compact size; relaxation or elimination of reformer catalyst requirements; and capability to process difficult to reform fuels. These advantages enable use of hydrogen-manufacturing reformation technology in cars using available fuels, such as gasoline and diesel. This plasma-based reformer technology can provide substantial throughputs even without the use of a catalyst. The electrical power consumption of the device is minimized by design and operational characteristics (less than 500 W peak and 200 W average). The product from these plasma reactors is a hydrogen rich mixture that can be used for combustion enhancement and emissions aftertreatment in vehicular applications. By converting a small fraction of the fuel to hydrogen rich gas, in-cylinder combustion can be improved. With minor modification of the engine, use of hydrogen rich gas results in increased fuel efficiency and decreased emissions of smog producing gases. The status of plasma based reformer technology and its application to vehicles will be described.

  4. Industrial Applications of Low Temperature Plasmas

    International Nuclear Information System (INIS)

    Bardsley, J N

    2001-01-01

    The use of low temperature plasmas in industry is illustrated by the discussion of four applications, to lighting, displays, semiconductor manufacturing and pollution control. The type of plasma required for each application is described and typical materials are identified. The need to understand radical formation, ionization and metastable excitation within the discharge and the importance of surface reactions are stressed

  5. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  6. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  7. Application of non-thermal plasmas to pollution control

    International Nuclear Information System (INIS)

    Penetrante, B.M.; Vogtlin, G.E.; Bardsley, J.N.; Vitello, P.A.; Wallman, P.H.

    1993-06-01

    Non-thermal plasma techniques can be used to destroy many types of hazardous molecules. They are particularly efficient when the toxic materials are present in very small concentrations. This paper discusses three particular applications of non-thermal plasmas: (1) decomposition of hydrogen sulfide (H 2 S), (2) removal of trichloroethylene (TCE), and (3) removal of nitrogen oxides (NO x ). Emphasis is placed on the energy cost for implementing the decomposition or removal of these pollutants. Some of the factors affecting the energy cost are discussed. The authors discuss in detail their work at LLNL on pulsed plasma processing for the treatment of NO x in diesel engine exhaust. The results suggest that their plasma reactor can remove up to 70% of NO with relatively high initial concentrations (up to 500 ppM) at a power consumption cost of 2.5% for an engine with an output of 14 kW and an exhaust gas flow rate of 1,200 liters per minute

  8. Application of non-thermal plasmas to pollution control

    International Nuclear Information System (INIS)

    Penetrante, B.M.; Vogtlin, G.E.; Bardsley, J.N.; Vitello, P.A.; Wallman, P.H.

    1993-01-01

    Non-thermal plasma techniques can be used to destroy many types of hazardous molecules. They are particularly efficient when the toxic materials are present in very small concentrations. This paper discusses three particular applications of non-thermal plasmas: (1) decomposition of hydrogen sulfide (H 2 S), (2) removal of trichloroethylene (TCE), and (3) removal of nitric oxides (NO x ) Emphasis is placed on the energy cost for implementing the decomposition or removal of these pollutants. Some of the factors affecting the energy cost are discussed. We discuss in detail our work at LLNL on pulsed plasma processing for the treatment of NO x in diesel engine exhaust. Our results suggest that our plasma reactor can remove up to 70% of NO x with relatively high initial concentrations (up to 500 ppM) at a power consumption cost of 2.5% for an engine with an output of 14 kill and an exhaust gas flow rate of 1200 liters per minute

  9. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  10. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  11. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  12. PLASMA-2013: International Conference on Research and Applications of Plasmas (Warsaw, Poland, 2-6 September 2013)

    Science.gov (United States)

    Sadowski, Marek J.

    2014-05-01

    The PLASMA-2013 International Conference on Research and Applications of Plasmas was held in Warsaw (Poland) from 2 to 6 September 2013. The conference was organized by the Institute of Plasma Physics and Laser Microfusion, under the auspices of the Polish Physical Society. The scope of the PLASMA conferences, which have been organized every two years since 1993, covers almost all issues of plasma physics and fusion research as well as selected problems of plasma technology. The PLASMA-2013 conference topics included: •Elementary processes and general plasma physics. •Plasmas in tokamaks and stellarators (magnetic confinement fusion). •Plasmas generated by laser beams and inertial confinement fusion. •Plasmas produced by Z-pinch and plasma-focus discharges. •Low-temperature plasma physics. •Space plasmas and laboratory astrophysics. •Plasma diagnostic methods and applications of plasmas. This conference was designed not only for plasma researchers and engineers, but also for students from all over the world, in particular for those from Central and Eastern Europe. Almost 140 participants had the opportunity to hear 9 general lectures, 11 topical talks and 26 oral presentations, as well as to see and discuss around 120 posters. From about 140 contributions, after the preparation of about 100 papers and the peer review process, only 74 papers have been accepted for publication in this topical issue. Acknowledgments Acting on behalf of the International Scientific Committee I would like to express our thanks to all the invited speakers and all the participants of the PLASMA-2013 conference for their numerous contributions. In particular, I wish to thank all of the authors of papers submitted for publication in this topical issue of Physica Scripta . Particular thanks are due to all of the reviewers for their valuable reports and comments, which helped to improve the quality of many of the papers. International Scientific Committee Marek J Sadowski, NCBJ

  13. Modelling of Argon Cold Atmospheric Plasmas for Biomedical Applications

    Science.gov (United States)

    Atanasova, M.; Benova, E.; Degrez, G.; van der Mullen, J. A. M.

    2018-02-01

    Plasmas for biomedical applications are one of the newest fields of plasma utilization. Especially high is the interest toward plasma usage in medicine. Promising results are achieved in blood coagulation, wound healing, treatment of some forms of cancer, diabetic complications, etc. However, the investigations of the biomedical applications from biological and medical viewpoint are much more advanced than the studies on the dynamics of the plasma. In this work we aim to address some specific challenges in the field of plasma modelling, arising from biomedical applications - what are the plasma reactive species’ and electrical fields’ spatial distributions as well as their production mechanisms; what are the fluxes and energies of the various components of the plasma delivers to the treated surfaces; what is the gas flow pattern? The focus is on two devices, namely the capacitive coupled plasma jet and the microwave surface wave sustained discharge. The devices are representatives of the so called cold atmospheric plasmas (CAPs). These are discharges characterized by low gas temperature - less than 40°C at the point of application - and non-equilibrium chemistry.

  14. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  15. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  16. Coblation technology: plasma-mediated ablation for otolaryngology applications

    Science.gov (United States)

    Woloszko, Jean; Gilbride, Charles

    2000-05-01

    Coblation is a unique method of delivering radio frequency energy to soft tissue for applications in Otolaryngology (ENT). Using radio frequency in a bipolar mode with a conductive solution, such as saline, Coblation energizes the ions in the saline to form a small plasma field. The plasma has enough energy to break the tissue's molecular bonds, creating an ablative path. The thermal effect of this process is approximately 45 - 85 degrees Celsius, significantly lower than traditional radio-frequency techniques. Coblation has been used for Otolaryngological applications such as Uvulopalatopharyngoplasty (UPPP), tonsillectomy, turbinate reduction, palate reduction, base of tongue reduction and various Head and Neck cancer procedures. The decreased thermal effect of Coblation anecdotally has led to less pain and faster recovery for cases where tissue is excised. In cases where Coblation is applied submucosally to reduce tissue volume (inferior turbinate, soft palate), the immediate volume reduction may lead to immediate clinical benefits for the patient. Coblation is currently being tested in various clinical studies to document the benefits for otolaryngological applications.

  17. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  18. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  19. Application of low temperature plasmas for restoration/conservation of archaeological objects

    Science.gov (United States)

    Krčma, F.; Blahová, L.; Fojtíková, P.; Graham, W. G.; Grossmannová, H.; Hlochová, L.; Horák, J.; Janová, D.; Kelsey, C. P.; Kozáková, Z.; Mazánková, V.; Procházka, M.; Přikryl, R.; Řádková, L.; Sázavská, V.; Vašíček, M.; Veverková, R.; Zmrzlý, M.

    2014-12-01

    The low-temperature low-pressure hydrogen based plasmas were used to study the influence of processes and discharge conditions on corrosion removal. The capacitive coupled RF discharge in the continuous or pulsed regime was used at operating pressure of 100-200 Pa. Plasma treatment was monitored by optical emission spectroscopy. To be able to study influence of various process parameters, the model corroded samples with and without sandy incrustation were prepared. The SEM-EDX analyzes were carried out to verify corrosion removal efficiency. Experimental conditions were optimized for the selected most frequent materials of original metallic archaeological objects (iron, bronze, copper, and brass). Chlorides removal is based on hydrogen ion reactions while oxides are removed mainly by neutral species interactions. A special focus was kept for the samples temperature because it was necessary to avoid any metallographic changes in the material structure. The application of higher power pulsed regime with low duty cycle seems be the best treatment regime. The low pressure hydrogen plasma is not applicable for objects with a very broken structure or for nonmetallic objects due to the non-uniform heat stress. Due to this fact, the new developed plasmas generated in liquids were applied on selected original archaeological glass materials.

  20. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  1. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  2. PARTICIPANT SUPPORT FOR THE 2010 GORDON RESEARCH CONFERENCE ON PLASMA PROCESSING SCIENCE (JULY 11-16,2010)

    Energy Technology Data Exchange (ETDEWEB)

    Uwe Kortshagen

    2011-06-14

    The 2010 Gordon Research Conference on Plasma Processing Science will feature a comprehensive program that will highlight the most cutting edge scientific advances in low temperature plasma science and will explore the applications of low temperature plasma technology relative to many grand societal challenges. Fundamental science sessions will focus on plasma kinetics, plasma surface interactions, and recent trends in plasma generation and multi-phase plasmas. Application sessions will explore the impact of plasma technology in renewable energy and the production of fuels from renewable feedstocks, plasma-enabled medicine and sterilization, and environmental remediation and waste treatment. The conference will bring together in an informal atmosphere leaders in the field with junior investigators and graduate students. The special format of the Gordon Conferences, with programmed discussion sessions and ample time for informal gatherings in the afternoons and evenings, will provide for a fertile atmosphere of brainstorming and creative thinking among the attendees.

  3. The application of laser plasma in ophthalmology

    International Nuclear Information System (INIS)

    He Yujiang; Luo Le; Sun Yabing

    2000-01-01

    The production and development of laser plasma are introduced, and the contribution of laser biomedicine and laser plasma technology to ophthalmology is analyzed. The latest three progresses (laser photocoagulation, photo-refractive keratotomy and laser iridectomy) of laser plasma applications in ophthalmology are presented

  4. Plasma Hearth Process vitrification of DOE low-level mixed waste

    International Nuclear Information System (INIS)

    Gillins, R.L.; Geimer, R.M.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is recognized as one of the more promising solutions to DOE's mixed waste treatment needs, with potential application in the treatment of a wide variety of DOE mixed wastes. The PHP is a high temperature vitrification process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form. This technology will be equally applicable to low-level mixed wastes generated by nuclear utilities. The final waste form will be volume reduced to the maximum extent practical, because all organics will have been destroyed and the inorganics will be in a high-density, low void-space form and little or no volume-increasing glass makers will have been added. Low volume and high integrity waste forms result in low disposal costs. This project is structured to ensure that the plasma technology can be successfully employed in radioactive service. The PHP technology will be developed into a production system through a sequence of tests on several test units, both non-radioactive and radioactive. As the final step, a prototype PHP system will be constructed for full-scale radioactive waste treatment demonstration

  5. Solution plasma applications for the synthesis/modification of inorganic nanostructured materials and the treatment of natural polymers

    Science.gov (United States)

    Watthanaphanit, Anyarat; Saito, Nagahiro

    2018-01-01

    Reducing the use of toxic chemicals, production steps, and time consumption are important concerns for researchers and process engineers to contribute in the quest for an efficient process in any production. If an equipment setup is simple, the process additionally becomes more profitable. Combination of the mentioned requirements has opened up various applications of the solution plasma process (SPP) — a physical means of generating plasma through an electrical discharge in a liquid medium at atmospheric pressure and room temperature. This review shows the progress of scientific research on the applications of the SPP for the synthesis/modification of inorganic nanostructured materials and the treatment of natural polymers. Development achieved in each application is demonstrated.

  6. A Survey of Plasmas and Their Applications

    Science.gov (United States)

    Eastman, Timothy E.; Grabbe, C. (Editor)

    2006-01-01

    Plasmas are everywhere and relevant to everyone. We bath in a sea of photons, quanta of electromagnetic radiation, whose sources (natural and artificial) are dominantly plasma-based (stars, fluorescent lights, arc lamps.. .). Plasma surface modification and materials processing contribute increasingly to a wide array of modern artifacts; e.g., tiny plasma discharge elements constitute the pixel arrays of plasma televisions and plasma processing provides roughly one-third of the steps to produce semiconductors, essential elements of our networking and computing infrastructure. Finally, plasmas are central to many cutting edge technologies with high potential (compact high-energy particle accelerators; plasma-enhanced waste processors; high tolerance surface preparation and multifuel preprocessors for transportation systems; fusion for energy production).

  7. Physics and applications of micro-plasmas in dielectric barrier and hollow cathode configurations

    International Nuclear Information System (INIS)

    Boeuf, J. P.; Pitchford, L. C.

    2005-01-01

    Non-equilibrium or non-thermal plasmas operate at low gas temperatures and this property make these plasmas very attractive in a number of applications, from etching and deposition in the microelectronics industry to plasma displays and pollution control. However, although it is quite easy to generate a large volume non-equilibrium plasma at pressure on the order or below 100 Pa, this is more of a challenge around atmospheric pressure. Large area plasma sources operating at atmospheric pressure represent a very cost-effective solution for material processing, light sources and other applications, and a large research effort has been devoted to the development of such sources in the last ten years. Dielectric Barrier Discharges (DBDs), where one or both electrodes are covered with a dielectric layer are good candidates for atmospheric non-equilibrium plasma generation because of their ability to limit the current and power deposition. It is also much easier to control an atmospheric discharge in a small volume. Therefore an atmospheric plasma source often consists of a number of micro-discharges arranged in a way that depends on the application. Even in DBDs with large electrode areas, the plasma is generally not uniform and consists in a large number of micro-discharges or filaments. In this lecture we present a discussion of the physical properties of non-equilibrium plasmas generated in different configurations and operating at atmospheric pressure. This discussion is based on results from numerical models and simulations of Dielectric Barrier Discharges to Micro-Hollow Cathode Discharges. We then focus on specific applications such as surface DBDs for flow control. These discharges (which have some similarities with the surface micro-discharges used in Plasma Display Panels) are being studied for their ability to modify the properties of the boundary layer along airfoils and hence to control the transition between laminar and turbulent regimes. We will show how

  8. Introduction to Plasma Technology Science, Engineering and Applications

    CERN Document Server

    Harry, John Ernest

    2011-01-01

    Written by a university lecturer with more than forty years experience in plasma technology, this book adopts a didactic approach in its coverage of the theory, engineering and applications of technological plasmas. The theory is developed in a unified way to enable brevity and clarity, providing readers with the necessary background to assess the factors that affect the behavior of plasmas under different operating conditions. The major part of the book is devoted to the applications of plasma technology and their accompanying engineering aspects, classified by the various pressure and densit

  9. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  10. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  11. Low temperature plasma technology methods and applications

    CERN Document Server

    Chu, Paul K

    2013-01-01

    Written by a team of pioneering scientists from around the world, Low Temperature Plasma Technology: Methods and Applications brings together recent technological advances and research in the rapidly growing field of low temperature plasmas. The book provides a comprehensive overview of related phenomena such as plasma bullets, plasma penetration into biofilms, discharge-mode transition of atmospheric pressure plasmas, and self-organization of microdischarges. It describes relevant technology and diagnostics, including nanosecond pulsed discharge, cavity ringdown spectroscopy, and laser-induce

  12. Dusty plasmas

    International Nuclear Information System (INIS)

    Jones, M.E.; Winske, D.; Keinigs, R.; Lemons, D.

    1996-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The objective of this project has been to develop a fundamental understanding of dusty plasmas at the Laboratory. While dusty plasmas are found in space in galactic clouds, planetary rings, and cometary tails, and as contaminants in plasma enhanced fabrication of microelectronics, many of their properties are only partially understood. Our work has involved both theoretical analysis and self-consistent plasma simulations to understand basic properties of dusty plasmas related to equilibrium, stability, and transport. Such an understanding can improve the control and elimination of plasma dust in industrial applications and may be important in the study of planetary rings and comet dust tails. We have applied our techniques to the study of charging, dynamics, and coagulation of contaminants in plasma processing reactors for industrial etching and deposition processes and to instabilities in planetary rings and other space plasma environments. The work performed in this project has application to plasma kinetics, transport, and other classical elementary processes in plasmas as well as to plasma waves, oscillations, and instabilities

  13. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  14. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  15. Application of plasma technology for the modification of polymer and textile materials

    Directory of Open Access Journals (Sweden)

    Radetić Maja M.

    2004-01-01

    Full Text Available Plasma treatment is based on the physico-chemical changes of the material surface and as an ecologically and economically acceptable process it can be an attractive alternative to conventional modifications. The possibilities of plasma technology application to the modification of polymer and textile materials are discussed. Different specific properties of the material can be achieved by plasma cleaning, etching, functionalization or polymerization. The final effects are strongly influenced by the treatment parameters (treatment time, pressure, power, gas flow, the applied gas and nature of the material. The plasma treatment of polymers is predominantly focused on cleaning and activation of the surfaces to increase adhesion, binding, wettability, dye ability and printability. Current studies deal more with plasma polymerization where an ultra thin film of plasma polymer is deposited on the material surface and, depending on the applied monomer, different specific properties can be obtained (i.e. chemical and thermal resistance, abrasion resistance, antireflexion, water repellence, etc.. Plasma application to textiles is mostly oriented toward wool and synthetic fibres, though some studies also consider cotton, hemp, flax and silk. The main goal of plasma treatment is to impart a more hydrophilic fibre surface and accordingly increase wettability, dye ability, printability and particularly, shrink resistance in the case of wool. Recent studies have favored technical textiles, where plasma polymerization can offer a wide range of opportunities.

  16. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  17. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  18. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  19. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  20. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  1. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  2. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  3. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  4. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  5. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  6. EDITORIAL: Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference Special issue featuring articles arising from the 11th High-Tech Plasma Processes Conference

    Science.gov (United States)

    Bruggeman, Peter; Degrez, Gérard; Delplancke, Marie-Paule; Gleizes, Alain

    2011-05-01

    The 11th High-Tech Plasma Processes Conference (HTPP) was held in Brussels, Belgium, 27 June-2 July, 2010. HTPP started as a thermal plasma conference and gradually expanded to include low-temperature plasmas. The conference was founded by Jacques Amouroux and Pierre Fauchais, and aims to bring together different scientific communities to facilitate contacts between science, technology and industry, providing a platform for the exploration of elementary processes and applications in and by plasmas. The first HTPP was held in Odeillo, France, in 1990. Since then it has been held every other year in different European cities: Paris, Aachen, Athens, Strasbourg, Saint-Petersburg, Patras and Brussels. The 11th HTPP conference was attended by 125 participants from 19 countries. The program involved 14 invited talks, 34 contributed talks, 72 posters and a software demonstration and hands-on session for plasma modelling. The 12th HTPP conference will be held 24-28 June 2012, in Bologna, Italy. A larger part of the contributions to the 11th HTPP has been published in the Journal of Physics: Conference Series (JPCS) volume 275, 2011. All invited speakers and other contributors, as selected by the Steering, Scientific and Organizing Committee, were invited to submit a paper based on their contributions for this special issue which is peer reviewed by the journal. Both this special issue and the JPCS volume aim to bring the 11th HTPP to a wider audience. The publications are a nice example of the broad topic range of the conference. The JPCS volume contains papers covering fundamental aspects on radiative processes of thermal plasmas, modelling of thermal arcs and non-thermal RF plasma jets, plasma diagnostics including flow and heat flux measurements of thermal plasmas, radical density measurements and laser-induced breakdown spectroscopy. The applications-oriented contributions of the JPCS volume include plasma spraying, synthesis of (nano-sized) materials, surface

  7. Effect of Plasma Nitriding Process Conditions on Corrosion Resistance of 440B Martensitic Stainless Steel

    Directory of Open Access Journals (Sweden)

    Łępicka Magdalena

    2014-09-01

    Full Text Available Martensitic stainless steels are used in a large number of various industrial applications, e.g. molds for plastic injections and glass moldings, automotive components, cutting tools, surgical and dental instruments. The improvement of their tribological and corrosion properties is a problem of high interest especially in medical applications, where patient safety becomes a priority. The paper covers findings from plasma nitrided AISI 440B (PN-EN or DIN X90CrMoV18 stainless steel corrosion resistance studies. Conventionally heat treated and plasma nitrided in N2:H2 reaction gas mixture (50:50, 65:35 and 80:20, respectively in two different temperature ranges (380 or 450°C specimens groups were examined. Microscopic observations and electrochemical corrosion tests were performed using a variety of analytical techniques. As obtained findings show, plasma nitriding of AISI 440B stainless steel, regardless of the process temperature, results in reduction of corrosion current density. Nevertheless, applying thermo-chemical process which requires exceeding temperature of about 400°C is not recommended due to increased risk of steel sensitization to intergranular and stress corrosion. According to the results, material ion nitrided in 450°C underwent leaching corrosion processes, which led to significant disproportion in chemical composition of the corroded and corrosion-free areas. The authors suggest further research into corrosion process of plasma nitrided materials and its degradation products.

  8. Microwave imaging for plasma diagnostics and its applications

    International Nuclear Information System (INIS)

    Mase, A.; Kogi, Y.; Ito, N.

    2007-01-01

    Microwave to millimeter-wave diagnostic techniques such as interferometry, reflectometry, scattering, and radiometry have been powerful tools for diagnosing magnetically confined plasmas. Important plasma parameters were measured to clarify the physics issues such as stability, wave phenomena, and fluctuation-induced transport. Recent advances in microwave and millimeter-wave technology together with computer technology have enabled the development of advanced diagnostics for visualization of 2D and 3D structures of plasmas. Microwave/millimeter-wave imaging is expected to be one of the most promising diagnostic methods for this purpose. We report here on the representative microwave diagnostics and their industrial applications as well as application to magnetically-confined plasmas. (author)

  9. 8th Symposium on elementary processes and chemical reactions in low temperature plasma. Pt. 1 and 2

    International Nuclear Information System (INIS)

    Morvova, M.

    1990-11-01

    The document contains invited papers on low temperature plasma physics and its application. Among them, 9 papers deal with the experimental and theoretical investigation and modelling of elementary plasma processes and particle kinematics in electric discharges of various type and purpose. Each of the following 3 papers presents a survey of some advanced plasma technology, as are laser plasma chemistry, plasma production of diamond-like carbon films and of special fine powders. The ionized Van der Waals clusters, shock waves in interplanetary plasma, and plasma acceleration in electromagnetic plasma launchers are the topics of the remaining three papers. (J.U.)

  10. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  11. Demonstration of Plasma Arc Environmental Technology Applications for the Demilitrization of DOD Stockpiles

    Science.gov (United States)

    Smith, Ed; Dee, P. E.; Zaghloul, Hany; Filius, Krag; Rivers, Tim

    2000-01-01

    Since 1989 the US Army Construction Engineering Research Laboratories (USACERL) have been active participants in the research and development towards establishing Plasma Arc Technology (PAT) as an efficient, economical, and safe hazardous waste immobilization tool. A plasma torch capable of generating high temperatures makes this technology a viable and powerful tool for the thermal destruction of various military industrial waste streams into an innocuous ceramic material no longer requiring hazardous waste landfill disposal. The emerging plasma environmental thermal treatment process has been used to safely and efficiently meet the waste disposal needs for various demilitarized components disposal needs, such as: (1) pyrotechnic smoke assemblies, (2) thermal batteries, (3) proximity fuses, (4) cartridge actuated devices (CADs), and (5) propellant actuated devices (PADs). MSE Technology Applications, Inc., (MSE) has proposed and fabricated a Mobile Plasma Treatment System to be a technology demonstrator for pilotscale mobile plasma waste processing. The system is capable of providing small-scale waste remediation services, and conducting waste stream applicability demonstrations. The Mobile Plasma Treatment System's innovative concept provides the flexibility to treat waste streams at numerous sites and sites with only a limited quantity of waste, yet too hazardous to transport to a regional fixed facility. The system was designed to be operated as skid mounted modules; consisting of a furnace module, controls module, offgas module, and ancillary systems module. All system components have been integrated to be operated from a single control station with both semi-continuous feeding and batch slag-pouring capability.

  12. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  13. Plasma Science and Applications at the Intel Science Fair: A Retrospective

    Science.gov (United States)

    Berry, Lee

    2009-11-01

    For the past five years, the Coalition for Plasma Science (CPS) has presented an award for a plasma project at the Intel International Science and Engineering Fair (ISEF). Eligible projects have ranged from grape-based plasma production in a microwave oven to observation of the effects of viscosity in a fluid model of quark-gluon plasma. Most projects have been aimed at applications, including fusion, thrusters, lighting, materials processing, and GPS improvements. However diagnostics (spectroscopy), technology (magnets), and theory (quark-gluon plasmas) have also been represented. All of the CPS award-winning projects so far have been based on experiments, with two awards going to women students and three to men. Since the award was initiated, both the number and quality of plasma projects has increased. The CPS expects this trend to continue, and looks forward to continuing its work with students who are excited about the possibilities of plasma. You too can share this excitement by judging at the 2010 fair in San Jose on May 11-12.

  14. Surface flute waves in plasmas theory and applications

    CERN Document Server

    Girka, Volodymyr; Thumm, Manfred

    2014-01-01

    The book presents results of a comprehensive study of various features of eigen electromagnetic waves propagating across the axis of plasma filled metal waveguides with cylindrical geometry. The authors collected in one book material on various features of surface flute waves, i. e. impact of waveguide design on wave dispersion, wave damping influenced by various reasons, impact of plasma density and external magnetic field inhomogeneity on the wave, and impact of waveguide corrugation and electric current on the wave. A variety of present surface waves applications and possible future applications is also included. Using the method of successive approximations it is shown how one can solve problems, which concern real experimental devices, starting from simple models. The book applies to both professionals dealing with problems of confined plasmas and to graduate and post-graduate students specializing in the field of plasma physics and related applications.

  15. Dusty plasmas in application to astrophysics

    International Nuclear Information System (INIS)

    Verheest, F.

    1999-01-01

    Highly charged and massive dust grains have much smaller characteristic frequencies than electrons and ions and lead to interesting modifications of existing modes and to exciting new possibilities for modes and instabilities at the lower frequency end of the spectrum. Space observations of planets and comets have shown wave-like behaviour which can only be explained by the presence of charged dust grains. Two typical solar system applications are spokes and braids in the rings of Saturn and the influence of charged dust on the pickup process of ions of cometary origin by the solar wind. As dust is ubiquitous in the universe, the Jeans instability in astrophysics is modified by incorporating plasma and charged dust and treating electromagnetic and self-gravitational aspects together. Besides the usual mechanism based upon thermal agitation, other ways of countering gravitational contraction are via excitation of electrostatic dust-acoustic modes or via Alfven-Jeans instabilities for perpendicular magnetosonic waves. The unstable wavelengths tend to be much larger, due to the dominance of plasma and magnetic pressures in inhibiting gravitational collapse. (author)

  16. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  17. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  18. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  19. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    to 1990 with only 31 papers per year on average, and a total of some 1300 papers, precedes a considerable growth of some 35-50% in research activity every five years, over the last 20 years or so. As shown in the table, the annual dissemination of the field is more than 1600 papers and the total number of papers is in excess of 20000. This upwards trajectory is typical of a strong and growing subject area in physical science, with considerable capacity in both fundamental science and applications. PeriodNumber of papersPapers per annum 1948-1990130031 1991-19952279456 1996-20003447689 2001-20054571914 2006-201066401328 2011 1658 In many of the dense plasma jets discussed above, strong physical forces generated by the plasma are often desired and this favours plasma generation at elevated gas pressure, including atmospheric pressure, which favours a high level of gas ionization. Historically it has been challenging to reduce and control the strong physical forces in high-pressure plasmas for applications where these are unwanted, for example, surface modification of polymeric sheets [5]. Indeed, there is a real need for a vast range of material processing applications at temperatures below 100oC (or below 400 K) and this favours atmospheric-pressure plasma jets sustained far from thermal equilibrium with the dissipated electrical energy largely used not in heat generation but in unleashing non-equilibrium chemical reactions. The long-standing difficulty of effectively controlling the level of gas ionization at atmospheric pressure was overcome by the technological breakthrough of achieving atmospheric-pressure glow discharges in the late 1980s [6]. A related challenge stemming from high collisionality of atmospheric-pressure plasmas (v >> ω0) means that large-area plasmas sustained between parallel-plate electrodes are very susceptible to strong plasma instabilities when molecular gases are introduced for processing applications. This led to an effective

  20. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.A.; Cowgill, D.F.; Snead, L.L.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1--5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits

  1. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.W.; Cowgill, D.F.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1-5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits. (orig.)

  2. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  3. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  4. Effect of sterilization procedures on properties of plasma polymers relevant to biomedical applications

    International Nuclear Information System (INIS)

    Artemenko, A.; Kylián, O.; Choukourov, A.; Gordeev, I.; Petr, M.; Vandrovcová, M.; Polonskyi, O.; Bačáková, L.; Slavinska, D.; Biederman, H.

    2012-01-01

    This study is focused on the evaluation of resistance of plasma polymers toward common sterilization techniques, i.e. property important for possible use of such materials in biomedical applications. Three kinds of plasma polymers having different bioadhesive natures were studied: plasma polymerized poly(ethylene oxide), fluorocarbon plasma polymers, and nitrogen-rich plasma polymers. These plasma polymers were subjected to dry heat, autoclave and UV radiation treatment. Their physical, chemical and bioresponsive properties were determined by means of different techniques (ellipsometry, atomic force microscopy, wettability measurements, X-ray photoelectron spectroscopy and biological tests with osteoblast-like cells MG63). The results clearly show that properties of thin films of plasma polymers may be significantly altered by a sterilization process. Moreover, observed changes induced by selected sterilization methods were found to depend strongly on the sterilized plasma polymer. - Highlights: ► Effect of common sterilization methods on three kinds of plasma polymers is studied. ► Physical, chemical and bioresponsive properties of plasma polymers are analyzed. ► Changes induced by sterilization depend strongly on type of the plasma polymer.

  5. An introduction to application of Platelet Rich Plasma (PRP in skin rejuvenation

    Directory of Open Access Journals (Sweden)

    Mahnaz Banihashemi

    2014-04-01

    Full Text Available Platelet-rich plasma (PRP is an autologous concentration of human platelets contained in a small volume of plasma characterized by haemostatic and tissue repairing effects. Tissue repairing effects and being enriched by various kind of growth factors, has made them the focus of attention for different procedures. PRP has been effective in bony defects, wound healing and recently for aesthetic procedures in plastic surgery. The purpose of this review is to evaluate and summarize the applications of PRP in the dermatology literature, with particular focus on rejuvenizaton process, advances and limitations of current PRP therapies. We studied literature related to PRP therapy, these include regeneration of soft tissue, skin aging mechanisms, as well as wound healing. Some studies have shown promising results, with favorable outcomes about PRP clinical application for skin rejuvenization. This article summarizes our current understanding regarding photoaging process and the role of PRP in the skin rejuvenization process. PRP has been shown to be useful in skin rejuvenization. Further studies are needed to elucidate both basic and clinical aspects of PRP therapies. In particular, platelet preparation methods, different application methods, platelet mechanism of action in rejuvenation field, interactions with the skin components, long-term efficacy and safety are necessary to be determined.

  6. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  7. Demonstration of Plasma Arc Environmental Technology Applications for the Demilitarization of DOD Stockpiles

    Science.gov (United States)

    Smith, Ed; Zaghloul, Hany; Filius, Krag; Rivers, Tim

    2000-01-01

    Since 1989 the U.S. Army Construction Engineering Research Laboratories (USACERL) have been active participants in the research and development toward establishing Plasma Arc Technology (PAT) as an efficient, economical, and safe hazardous waste immobilization tool. A plasma torch capable of generating high temperatures makes this technology a viable and powerful tool for the thermal destruction of various military industrial waste streams into an innocuous ceramic material no longer requiring hazardous waste landfill (Class 1) disposal. The emerging pl asma environmental thermal treatment process, has been used to safely and efficiently meet the waste disposal needs for various demilitarized components disposal needs, such as: pyrotechnic smoke assemblies, thermal batteries, proximity fuses, cartridge actuated devices (CAD's), and propellant actuated devices (PAD's). MSE Technology Applications, Inc., (MSE) has proposed and fabricated a Mobile Plasma Treatment System to be a technology demonstrator for pilot-scale mobile plasma waste processing. The system is capable of providing small-scale waste remediation services, and conducting waste stream applicability demonstrations. The Mobile Plasma Treatment System's innovative concept provides the flexibility to treat waste streams at numerous sites and sites with only a limited quantity of waste, yet too hazardous to transport to a regional fixed facility. The system was designed to be operated as skid mounted modules; consisting of a furnace module, controls module, offgas module, and ancillary systems module. All system components have been integrated to be operated from a single control station with both semi-continuous feeding and batch slag-pouring capability.

  8. Atmospheric plasma processes for microbial inactivation: food applications and stress response in Listeria monocytogenes

    OpenAIRE

    Gozzi, Giorgia

    2015-01-01

    This PhD thesis is focused on cold atmospheric plasma treatments (GP) for microbial inactivation in food applications. In fact GP represents a promising emerging technology alternative to the traditional methods for the decontamination of foods. The objectives of this work were to evaluate: - the effects of GP treatments on microbial inactivation in model systems and in real foods; - the stress response in L. monocytogenes following exposure to different GP treatments. As far as t...

  9. Collective radio-emission from plasmas

    International Nuclear Information System (INIS)

    Papadopoulos, K.; Freund, H.P.

    1979-01-01

    Collective radiation processes operating in laboratory and space plasmas are reviewed with an emphasis towards astrophysical applications. Particular stress is placed on the physics involved in the various processes rather than in the detailed derivation of the formulas. Radiation processes from stable non-thermal, weakly turbulent and strongly turbulent magnetized and unmagnetized plasmas are discussed. The general theoretical ideas involved in amplification processes such as stimulated scattering are presented along with their application to free electron and plasma lasers. Direct radio-emission of electromagnetic waves by linear instabilities driven by beams or velocity anisotropies are shown to be of relevance in space applications. Finally, as an example of the computational state of the art pertaining to plasma radiation, a study of the type III solar radio bursts is presented. (orig.)

  10. Plasma-synthesized single-walled carbon nanotubes and their applications

    International Nuclear Information System (INIS)

    Hatakeyama, R; Kaneko, T; Kato, T; Li, Y F

    2011-01-01

    Plasma-based nanotechnology is a rapidly developing area of research ranging from physics of gaseous and liquid plasmas to material science, surface science and nanofabrication. In our case, nanoscopic plasma processing is performed to grow single-walled carbon nanotubes (SWNTs) with controlled chirality distribution and to further develop SWNT-based materials with new functions corresponding to electronic and biomedical applications. Since SWNTs are furnished with hollow inner spaces, it is very interesting to inject various kinds of atoms and molecules into their nanospaces based on plasma nanotechnology. The encapsulation of alkali-metal atoms, halogen atoms, fullerene or azafullerene molecules inside the carbon nanotubes is realized using ionic plasmas of positive and negative ions such as alkali-fullerene, alkali-halogen, and pair or quasipair ion plasmas. Furthermore, an electrolyte solution plasma with DNA negative ions is prepared in order to encapsulate DNA molecules into the nanotubes. It is found that the electronic and optical properties of various encapsulated SWNTs are significantly changed compared with those of pristine ones. As a result, a number of interesting transport phenomena such as air-stable n- and p-type behaviour, p-n junction characteristic, and photoinduced electron transfer are observed. Finally, the creation of an emerging SWNTs-based nanobioelectronics system is challenged. Specifically, the bottom-up electric-field-assisted reactive ion etching is proposed to control the chirality of SWNTs, unexplored SWNT properties of magnetism and superconductivity are aimed at being pioneered, and innovative biomedical-nanoengineering with encapsulated SWNTs of higher-order structure are expected to be developed by applying advanced gas-liquid interfacial plasmas.

  11. Plasma treatment: A Novel Medical Application

    International Nuclear Information System (INIS)

    Boonyawan, Dheerawan

    2015-01-01

    Cold atmospheric plasma (CAP) for the medical treatment is a new field in plasma application, called plasma medicine. CAP contrains mix of excited atoms and molecules, UV photons, charged particles as well as reactive oxygen species (ROS) and reactive nitrogen species (RNS). Typical species in air CAPs are O 3 , OH, N x , and HNO x . Two cold atomospheric plasma devices were utiized (either in an indirect or a direct way) for the treatment of physiologically healthy volunterrs, The results show that CAP is effective againts chronic wound infections and/ or for skin treatment in clinical trials. The current developments in this field have fuelled the hope that CAP could be, and interesting new therapeutic apptoach in the treatment of cancer.

  12. Plasma in dentistry: a review of basic concepts and applications in dentistry.

    Science.gov (United States)

    Kim, Jae-Hoon; Lee, Mi-Ae; Han, Geum-Jun; Cho, Byeong-Hoon

    2014-01-01

    Plasma-related technologies are essential in modern industries. Recently, plasma has attracted increased attention in the biomedical field. This paper provides a basic knowledge of plasma and a narrative review of plasma applications in dentistry. To review plasma applications in dentistry, an electronic search in PubMed, SCOPUS and Google scholar up to December 2012 was done. This was followed by extensive hand searching using reference lists from relevant articles. There have been attempts to apply plasma technology in various fields of dentistry including surface modifications of dental implants, adhesion, caries treatment, endodontic treatment and tooth bleaching. Although many studies were in early stages, the potential value of plasma for dental applications has been demonstrated. To enlarge the scope of plasma applications and put relevant research to practical use, interdisciplinary research with participation of dental professionals is required.

  13. Emerging applications of low temperature gas plasmas in the food industry.

    Science.gov (United States)

    Shaw, Alex; Shama, Gilbert; Iza, Felipe

    2015-06-16

    The global burden of foodborne disease due to the presence of contaminating micro-organisms remains high, despite some notable examples of their successful reduction in some instances. Globally, the number of species of micro-organisms responsible for foodborne diseases has increased over the past decades and as a result of the continued centralization of the food processing industry, outbreaks now have far reaching consequences. Gas plasmas offer a broad range of microbicidal capabilities that could be exploited in the food industry and against which microbial resistance would be unlikely to occur. In addition to reducing the incidence of disease by acting on the micro-organisms responsible for food spoilage, gas plasmas could also play a role in increasing the shelf-life of perishable foods and thereby reduce food wastage with positive financial and environmental implications. Treatment need not be confined to the food itself but could include food processing equipment and also the environment in which commercial food processing occurs. Moreover, gas plasmas could also be used to bring about the degradation of undesirable chemical compounds, such as allergens, toxins, and pesticide residues, often encountered on foods and food-processing equipment. The literature on the application of gas plasmas to food treatment is beginning to reveal an appreciation that attention needs also to be paid to ensuring that the key quality attributes of foods are not significantly impaired as a result of treatment. A greater understanding of both the mechanisms by which micro-organisms and chemical compounds are inactivated, and of the plasma species responsible for this is forming. This is significant, as this knowledge can then be used to design plasma systems with tailored compositions that will achieve maximum efficacy. Better understanding of the underlying interactions will also enable the design and implementation of control strategies capable of minimizing variations in

  14. Application of mid-infrared tuneable diode laser absorption spectroscopy to plasma diagnostics: a review

    International Nuclear Information System (INIS)

    Roepcke, J; Lombardi, G; Rousseau, A; Davies, P B

    2006-01-01

    Within the last decade mid-infrared absorption spectroscopy over a region from 3 to 17μm and based on tuneable lead salt diode lasers, often called tuneable diode laser absorption spectroscopy or TDLAS, has progressed considerably as a powerful diagnostic technique for in situ studies of the fundamental physics and chemistry in molecular plasmas. The increasing interest in processing plasmas containing hydrocarbons, fluorocarbons, organo-silicon and boron compounds has led to further applications of TDLAS because most of these compounds and their decomposition products are infrared active. TDLAS provides a means of determining the absolute concentrations of the ground states of stable and transient molecular species, which is of particular importance for the investigation of reaction kinetic phenomena. Information about gas temperature and population densities can also be derived from TDLAS measurements. A variety of free radicals and molecular ions have been detected by TDLAS. Since plasmas with molecular feed gases are used in many applications such as thin film deposition, semiconductor processing, surface activation and cleaning, and materials and waste treatment, this has stimulated the adaptation of infrared spectroscopic techniques to industrial requirements. The recent development of quantum cascade lasers (QCLs) offers an attractive new option for the monitoring and control of industrial plasma processes. The aim of the present paper is threefold: (i) to review recent achievements in our understanding of molecular phenomena in plasmas (ii) to report on selected studies of the spectroscopic properties and kinetic behaviour of radicals and (iii) to describe the current status of advanced instrumentation for TDLAS in the mid-infrared

  15. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  16. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  17. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  18. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  19. Plasma technology

    International Nuclear Information System (INIS)

    Drouet, M.G.

    1984-03-01

    IREQ was contracted by the Canadian Electrical Association to review plasma technology and assess the potential for application of this technology in Canada. A team of experts in the various aspects of this technology was assembled and each team member was asked to contribute to this report on the applications of plasma pertinent to his or her particular field of expertise. The following areas were examined in detail: iron, steel and strategic-metals production; surface treatment by spraying; welding and cutting; chemical processing; drying; and low-temperature treatment. A large market for the penetration of electricity has been identified. To build up confidence in the technology, support should be provided for selected R and D projects, plasma torch demonstrations at full power, and large-scale plasma process testing

  20. Process development for synthesis and plasma spray deposition of LaPO4 and YPO4 for nuclear applications

    International Nuclear Information System (INIS)

    Chakravarthy, Y.; Sreekumar, K.P.; Jayakumar, S.; Thiyagarajan, T.K.; Ananthapadmanabhan, P.V.; Das, A.K.; Gantayet, L.M.; Krishnan, K.

    2009-01-01

    Rare earth phosphates are geologically very stable and considered as potential matrix material for nuclear waste disposal and also for many high temperature thermal barrier and corrosion barrier applications involving molten metals. This paper focuses on developmental studies related to synthesis, thermal stability and plasma spray deposition of LaPO 4 and YPO 4 . The rare earth phosphates were synthesized by chemical method from their respective oxide materials using ortho phosphoric acid. The as-precipitated powders were converted to thermal spray grade powder by compaction, sintering and crushing. Thermal stability of these phosphates up to their melting point was determined by arc plasma melting, followed by X-ray diffraction. Results indicate that LaPO 4 and YPO 4 melt congruently without decomposition. Plasma spray deposition was carried out using the in-house 40 kW atmospheric plasma spray system. Adherent coatings could be deposited on various substrates by optimizing the plasma spray parameters. (author)

  1. The Plasma Hearth Process Technology Development Project

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Wolfe, P.

    1993-01-01

    The US DOE Office of Technology Development (OTD) is currently evaluating the Plasma Hearth Process (PHP) for potential treatment of several DOE waste types. The PHP is a high-temperature vitrification process that has potential application for a wide range of mixed waste types in both the low-level and transuranic mixed waste categories. The PHP is being tested under both the OTD Mixed Waste Integrated Program and the Buried Waste Integrated Demonstration. Initial testing has been completed on several different surrogate waste forms that are representative of some of the DOE mixed waste streams. Destruction of organic material exceeds that of conventional incineration technologies. The vitrified residual has leaching characteristics comparable to glass formulations produced in the high-level waste program. The first phase of the PHP demonstration project has been successfully completed, and the project is currently beginning a comprehensive second phase of development and testing

  2. Plasma-based localized defect for switchable coupling applications

    International Nuclear Information System (INIS)

    Varault, Stefan; Gabard, Benjamin; Sokoloff, Jerome; Bolioli, Sylvain

    2011-01-01

    We report in this paper experimental measurements in order to validate the concept of switchable electromagnetic band gap filters based on plasma capillaries in the microwave regime. The plasma tube is embedded inside the structure to create a bistable (plasma on or off) punctual defect. We first investigate two kinds of discharge tubes: Ar-Hg and pure Ne, which we then use to experimentally achieve plasma-based reconfigurable applications, namely, a two-port coupler and a two-port demultiplexer.

  3. Innovative technical plasma applications

    International Nuclear Information System (INIS)

    Wintner, E.

    2013-01-01

    Plasma is the predominant status of matter, in stars as well as in interstellar space. In science, it was only recognized rather late as a specific (fourth) state of matter: Sir William Crookes described it in 1879 as “radiant matter”, Irving Langmuir created the name “plasma” in 1928. Technically generated plasma is employed very broadly in modern technology. Some examples are Plasma Displays, Fluorescent Lamps (also the modern Energy Saving Lamps), Corona Discharges (for e.g. Ozone generation, or even engine ignition), arc welding and cutting, reactive ion etching, mass spectroscopy, ion rocket engines and many more. Very early since the development of the laser, it was also employed to generate a plasma (spark) in air or on surfaces. Generally, for this purpose at least ns (“Giant”) pulses have to be used. In more recent times, pico- and femtosecond pulses have allowed to create plasma very easily and effectively. Laser fusion may represent the ultimate application in this direction, based on highly amplified ns pulses for inertial confinement or ps pulses designed as fast igniter. The idea of employing laser pulses for the ignition of engines was attempted for the first time in 1978, although with inadequate tools like the CO2 laser. After a long time of inactivity in this field, about 10 years ago a few institutions like Vienna University of Technology first resumed this approach with the help of modern type solid-state lasers and started systematic investigations around the idea of laser ignition of internal combustion engines. Now, this technique has reached a high degree of maturity; remaining problems lie on the development level and are to be solved soon. Ambitious product development by leading engine producers or ignition equipment suppliers has been started world-wide since several years and, as a result, in one or two years laser ignition will probably be imple-mented into high power gas engines or, somewhat later, high-priced automotive

  4. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  5. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment

    International Nuclear Information System (INIS)

    Xochihua S M, M.C.

    1997-01-01

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO 2 if amount of O 2 is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author)

  6. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  7. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  8. Effect of sterilization procedures on properties of plasma polymers relevant to biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Artemenko, A. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Kylian, O., E-mail: ondrej.kylian@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Choukourov, A.; Gordeev, I.; Petr, M. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Vandrovcova, M. [Institute of Physiology, Academy of Sciences of the Czech Republic, Department of Growth and Differentiation of Cell Populations, Videnska 1083, 142 20, Prague 4 (Czech Republic); Polonskyi, O. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Bacakova, L. [Institute of Physiology, Academy of Sciences of the Czech Republic, Department of Growth and Differentiation of Cell Populations, Videnska 1083, 142 20, Prague 4 (Czech Republic); Slavinska, D.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic)

    2012-10-01

    This study is focused on the evaluation of resistance of plasma polymers toward common sterilization techniques, i.e. property important for possible use of such materials in biomedical applications. Three kinds of plasma polymers having different bioadhesive natures were studied: plasma polymerized poly(ethylene oxide), fluorocarbon plasma polymers, and nitrogen-rich plasma polymers. These plasma polymers were subjected to dry heat, autoclave and UV radiation treatment. Their physical, chemical and bioresponsive properties were determined by means of different techniques (ellipsometry, atomic force microscopy, wettability measurements, X-ray photoelectron spectroscopy and biological tests with osteoblast-like cells MG63). The results clearly show that properties of thin films of plasma polymers may be significantly altered by a sterilization process. Moreover, observed changes induced by selected sterilization methods were found to depend strongly on the sterilized plasma polymer. - Highlights: Black-Right-Pointing-Pointer Effect of common sterilization methods on three kinds of plasma polymers is studied. Black-Right-Pointing-Pointer Physical, chemical and bioresponsive properties of plasma polymers are analyzed. Black-Right-Pointing-Pointer Changes induced by sterilization depend strongly on type of the plasma polymer.

  9. Atmospheric-pressure plasma technology

    International Nuclear Information System (INIS)

    Kogelschatz, U

    2004-01-01

    Major industrial plasma processes operating close to atmospheric pressure are discussed. Applications of thermal plasmas include electric arc furnaces and plasma torches for generation of powders, for spraying refractory materials, for cutting and welding and for destruction of hazardous waste. Other applications include miniature circuit breakers and electrical discharge machining. Non-equilibrium cold plasmas at atmospheric pressure are obtained in corona discharges used in electrostatic precipitators and in dielectric-barrier discharges used for generation of ozone, for pollution control and for surface treatment. More recent applications include UV excimer lamps, mercury-free fluorescent lamps and flat plasma displays

  10. PLASMA-2005: International Conference on Research and Applications of Plasmas combined with the 3. German-Polish Conference on Plasma Diagnostics for Fusion and Applications and the 5. French-Polish Seminar on Thermal Plasma in Space and Laboratory. Book of Abstracts

    International Nuclear Information System (INIS)

    Ksiazek, K.

    2005-01-01

    The International Conferences 'PLASMA' have been organized in Poland every two years since 1993. The German-Polish Conferences on Plasma Diagnostics were started in 2002, and the French-Polish Seminars on Thermal Plasmas were initiated in 1997. To reduce a number of topical conferences and to improve an exchange of information among different plasma research groups it was agreed to organize for the first time the triple conference at the Opole University, Poland, on September 6-9, 2005. The chairman of the International Scientific Committee (ISC) was Professor Marek J. Sadowski from IPJ in Swierk, and the co-chairmen were Professor Thomas Klinger of the IPP-Greifswald and Professor Michel Dudeck of the CNRS-Orleans. The chairman of LOC was Professor Jozef Musielok of the Opole University. This conference was designed for interested scientists, engineers and students from all the countries, but particularly for these from the Central and Eastern Europe (see http://draco.uni.opole.pl/plasma2005). The scientific programme of the conference embraced almost all directions of plasma research and technology, i.e.: 1. Elementary processes and general theory of plasma; 2. Plasmas in tokamaks, stellerators and related experiments; 3. plasmas in Z-pinch and PF discharges; 4. Plasmas produced by intense laser beams; 5. Plasmas of micro-wave and glow discharges; 6. Plasmas in spark- and arc-discharges; 7. Plasmas in space; 8. Diagnostics and experimental facilities; 9. Applications of quasi-stationary and pulsed plasmas. Participants of the conference were 127 plasma experts (including 23 invited speakers) from 13 different countries, as well as several honorary guests from local authorities and the most important plasma research centers in Poland. Most numerous groups came from Poland (58 persons), Germany (15 persons), France (14 persons), Czech Republic (11 persons), Russia (7 persons), and Ukraine (6 persons). The participants came also from Belarus, Hungary, Iran, Japan

  11. Challenges and opportunities for plasma processing of materials

    International Nuclear Information System (INIS)

    McKenzie, D.R.

    1999-01-01

    Full text: Plasma processing of materials is in many ways at a turning point in its development. On the one hand, there are new opportunities arising from the environmental concerns associated with conventional materials processing methods such as electroplating. On the other hand, there are challenges associated with the large capital cost of plant and the demonstration that the new techniques can deliver the quality and quantity required in the market place. An example of such a challenge is file replacement of electroplated chromium by sputtered alternatives in the solar absorber coatings industry. Cathodic arc based processes also offer opportunities for advanced materials processing to displace electroplating. The use of cathodic arcs to coat gold look-alike finishes for architectural applications is well advanced. The challenges for other coatings are essentially dependent on the quality of the adhesion. The combination of the cathodic arc with Plasma Immersion Ion implantation (PI 3 ) technology gives significant improvements in film adhesion. The energy of the incident ions from the cathodic arc may be readily increased to 20 KeV or so without serious difficulties. We have been carrying out trials of a PI 3 type power supply developed by ANSTO, coupled to a continuous type cathodic arc fitted with a magnetic sector filter. The power supply provides short pulses with an adjustable repetition rate and duty cycle. The pulses provide bursts of energetic ions which can be used for assisting the deposition of coatings or for implantation without coating, depending on the location and orientation of the substrate. The results for film adhesion are promising on a number of substrates. The adhesion of metal films on polyimide substrates for example is definitely improved. The modification of polymers to improve their scratch resistance is becoming an important opportunity for plasma processing. Polymers have some valuable properties such as strength to weight ratio

  12. Inductively coupled plasma emission spectroscopy. Part II: applications and fundamentals. Volume 2

    International Nuclear Information System (INIS)

    Boumans, P.W.J.M.

    1987-01-01

    This is the second part of the two-volume treatise by this well-known and respected author. This volume reviews applications of inductively coupled plasma atomic emission spectroscopy (ICP-AES), summarizes fundamental studies, and compares ICP-AES methods with other methods of analysis. The first six chapters are devoted to specific fields of application, including the following: metals and other industrial materials, geology, the environment, agriculture and food, biology and clinical analysis, and organic materials. The chapter on the analysis of organic materials also covers the special instrumental considerations required when organic solvents are introduced into an inductively coupled plasma. A chapter on the direct analysis of solids completes the first part of this volume. Each of the applications chapters begins with a summary of the types of samples that are encountered in that field, and the kinds of problems that an elemental analysis can help to solve. This is followed by a tutorial approach covering applicability, advantages, and limitations of the methods. The coverage is thorough, including sample handling, storage, and preparation, acid, and fusion dissolution, avoiding contamination, methods of preconcentration, the types of interferences that can be expected and ways to reduce them, and the types of ICP plasmas that are used. The second half of the volume covers fundamental studies of ICP-AES: basic processes of aerosol generation, plasma modeling and computer simulation, spectroscopic diagnostics, excitation mechanisms, and discharge characteristics. This section introduces the experimental and modeling methods that have been used to obtain fundamental information about ICPs

  13. The application of magnetic self-filter to optimization of AIN film growth process during the impulse plasma deposition synthesis

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2016-03-01

    Full Text Available This work presents the very first results of the application of plasma magnetic filtering achieved by a coil coupled with an electrical circuit of a coaxial accelerator during the synthesis of A1N thin films by use of Impulse Plasma Deposition method (IPD. The uniqueness of this technical solution lies in the fact that the filter is not supplied, controlled and synchronized from any external device. Our solution uses the energy from the electrical circuit of plasma accelerator. The plasma state was described on the basis of OES studies. Estimation of the effects of plasma filtering on the film quality was carried out on the basis of characterization of structure morphology (SEM, phase and chemical composition (vibrational spectroscopy. Our work has shown that the use of the developed magnetic self-filter improved the structure of the AlN coatings synthesized under the condition of impulse plasma, especially by the minimization of the tendency to deposit metallic aluminum droplets and columnar growth.

  14. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  15. Proceedings of the international symposium on environmental technologies: Plasma systems and applications. Volume 1

    International Nuclear Information System (INIS)

    Mayne, P.W.; Mulholland, J.A.

    1995-01-01

    Plasma technology is an extremely versatile and powerful means of obtaining very high temperatures that can be used in a variety of environmental situations. Since most types of waste products and contaminants can be treated effectively and efficiently, plasma systems have been developed to address the disposal and annihilation domestic of medical, hazardous, radioactive, military, and miscellaneous wastes. Plasma technologies can also be implemented to recycle and recover usable materials from metallic wastes. The International Symposium on Environmental Technologies: Plasma Systems and Applications was held at the Omni Hotel in Atlanta, Georgia on October 8--12, 1995 to bring together a large group of technical experts working on the use of plasma for solving environmental problems. The Symposium is a sequel to the 1994 Metatechnies Conference on Stabilization and Volarization of Ultimate Waste by Plasma Processes that was held in September of 1994 at Bordeaux Lac, France. The proceedings to this second international conference contain the written contributions from eleven sessions and are published in two volumes. A total of 65 papers address the use of plasma systems for environmental applications and include topics concerning the development and use of innovative technologies for waste treatment, environmental remediation, recycling, characterization of the plasma and solid residue, off-gas analyses, as well as case studies and regulatory policies

  16. Investigation and applications of a plasma generator

    International Nuclear Information System (INIS)

    Frere, Isabelle

    1992-01-01

    This work describes the experimental study of a plasma generator: a cylindrical or parallelepipedic rectangle cathode. A permanent magnet creates an axial magnetic field of a few hundred Gauss. A cold and abnormal glow discharge plasma is obtained. The experimental research on the correlation between the discharge parameters (electrode geometry, gas pressure, discharge voltage and current, magnetic field) of the discharge is presented. Another part of the text mentions some generator applications to surface treatment: evaporation, sputtering, surface modification of polymers by exposure to plasma. (author) [fr

  17. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  18. Introduction to plasma physics with space, laboratory and astrophysical applications

    CERN Document Server

    Gurnett, Donald A

    2017-01-01

    Introducing basic principles of plasma physics and their applications to space, laboratory and astrophysical plasmas, this new edition provides updated material throughout. Topics covered include single-particle motions, kinetic theory, magnetohydrodynamics, small amplitude waves in hot and cold plasmas, and collisional effects. New additions include the ponderomotive force, tearing instabilities in resistive plasmas and the magnetorotational instability in accretion disks, charged particle acceleration by shocks, and a more in-depth look at nonlinear phenomena. A broad range of applications are explored: planetary magnetospheres and radiation belts, the confinement and stability of plasmas in fusion devices, the propagation of discontinuities and shock waves in the solar wind, and analysis of various types of plasma waves and instabilities that can occur in planetary magnetospheres and laboratory plasma devices. With step-by-step derivations and self-contained introductions to mathematical methods, this book...

  19. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  20. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  1. Beryllium processing technology review for applications in plasma-facing components

    Energy Technology Data Exchange (ETDEWEB)

    Castro, R.G.; Jacobson, L.A.; Stanek, P.W.

    1993-07-01

    Materials research and development activities for the International Thermonuclear Experimental Reactor (ITER), i.e., the next generation fusion reactor, are investigating beryllium as the first-wall containment material for the reactor. Important in the selection of beryllium is the ability to process, fabricate and repair beryllium first-wall components using existing technologies. Two issues that will need to be addressed during the engineering design activity will be the bonding of beryllium tiles in high-heat-flux areas of the reactor, and the in situ repair of damaged beryllium tiles. The following review summarizes the current technology associated with welding and joining of beryllium to itself and other materials, and the state-of-the-art in plasma-spray technology as an in situ repair technique for damaged beryllium tiles. In addition, a review of the current status of beryllium technology in the former Soviet Union is also included.

  2. Beryllium processing technology review for applications in plasma-facing components

    International Nuclear Information System (INIS)

    Castro, R.G.; Jacobson, L.A.; Stanek, P.W.

    1993-07-01

    Materials research and development activities for the International Thermonuclear Experimental Reactor (ITER), i.e., the next generation fusion reactor, are investigating beryllium as the first-wall containment material for the reactor. Important in the selection of beryllium is the ability to process, fabricate and repair beryllium first-wall components using existing technologies. Two issues that will need to be addressed during the engineering design activity will be the bonding of beryllium tiles in high-heat-flux areas of the reactor, and the in situ repair of damaged beryllium tiles. The following review summarizes the current technology associated with welding and joining of beryllium to itself and other materials, and the state-of-the-art in plasma-spray technology as an in situ repair technique for damaged beryllium tiles. In addition, a review of the current status of beryllium technology in the former Soviet Union is also included

  3. Biomedical applications using low temperature plasma technology

    International Nuclear Information System (INIS)

    Dai Xiujuan; Jiang Nan

    2006-01-01

    Low temperature plasma technology and biomedicine are two different subjects, but the combination of the two may play a critical role in modern science and technology. The 21 st century is believed to be a biotechnology century. Plasma technology is becoming a widely used platform for the fabrication of biomaterials and biomedical devices. In this paper some of the technologies used for material surface modification are briefly introduced. Some biomedical applications using plasma technology are described, followed by suggestions as to how a bridge between plasma technology and biomedicine can be built. A pulsed plasma technique that is used for surface functionalization is discussed in detail as an example of this kind of bridge or combination. Finally, it is pointed out that the combination of biomedical and plasma technology will be an important development for revolutionary 21st century technologies that requires different experts from different fields to work together. (authors)

  4. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  5. Plasma under control: Advanced solutions and perspectives for plasma flux management in material treatment and nanosynthesis

    Science.gov (United States)

    Baranov, O.; Bazaka, K.; Kersten, H.; Keidar, M.; Cvelbar, U.; Xu, S.; Levchenko, I.

    2017-12-01

    Given the vast number of strategies used to control the behavior of laboratory and industrially relevant plasmas for material processing and other state-of-the-art applications, a potential user may find themselves overwhelmed with the diversity of physical configurations used to generate and control plasmas. Apparently, a need for clearly defined, physics-based classification of the presently available spectrum of plasma technologies is pressing, and the critically summary of the individual advantages, unique benefits, and challenges against key application criteria is a vital prerequisite for the further progress. To facilitate selection of the technological solutions that provide the best match to the needs of the end user, this work systematically explores plasma setups, focusing on the most significant family of the processes—control of plasma fluxes—which determine the distribution and delivery of mass and energy to the surfaces of materials being processed and synthesized. A novel classification based on the incorporation of substrates into plasma-generating circuitry is also proposed and illustrated by its application to a wide variety of plasma reactors, where the effect of substrate incorporation on the plasma fluxes is emphasized. With the key process and material parameters, such as growth and modification rates, phase transitions, crystallinity, density of lattice defects, and others being linked to plasma and energy fluxes, this review offers direction to physicists, engineers, and materials scientists engaged in the design and development of instrumentation for plasma processing and diagnostics, where the selection of the correct tools is critical for the advancement of emerging and high-performance applications.

  6. Optically pumped FIR lasers and their application in plasma diagnostics

    International Nuclear Information System (INIS)

    Bakos, J.S.

    1986-06-01

    The pysics and the construction of the far infrared lasers (FIRL) and of the infrared lasers pumping them are reviewed. The details of the construction, resonating and pumping systems, spectral and power characteristics of the FIRLs are discussed. Recently more than 1000 laser lines are known and used in the 27-80 mm wavelength range, but in many cases the laser kinetics are not fully understood, and some instability phenomena cannot be prevented. New nonlinear processes were found: two-photon pumping, hyper Raman laser tuning and relaxation phenomena. A broad application field, the plasma diagnostics by far infrared lasers is described. Scattering of infrared laser radiation can give new interesting information on the not understood effect of the anomalous transport in the high temperature plasma. (D.Gy.)

  7. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  8. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  9. Research on high energy density plasmas and applications

    International Nuclear Information System (INIS)

    1999-01-01

    Recently, technologies on lasers, accelerators, and pulse power machines have been significantly advanced and input power density covers the intensity range from 10 10 W/cm 2 to higher than 10 20 W/cm 2 . As the results, high pressure gas and solid targets can be heated up to very high temperature to create hot dense plasmas which have never appeared on the earth. The high energy density plasmas opened up new research fields such as inertial confinement fusion, high brightness X-ray radiation sources, interiors of galactic nucleus,supernova, stars and planets, ultra high pressure condensed matter physics, plasma particle accelerator, X-ray laser, and so on. Furthermore, since these fields are intimately connected with various industrial sciences and technologies, the high energy density plasma is now studied in industries, government institutions, and so on. This special issue of the Journal of Plasma Physics and Nuclear Fusion Research reviews the high energy density plasma science for the comprehensive understanding of such new fields. In May, 1998, the review committee for investigating the present status and the future prospects of high energy density plasma science was established in the Japan Society of Plasma Science and Nuclear Fusion Research. We held three committee meetings to discuss present status and critical issues of research items related to high energy density plasmas. This special issue summarizes the understandings of the committee. This special issue consists of four chapters: They are Chapter 1: Physics important in the high energy density plasmas, Chapter 2: Technologies related to the plasma generation; drivers such as lasers, pulse power machines, particle beams and fabrication of various targets, Chapter 3: Plasma diagnostics important in high energy density plasma experiments, Chapter 4: A variety of applications of high energy density plasmas; X-ray radiation, particle acceleration, inertial confinement fusion, laboratory astrophysics

  10. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  11. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  12. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  13. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  14. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  15. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  16. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  17. Development of atmospheric pressure plasma needle jet for sterilization applications

    Science.gov (United States)

    Elfa, Rizan Rizon; Ahmad, Mohd Khairul; Soon, Chin Fhong; Sahdan, Mohd Zainizan; Lias, Jais; Wibowo, Kusnanto Mukti; Bakar, Ahmad Shuhaimi Abu; Arshad, Mohd Khairuddin Md; Hashim, Uda; Nayan, Nafarizal

    2017-09-01

    Inactivation of bacteria or sterilization has been a major issue in the medical field, especially regarding of human safety, whereby, in a huge scenario fatality can be caused by hazardous bacteria. Often, E-coli as gram-negative bacteria are selected as a key indicator of proper sterilization process as E-coli is tough and dormant bacteria. The technology in sterilization has moved on from chemical, wet and irradiation sterilization to a high promising device such as atmospheric pressure plasma needle jet (APPNJ). It has been reported that atmospheric pressure plasma has provided bundle of advantages over earlier sterilization process. The APPNJ is developed in our lab using high frequency and high voltage neon transformer power supply connected to copper needle and copper sheet electrodes. The gas discharge is Ar gas flowing at 40 L/min through a quartz glass tube. The E-coli bacteria are self-cultured from waste water and then treated with APPNJ. The treatment processes are run into two difference gaps between the plasma orifice and sample with various treatment times. Only 40s is required by direct treatment to achieve 100% killing of E-coli. On the other hand, indirect treatment has inactivated 50% of the E-coli in 40s. In this study, direct and indirect effect of APPNJ to the E-coli can be observed which can be utilized into sterilization of bio-compatible material applications.

  18. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  19. Current new applications of laser plasmas

    International Nuclear Information System (INIS)

    Hauer, A.A.; Forslund, D.W.; McKinstrie, C.J.; Wark, J.S.; Hargis, P.J. Jr.; Hamil, R.A.; Kindel, J.M.

    1988-09-01

    This report describes several new applications of laser-produced plasmas that have arisen in the last few years. Most of the applications have been an outgrowth of the active research in laser/matter interaction inspired by the pursuit of laser fusion. Unusual characteristics of high-intensity laser/matter interaction, such as intense x-ray and particle emission, were noticed early in the field and are now being employed in a significant variety of applications outside the fusion filed. Applications range from biology to materials science to pulsed-power control and particle accelerators. 92 refs., 23 figs., 4 tabs

  20. Modelling of plasma processes based on the commercial computational fluid dynamics (CFD) package FLUENT

    International Nuclear Information System (INIS)

    Sazhin, S.S.

    1995-01-01

    Traditional applications of the commercial CFD package FLUENT include modelling of gas and liquid flows, combustion processes, thermal radiation exchange, particle dynamics and related processes of industrial interest. Recently, however, the area of applications of this package has been extended to modelling of new processes such as CO 2 laser discharges and the solution of the Boltzmann equation. Results of this modelling were reported at XXI International Conference on Phenomena in Ionized gases in Bochum and were later published in a number of research papers. The aim of this report is to summarize some further latest developments of the FLUENT package aimed to adjust it to the needs of modelling of plasma processes including those in ionized gases. The simplest way to modify this package is to include Amper force into Navier-Stokes equation and Ohm heating term into the enthalpy equation. In most cases, however, electric currents and electric and magnetic fields used in these equations cannot be assumed to be a priori known as they depend on plasma dynamics (distribution of velocities and pressures) and thermodynamics (distribution of temperatures) which implicitly enter into Maxwell or any equivalent electromagnetic equations. This makes it necessary to include these electromagnetic equations into the general iteration loop used in FLUENT

  1. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  2. Prospective application of laser plasma propulsion in rocket technology

    International Nuclear Information System (INIS)

    Lu Xin; Zhang Jie; Li Yingjun

    2002-01-01

    Interest in laser plasma propulsion is growing intensively. The interaction of high intensity short laser pulses with materials can produce plasma expansion with a velocity of hundreds of km/s. The specific impulse of ablative laser propulsion can be many tens of times greater than that of chemical rockets. The development and potential application of laser plasma propulsion are discussed

  3. Application and research of special waste plasma disposal technology

    International Nuclear Information System (INIS)

    Lan Wei

    2007-12-01

    The basic concept of plasma and the principle of waste hot plasma disposal technology are simply introduced. Several sides of application and research of solid waste plasma disposal technology are sumed up. Compared to the common technology, the advantages of waste hot plasma disposal technology manifest further. It becomes one of the most prospective and the most attended high tech disposal technology in particular kind of waste disposal field. The article also simply introduces some experiment results in Southwest Institute of Physics and some work on the side of importation, absorption, digestion, development of foreign plasma torch technology and researching new power sources for plasma torch. (authors)

  4. Plasma technology for waste treatment

    International Nuclear Information System (INIS)

    Cohn, D.R.

    1995-01-01

    Improved environmental cleanup technology is needed to meet demanding goals for remediation and treatment of future waste streams. Plasma technology has unique features which could provide advantages of reduced secondary waste, lower cost, and onsite treatment for a wide variety of applications. Plasma technology can provide highly controllable processing without the need for combustion heating. It can be used to provide high temperature processing (∼10,000 degrees C). Plasma technology can also be employed for low temperature processing (down to room temperature range) through selective plasma chemistry. A graphite electrode arc plasma furnace at MIT has been used to investigate high temperature processing of simulated solid waste for Department of Energy environmental cleanup applications. Stable, non-leachable glass has been produced. To ensure reliable operation and to meet environmental objectives, new process diagnostics have been developed to measure furnace temperature and to determine metals emissions in the gaseous effluent. Selective plasma destruction of dilute concentrations of hazardous compounds in gaseous waste streams has been investigated using electron beam generated plasmas. Selective destruction makes it possible to treat the gas steam at relatively low temperatures in the 30-300 degrees C range. On-line infrared measurements have been used in feedback operation to maximize efficiency and ensure desired performance. Plasma technology and associated process diagnostics will be used in future studies of a wide range of waste streams

  5. Advancements and applications of plasma arc centrifugal treatment

    International Nuclear Information System (INIS)

    Eschenbach, R.C.; Leland, L.B.; Chen, W.M.

    1997-01-01

    A process using a transferred arc plasma to heat material charged into a spinning tube inside a sealed, water-cooled container has been applied to radioactive and hazardous waste treatment in several countries. Inorganic material in the feed is melted into a leach-resistant slag, while organic material is vaporized and reacted to form carbon dioxide and water vapor. Any acid gases formed plus particulates are removed in a gas cleanup system. Design features and their relations to design objectives are described. Current and near-future applications are reported for treating nuclear power plant wastes and for remediating contamination from past nuclear weapons activities

  6. The Variable Polarity Plasma Arc Welding Process: Its Application to the Space Shuttle External Tank

    Science.gov (United States)

    Nunes, A. C., Jr.; Bayless, E. O., Jr.; Wilson, W. A.

    1984-01-01

    This report describes progress in the implementation of the Variable Polarity Plasma Arc Welding (VPPAW) process at the External Tank (ET) assembly facility. Design allowable data has been developed for thicknesses up to 1.00 in. More than 24,000 in. of welding on liquid oxygen and liquid hydrogen cylinders has been made without an internal defect.

  7. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  8. Plasma diagnostics discharge parameters and chemistry

    CERN Document Server

    Auciello, Orlando

    1989-01-01

    Plasma Diagnostics, Volume 1: Discharge Parameters and Chemistry covers seven chapters on the important diagnostic techniques for plasmas and details their use in particular applications. The book discusses optical diagnostic techniques for low pressure plasmas and plasma processing; plasma diagnostics for electrical discharge light sources; as well as Langmuir probes. The text also describes the mass spectroscopy of plasmas, microwave diagnostics, paramagnetic resonance diagnostics, and diagnostics in thermal plasma processing. Electrical engineers, nuclear engineers, microwave engineers, che

  9. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  10. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  11. Effects of plasma on polyethylene fiber surface for prosthodontic application

    Directory of Open Access Journals (Sweden)

    Silvana Marques Miranda SPYRIDES

    2015-12-01

    Full Text Available ABSTRACT Plasma technology has the potential to improve the adherence of fibers to polymeric matrices, and there are prospects for its application in dentistry to reinforce the dental particulate composite. Objectives This study aimed to investigate the effect of oxygen or argon plasma treatment on polyethylene fibers. Material and Methods Connect, Construct, InFibra, and InFibra treated with oxygen or argon plasma were topographically evaluated by scanning electron microscopy (SEM, and chemically by X-ray photoelectron spectroscopy (XPS. For bending analysis, one indirect composite (Signum was reinforced with polyethylene fiber (Connect, Construct, or InFibra. The InFibra fiber was subjected to three different treatments: (1 single application of silane, (2 oxygen or argon plasma for 1 or 3 min, (3 oxygen or argon plasma and subsequent application of silane. The samples (25x2x2 mm, 6 unreinforced and 60 reinforced with fibers, were subjected to three-point loading tests to obtain their flexural strength and deflection. The results were statistically analyzed with ANOVA and the Bonferroni correction for multiple comparison tests. Results SEM analysis showed that oxygen and argon plasma treatments promote roughness on the polyethylene fiber surface. X-ray photoelectron spectroscopy (XPS analysis shows that both plasmas were effective in incorporating oxygenated functional groups. Argon or oxygen plasma treatment affected the flexural strength and deflection of a fiber reinforced composite. The application of silane does not promote an increase in the flexural strength of the reinforced composites. Conclusions Oxygen and argon plasma treatments were effective in incorporating oxygenated functional groups and surface roughness. The highest strength values were obtained in the group reinforced with polyethylene fibers treated with oxygen plasma for 3 min.

  12. Fiscal 1998 achievement report on regional consortium research and development project. Venture business fostering regional consortium in its 2nd year--Creation of key industries (Development of multi-purpose high-precision plasma process); 1998 nendo tamokuteki koseido netsu plasma process no kaihatsu seika hokokusho. 2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Research and development is conducted of a new material process using thermal plasma for manufacturing new ceramics efficiently and for forming a high-quality spray-deposited ceramic coating high in melting point. In the development of devices, addition of an insulation convergence section enables the construction of a plasma jet generator which operates with stability across a range from atmospheric pressure through 10{sup -1} Torr and exhibits high thermal efficiency of approximately 70%. In the study of basic matters, the thermal plasma process is experimented for its optimization and the interaction between thermal plasma and injected materials is elucidated. In the development of a technology for manufacturing high-quality sprayed coatings, optimum plasma spraying conditions are established for each grain size of alumina/titania composite materials, with the rate of one component to the other taken into consideration. The optimum plasma spraying conditions are determined after examining the state of fused powder recovered after plasma spraying, porosity, the rate of coating adhesion, etc. It is found that coatings formed by high-power thermal plasma application are attributable to the vapor-solid process and, thanks to the finding, a crystal orientation control technology is developed for such coatings. (NEDO)

  13. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  14. In-liquid arc plasma jet and its application to phenol degradation

    KAUST Repository

    Liu, Jing-Lin Lin

    2018-02-07

    We present a new method for achieving chemical reactions induced by plasmas with liquids—an in-liquid arc plasma jet system—designed to have a few advantages over the existing methods. High-speed imaging and optical emission spectroscopy were adopted to highlight the physical aspects of the in-liquid arc plasma jet system, and the feasibility of the system was investigated in a wastewater treatment case with phenol as the model contaminant. We found that the specific energy input is a reasonable parameter by which to characterize the overall process. The phenol removal reaction could be modeled as a pseudo-first-order reaction, and the reaction constant became smaller as the phenol concentration increased. However, complete decomposition of the phenol into water and carbon dioxide required very high energy because the final intermediate, oxalic acid, is relatively stable. Detailed chemical and physical analyses, including byproducts, ions, solution acidity, and conductivity, were conducted to evaluate this new method for use in the appropriate applications.

  15. Contribution to the application of the Langmuir probe techique for plasma monitoring in the Ar and n-hexane mixture plasma during the polymerisation process

    Czech Academy of Sciences Publication Activity Database

    Adámek, P.; Kalčík, J.; Šícha, M.; Tichý, M.; Biederman, H.; Soukup, Ladislav; Jastrabík, Lubomír

    1999-01-01

    Roč. 49, č. 12 (1999), s. 1685-1700 ISSN 0011-4626 R&D Projects: GA MŠk(CZ) OC 516.50; GA ČR(CZ) GA202/97/1011; GA ČR(CZ) GA202/98/0116; GA ČR(CZ) GA202/98/0666 Grant - others:GA UK(CZ) 75/98 Institutional research plan: CEZ:AV0Z1010914 Keywords : Langmuir probe * plasma monitoring * average energy of electrons * polymerisation process Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.328, year: 1999

  16. Investigation of antibacterial and wettability behaviours of plasma-modified PMMA films for application in ophthalmology

    International Nuclear Information System (INIS)

    Rezaei, Fatemeh; Abbasi-Firouzjah, Marzieh; Shokri, Babak

    2014-01-01

    The main objective of this research is the experimental investigation of the surface properties of polymethyl methacrylate (PMMA) such as wettability and the roughness effect on Escherichia coli (gram negative) cell adhesion. Radio frequency (RF; 13.56 MHz) oxygen plasma was used to enhance the antibacterial and wettability properties of this polymer for biomedical applications, especially ophthalmology. The surface was activated by O 2 plasma to produce hydrophilic functional groups. Samples were treated with various RF powers from 10 to 80 W and different gas flow rates from 20 to 120 sccm. Optical emission spectroscopy was used to monitor the plasma process. The modified surface hydrophilicity, morphology and transparency characteristics were studied by water contact angle measurements, atomic force microscopy and UV–vis spectroscopy, respectively. Based on the contact angle measurements of three liquids, surface free energy variations were investigated. Moreover, the antibacterial properties were evaluated utilizing the method of plate counting of Escherichia coli. Also, in order to investigate stability of the plasma treatment, an ageing study was carried out by water contact angle measurements repeated in the days after the treatment. For biomedical applications, especially eye lenses, highly efficient antibacterial surfaces with appropriate hydrophilicity and transparency are of great importance. In this study, it is shown that the plasma process is a reliable and convenient method to achieve these purposes. A significant alteration in the hydrophilicity of a pristine PMMA surface was observed after treatment. Also, our results indicated that the plasma-modified PMMAs exhibit appropriate antibacterial performance. Moreover, surface hydrophilicity and surface charge have more influence on bacterial adhesion rate than surface roughness. UV–vis analysis results do not show a considerable difference for transparency of samples after plasma treatment

  17. Plasma cleaning for waste minimization

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1993-07-01

    Although plasma cleaning is a recognized substitute for solvent cleaning in removing organic contaminants, some universal problems in plasma cleaning processes prevent wider use of plasma techniques. Lack of understanding of the fundamental mechanisms of the process, unreliable endpoint detection techniques, and slow process times make plasma cleaning processes less than desirable. Our approach to address these plasma cleaning problems is described. A comparison of plasma cleaning rates of oxygen and oxygen/sulfur hexafluoride gases shows that fluorine-containing plasmas can enhance etch rates by 400% over oxygen alone. A discussion of various endpoint indication techniques is discussed and compared for application suitability. Work toward a plasma cleaning database is discussed. In addition to the global problems of plasma cleaning, an experiment where the specific mixed-waste problem of removal of machine oils from radioactive scrap metal is discussed.

  18. Kinetic simulations in plasmas: a general view and some applications

    Energy Technology Data Exchange (ETDEWEB)

    Alves, Maria Virginia [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil). Lab. Associado de Plasma]. E-mail: alves@plasma.inpe.br

    1999-07-01

    In these lecture notes we talk about kinetic simulations plasma physics. We present a general view of the different approach that can be given to kinetic plasmas depending on the physical problem to be investigated. Some applications of kinetic simulations to space plasma phenomena and Pierce electrodes are introduced. (author)

  19. Kinetic simulations in plasmas: a general view and some applications

    International Nuclear Information System (INIS)

    Alves, Maria Virginia

    1999-01-01

    In these lecture notes we talk about kinetic simulations plasma physics. We present a general view of the different approach that can be given to kinetic plasmas depending on the physical problem to be investigated. Some applications of kinetic simulations to space plasma phenomena and Pierce electrodes are introduced. (author)

  20. Weakly ionized plasmas in aerospace applications

    International Nuclear Information System (INIS)

    Semenov, V E; Bondarenko, V G; Gildenburg, V B; Gubchenko, V M; Smirnov, A I

    2002-01-01

    This paper is an overview of the activity and state-of-the-art in the field of plasma aerospace applications. Both experimental results and theoretical ideas are analysed. Principal attention is focused on understanding the physical mechanisms of the plasma effect on hypersonic aerodynamics. In particular, it is shown that drag reduction can be achieved using a proper distribution of heat sources around a flying body. Estimates of the energetic efficiency of the thermal mechanism of aerodynamic drag reduction are presented. The non-thermal effect caused by the interaction of a plasma flow with a magnetic field is also analysed. Specifically, it is shown that appropriate spatial distribution of volumetric forces around a hypersonic body allows for complete elimination of shock wave generation. It should be noted that in an ideal case, shock waves could be eliminated without energy consumption

  1. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  2. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  3. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  4. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  5. Application of SSNTDs for measurements of fusion reaction products in high-temperature plasma experiments

    Energy Technology Data Exchange (ETDEWEB)

    Malinowska, A., E-mail: a.malinowska@ipj.gov.p [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Szydlowski, A.; Malinowski, K. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Sadowski, M.J. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Institute of Plasma Physics and Laser Microfusion (IPPLM), 00-908 Warsaw (Poland); Zebrowski, J. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Scholz, M.; Paduch, M.; Zielinska, E. [Institute of Plasma Physics and Laser Microfusion (IPPLM), 00-908 Warsaw (Poland); Jaskola, M.; Korman, A. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland)

    2009-10-15

    The paper describes the application of SSNTDs of the PM-355 type to diagnostics of reaction products emitted from high-temperature deuterium plasmas produced in Plasma Focus (PF) facilities. Acceleration processes occurring in plasma lead often to the generation of high-energy ion beams. Such beams induce nuclear reactions and contribute to the emission of fast neutrons, fusion protons and alpha particles from PF discharges with a deuterium gas. Ion measurements are of primary importance for understanding the mechanisms of the physical processes which drive the charged-particle acceleration. The main aim of the present studies was to perform measurements of spatial- and energy-distributions of fusion-reaction protons (about 3 MeV) within a PF facility. Results obtained from energy measurements were compared with the proton-energy spectra computed theoretically. The protons were measured by means of a set of ion pinhole cameras equipped with PM-355 detectors, which were placed at different angles relative to the electrode axis of the PF facility.

  6. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  7. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  8. Development in Diagnostics Application to Control Advanced Tokamak Plasma

    International Nuclear Information System (INIS)

    Koide, Y.

    2008-01-01

    For continuous operation expected in DEMO, all the plasma current must be non-inductively driven, with self-generated neoclassical bootstrap current being maximized. The control of such steady state high performance tokamak plasma (so-called 'Advanced Tokamak Plasma') is a challenge because of the strong coupling between the current density, the pressure profile and MHD stability. In considering diagnostic needs for the advanced tokamak research, diagnostics for MHD are the most fundamental, since discharges which violate the MHD stability criteria either disrupt or have significantly reduced confinement. This report deals with the development in diagnostic application to control advanced tokamak plasma, with emphasized on recent progress in active feedback control of the current profile and the pressure profile under DEMO-relevant high bootstrap-current fraction. In addition, issues in application of the present-day actuators and diagnostics for the advanced control to DEMO will be briefly addressed, where port space for the advanced control may be limited so as to keep sufficient tritium breeding ratio (TBR)

  9. The structure, properties and performance of plasma-sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Elliott, K.E.

    1995-01-01

    Plasma-spray technology is under investigation as a method for producing high thermal conductivity beryllium coatings for use in magnetic fusion applications. Recent investigations have focused on optimizing the plasma-spray process for depositing beryllium coatings on damaged beryllium surfaces. Of particular interest has been optimizing the processing parameters to maximize the through-thickness thermal conductivity of the beryllium coatings. Experimental results will be reported on the use of secondary H 2 gas additions to improve the melting of the beryllium powder and transferred-arc cleaning to improve the bonding between the beryllium coatings and the underlying surface. Information will also be presented on thermal fatigue tests which were done on beryllium coated ISX-B beryllium limiter tiles using 10 sec cycle times with 60 sec cooldowns and an International Thermonuclear Experimental Reactor (ITER) relevant divertor heat flux slightly in excess of 5 MW/m 2

  10. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  11. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  12. Plasma physics and fusion plasma electrodynamics

    CERN Document Server

    Bers, Abraham

    2016-01-01

    Plasma is a ubiquitous state of matter at high temperatures. The electrodynamics of plasmas encompasses a large number of applications, from understanding plasmas in space and the stars, to their use in processing semiconductors, and their role in controlled energy generation by nuclear fusion. This book covers collective and single particle dynamics of plasmas for fully ionized as well as partially ionized plasmas. Many aspects of plasma physics in current fusion energy generation research are addressed both in magnetic and inertial confinement plasmas. Linear and nonlinear dynamics in hydrodynamic and kinetic descriptions are offered, making both simple and complex aspects of the subject available in nearly every chapter. The approach of dividing the basic aspects of plasma physics as "linear, hydrodynamic descriptions" to be covered first because they are "easier", and postponing the "nonlinear and kinetic descriptions" for later because they are "difficult" is abandoned in this book. For teaching purpose...

  13. Modelling of plasma generation and expansion in a vacuum arc: application to the vacuum arc remelting process

    International Nuclear Information System (INIS)

    Chapelle, P.; Bellot, J.P.; Duval, H.; Jardy, A.; Ablitzer, D.

    2002-01-01

    As part of a complete theoretical description of the behaviour of the electric arc in the vacuum arc remelting process, a model has been developed for the column of plasma generated by a single cluster of cathode spots. The model combines a kinetic approach, taking into account the formation of the plasma in the cathodic region, and a hydrodynamic approach, describing the expansion of the plasma in the vacuum between the electrodes. The kinetic model is based on a system of Boltzmann-Vlasov-Poisson equations and uses a particle-type simulation procedure, combining the PIC (particle in cell) and FPM (finite point set method) methods. In the two-dimensional hydrodynamic model, the plasma is assimilated to a mixture of two continuous fluids (the electrons and the ions), each described by a system of coupled transport equations. Finally, a simplified method has been defined for calculating the electric current density and the energy flux density transmitted by the plasma to the anode. The results of the numerical simulation presented are consistent with a certain number of experimental data available in the literature. In particular, the model predicts a percentage of the electric power of the cluster transmitted to the anode (25%) in good agreement with the value indicated in the literature. (author)

  14. Study of emission process in hot, optically thin plasma: application to solar active regions

    International Nuclear Information System (INIS)

    Steenman-Clark, Lois.

    1983-06-01

    Analysis of soft X-ray got in hot and weak density plasmas, such as those in TOKAMAKS and in solar flares, needs a detailed knowledge of emission processes. In this work are presented spectroscopic diagnostics which can be deduced from such spectra analysis and results are applied to magnesium solar spectrum analysis. An important improvement is brought to collisional calculation corresponding to forbidden line populating. For this line, The relative importance of autoionizing states effect, called also resonance effect is studied [fr

  15. State of the art in medical applications using non-thermal atmospheric pressure plasma

    Science.gov (United States)

    Tanaka, Hiromasa; Ishikawa, Kenji; Mizuno, Masaaki; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Metelmann, Hans-Robert; Hori, Masaru

    2017-12-01

    Plasma medical science is a novel interdisciplinary field that combines studies on plasma science and medical science, with the anticipation that understanding the scientific principles governing plasma medical science will lead to innovations in the field. Non-thermal atmospheric pressure plasma has been used for medical treatments, such as for cancer, blood coagulation, and wound healing. The interactions that occur between plasma and cells/tissues have been analyzed extensively. Direct and indirect treatment of cells with plasma has broadened the applications of non-thermal atmospheric pressure plasma in medicine. Examples of indirect treatment include plasma-assisted immune-therapy and plasma-activated medium. Controlling intracellular redox balance may be key in plasma cancer treatment. Animal studies are required to test the effectiveness and safety of these treatments for future clinical applications.

  16. Modelling of new generation plasma optical devices

    Directory of Open Access Journals (Sweden)

    Litovko Irina V.

    2016-06-01

    Full Text Available The paper presents new generation plasma optical devices based on the electrostatic plasma lens configuration that opens a novel attractive possibility for effective high-tech practical applications. Original approaches to use of plasma accelerators with closed electron drift and open walls for the creation of a cost-effective low-maintenance plasma lens with positive space charge and possible application for low-cost, low-energy rocket engine are described. The preliminary experimental, theoretical and simulation results are presented. It is noted that the presented plasma devices are attractive for many different applications in the state-of-the-art vacuum-plasma processing.

  17. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  18. Integration of ammonia-plasma-functionalized graphene nanodiscs as charge trapping centers for nonvolatile memory applications

    KAUST Repository

    Wang, Jer-Chyi

    2016-11-23

    Graphene nanodiscs (GNDs), functionalized using NH3 plasma, as charge trapping sites (CTSs) for non-volatile memory applications have been investigated in this study. The fabrication process relies on the patterning of Au nanoparticles (Au-NPs), whose thicknesses are tuned to adjust the GND density and size upon etching. A GND density as high as 8 × 1011 cm−2 and a diameter of approximately 20 nm are achieved. The functionalization of GNDs by NH3 plasma creates Nsingle bondH+ functional groups that act as CTSs, as observed by Raman and Fourier transform infrared spectroscopy. This inherently enhances the density of CTSs in the GNDs, as a result, the memory window becomes more than 2.4 V and remains stable after 104 operating cycles. The charge loss is less than 10% for a 10-year data retention testing, making this low-temperature process suitable for low-cost non-volatile memory applications on flexible substrates.

  19. Thermal plasma waste treatment

    International Nuclear Information System (INIS)

    Heberlein, Joachim; Murphy, Anthony B

    2008-01-01

    Plasma waste treatment has over the past decade become a more prominent technology because of the increasing problems with waste disposal and because of the realization of opportunities to generate valuable co-products. Plasma vitrification of hazardous slags has been a commercial technology for several years, and volume reduction of hazardous wastes using plasma processes is increasingly being used. Plasma gasification of wastes with low negative values has attracted interest as a source of energy and spawned process developments for treatment of even municipal solid wastes. Numerous technologies and approaches exist for plasma treatment of wastes. This review summarizes the approaches that have been developed, presents some of the basic physical principles, provides details of some specific processes and considers the advantages and disadvantages of thermal plasmas in waste treatment applications. (topical review)

  20. Application of Plasma Waveguides to High Energy Accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Milchberg, Howard M

    2013-03-30

    The eventual success of laser-plasma based acceleration schemes for high-energy particle physics will require the focusing and stable guiding of short intense laser pulses in reproducible plasma channels. For this goal to be realized, many scientific issues need to be addressed. These issues include an understanding of the basic physics of, and an exploration of various schemes for, plasma channel formation. In addition, the coupling of intense laser pulses to these channels and the stable propagation of pulses in the channels require study. Finally, new theoretical and computational tools need to be developed to aid in the design and analysis of experiments and future accelerators. Here we propose a 3-year renewal of our combined theoretical and experimental program on the applications of plasma waveguides to high-energy accelerators. During the past grant period we have made a number of significant advances in the science of laser-plasma based acceleration. We pioneered the development of clustered gases as a new highly efficient medium for plasma channel formation. Our contributions here include theoretical and experimental studies of the physics of cluster ionization, heating, explosion, and channel formation. We have demonstrated for the first time the generation of and guiding in a corrugated plasma waveguide. The fine structure demonstrated in these guides is only possible with cluster jet heating by lasers. The corrugated guide is a slow wave structure operable at arbitrarily high laser intensities, allowing direct laser acceleration, a process we have explored in detail with simulations. The development of these guides opens the possibility of direct laser acceleration, a true miniature analogue of the SLAC RF-based accelerator. Our theoretical studies during this period have also contributed to the further development of the simulation codes, Wake and QuickPIC, which can be used for both laser driven and beam driven plasma based acceleration schemes. We

  1. High-frequency underwater plasma discharge application in antibacterial activity

    International Nuclear Information System (INIS)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U.; Mongre, R. K.; Jeong, D. K.; Suresh, R.; Lee, H. J.

    2017-01-01

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O_2) injected and hydrogen peroxide (H_2O_2) added discharge in water was achieved. The effect of H_2O_2 dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H_2O_2 addition with O_2 injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH"•, H, and O). Interestingly, the results demonstrated that O_2 injected and H_2O_2 added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  2. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  3. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  4. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  5. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  6. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  7. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  8. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  9. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  10. Current and Perspective Applications of Dense Plasma Focus Devices

    Science.gov (United States)

    Gribkov, V. A.

    2008-04-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement—MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy.

  11. Current and Perspective Applications of Dense Plasma Focus Devices

    International Nuclear Information System (INIS)

    Gribkov, V. A.

    2008-01-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement--MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy

  12. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  13. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  14. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  15. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  16. Nuclear measurements, techniques and instrumentation, industrial applications, plasma physics and nuclear fusion 1986-1996. International Atomic Energy Agency publications

    International Nuclear Information System (INIS)

    1997-03-01

    This catalogue lists all sales publications of the International Atomic Energy Agency dealing with Nuclear Measurements, Techniques, and Instrumentation, Industrial Applications, Plasma Physics and Nuclear Fusion, issued during the period 1986-1996. Most publications are in English. Proceedings of conferences, symposia and panels of experts may contain some papers in languages other than English (French, Russian or Spanish), but all of these papers have abstracts in English. Contents cover the three main areas of (i) Nuclear Measurements, Techniques and Instrumentation (Physics, Dosimetry Techniques, Nuclear Analytical Techniques, Research Reactor and Particle Accelerator Applications, and Nuclear Data), (ii) Industrial Applications (Radiation Processing, Radiometry, and Tracers), and (iii) Plasma Physics and Controlled Thermonuclear Fusion

  17. Dose-current discharge correlation analysis in a Mather type Plasma Focus device for medical applications

    Science.gov (United States)

    Sumini, M.; Mostacci, D.; Tartari, A.; Mazza, A.; Cucchi, G.; Isolan, L.; Buontempo, F.; Zironi, I.; Castellani, G.

    2017-11-01

    In a Plasma Focus device the plasma collapses into the pinch where it reaches thermonuclear conditions for a few tens of nanoseconds, becoming a multi-radiation source. The nature of the radiation generated depends on the gas filling the chamber and the device working parameters. The self-collimated electron beam generated in the backward direction with respect to the plasma motion is one of the main radiation sources of interest also for medical applications. The electron beam may be guided against a high Z material target to produce an X-ray beam. This technique offers an ultra-high dose rate source of X-rays, able to deliver during the pinch a massive dose (up to 1 Gy per discharge for the PFMA-3 test device), as measured with EBT3 GafchromicⒸfilm tissue equivalent dosimeters. Given the stochastic behavior of the discharge process, a reliable on-line estimate of the dose-delivered is a very challenging task, in some way preventing a systematic application as a potentially interesting therapy device. This work presents an approach to linking the dose registered by the EBT3 GafchromicⒸfilms with the information contained in the signal recorded during the current discharge process. Processing the signal with the Wigner-Ville distribution, a spectrogram was obtained, displaying the information on intensity at various frequency scales, identifying the band of frequencies representative of the pinch events and define some patterns correlated with the dose.

  18. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  19. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  20. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  1. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  2. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  3. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  4. Plasma properties and atomic processes at medium and high pressures

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1979-01-01

    When the state of a plasma deviates from local thermodynamic equilibrium (L.T.E.) the equilibrium relations cannot be applied. The thermodynamic properties must then be described on the basis of models in which the individual atomic properties and elementary reactions intervene. The first part of the paper gives a schematic description of a plasma suffering power input, power losses and external constraints in the form of initial and boundary conditions. The rate equations for particle density, momentum and energy of open systems are summarized, including nuclear reactions. The second part gives a review of the progress made in understanding the properties of special types of non-L.T.E. plasmas such as glow discharge plasmas, negative ion plasmas (with application to the physics of SF 6 circuit-breakers) and Tokamak plasmas on the basis of these rate equations

  5. Introduction to Plasma Physics: With Space and Laboratory Applications

    International Nuclear Information System (INIS)

    Browning, P K

    2005-01-01

    A new textbook on plasma physics must be very welcome, as this will encourage the teaching of courses on the subject. This book is written by two experts in their fields, and is aimed at advanced undergraduate and postgraduate courses. There are of course many other plasma physics textbooks available. The niche which this particular book fills is really defined by its subtitle: that is, 'with space and laboratory applications'. This differs from most other books which tend to emphasise either space or fusion applications (but not both) or to concentrate only on general theory. Essentially, the emphasis here is on fundamental plasma physics theory, but applications are given from time to time. For example, after developing Alfven wave theory, observations of Alfven waves in the solar wind and in the Jovian magnetosphere are presented; whilst ion acoustic cylcotron waves are illustrated by data from a laboratory Q machine. It is fair to say that examples from space seem to predominate. Nevertheless, the approach of including a broad range of applications is very good from an educational point of view, and this should help to train a generation of students with a grasp of fundamental plasma physics who can work in a variety of research fields. The subject coverage of the book is fairly conventional and there are no great surprises. It begins, inevitably, with a discussion of plasma parameters (Debye length etc) and of single particle motions. Both kinetic theory and magnetohydrodynamics are introduced. Waves are quite extensively discussed in several chapters, including both cold and hot plasmas, magnetised and unmagnetised. Nonlinear effects - a large subject! - are briefly discussed. A final chapter deals with collisions in fully ionised plasmas. The choice of contents of a textbook is always something of a matter of personal choice. It is easy to complain about what has been left out, and everyone has their own favourite topics. With that caveat, I would question

  6. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  7. Functionalization of polymer powders for SLS-processes using an atmospheric plasma jet in a fluidized bed reactor

    Energy Technology Data Exchange (ETDEWEB)

    Sachs, Marius; Schmitt, Adeliene; Schmidt, Jochen; Peukert, Wolfgang; Wirth, Karl-Ernst [Institute of Particle Technology, Friedrich-Alexander-University Erlangen-Nuremberg (Germany)

    2015-05-22

    Recently additive manufacturing processes such as selective laser sintering (SLS) of polymers have gained more importance for industrial applications [1]. Tailor-made modification of polymers is essential in order to make these processes more efficient and to cover the industrial demands. The so far used polymer materials show weak performance regarding the mechanical stability of processed parts. To overcome this limitation, a new route to functionalize the surface of commercially available polymer particles (PA12; PE-HD; PP) using an atmospheric plasma jet in combination with a fluidized bed reactor has been investigated. Consequently, an improvement of adhesion and wettability [2] of the polymer surface without restraining the bulk properties of the powder is achieved. The atmospheric plasma jet process can provide reactive species at moderate temperatures which are suitable for polymer material. The functionalization of the polymer powders improves the quality of the devices build in a SLS-process.

  8. High-frequency underwater plasma discharge application in antibacterial activity

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U. [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of); Mongre, R. K.; Jeong, D. K. [Jeju National University, Faculty of Biotechnology (Korea, Republic of); Suresh, R.; Lee, H. J., E-mail: hjlee@jejunu.ac.kr [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of)

    2017-03-15

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O{sub 2}) injected and hydrogen peroxide (H{sub 2}O{sub 2}) added discharge in water was achieved. The effect of H{sub 2}O{sub 2} dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H{sub 2}O{sub 2} addition with O{sub 2} injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH{sup •}, H, and O). Interestingly, the results demonstrated that O{sub 2} injected and H{sub 2}O{sub 2} added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  9. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  10. On non-equilibrium atmospheric pressure plasma jets and plasma bullet

    Science.gov (United States)

    Lu, Xinpei

    2012-10-01

    Because of the enhanced plasma chemistry, atmospheric pressure nonequilibrium plasmas (APNPs) have been widely studied for several emerging applications such as biomedical applications. For the biomedical applications, plasma jet devices, which generate plasma in open space (surrounding air) rather than in confined discharge gaps only, have lots of advantages over the traditional dielectric barrier discharge (DBD) devices. For example, it can be used for root canal disinfection, which can't be realized by the traditional plasma device. On the other hand, currently, the working gases of most of the plasma jet devices are noble gases or the mixtures of the noble gases with small amount of O2, or air. If ambient air is used as the working gas, several serious difficulties are encountered in the plasma generation process. Amongst these are high gas temperatures and disrupting instabilities. In this presentation, firstly, a brief review of the different cold plasma jets developed to date is presented. Secondly, several different plasma jet devices developed in our lab are reported. The effects of various parameters on the plasma jets are discussed. Finally, one of the most interesting phenomena of APNP-Js, the plasma bullet is discussed and its behavior is described. References: [1] X. Lu, M. Laroussi, V. Puech, Plasma Sources Sci. Technol. 21, 034005 (2012); [2] Y. Xian, X. Lu, S. Wu, P. Chu, and Y. Pan, Appl. Phys. Lett. 100, 123702 (2012); [3] X. Pei, X. Lu, J. Liu, D. Liu, Y. Yang, K. Ostrikov, P. Chu, and Y. Pan, J. Phys. D 45, 165205 (2012).

  11. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  12. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  13. Ozone modeling within plasmas for ozone sensor applications

    OpenAIRE

    Arshak, Khalil; Forde, Edward; Guiney, Ivor

    2007-01-01

    peer-reviewed Ozone (03) is potentially hazardous to human health and accurate prediction and measurement of this gas is essential in addressing its associated health risks. This paper presents theory to predict the levels of ozone concentration emittedfrom a dielectric barrier discharge (DBD) plasma for ozone sensing applications. This is done by postulating the kinetic model for ozone generation, with a DBD plasma at atmospheric pressure in air, in the form of a set of rate equations....

  14. Induced Current Characteristics Due to Laser Induced Plasma and Its Application to Laser Processing Monitoring

    International Nuclear Information System (INIS)

    Madjid, Syahrun Nur; Idris, Nasrullah; Kurniawan, Koo Hendrik; Kagawa, Kiichiro

    2011-01-01

    In laser processing, suitable conditions for laser and gas play important role in ensuring a high quality of processing. To determine suitable conditions, we employed the electromagnetic phenomena associated with laser plasma generation. An electrode circuit was utilised to detect induced current due to the fast electrons propelled from the material during laser material processing. The characteristics of induced current were examined by changing parameters such as supplied voltage, laser pulse energy, number of laser shots, and type of ambient gas. These characteristics were compared with the optical emission characteristics. It was shown that the induced current technique proposed in this study is much more sensitive than the optical method in monitoring laser processing, that is to determine the precise focusing condition, and to accurately determine the moment of completion of laser beam penetration. In this study it was also shown that the induced current technique induced by CW CO 2 laser can be applied in industrial material processing for monitoring the penetration completion in a stainless steel plate drilling process.

  15. Fundamental processes of fuel removal by cyclotron frequency range plasmas and integral scenario for fusion application studied with carbon co-deposits

    Energy Technology Data Exchange (ETDEWEB)

    Möller, S., E-mail: s.moeller@fz-juelich.de [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Wauters, T. [Laboratory for Plasma Physics, ERM/KMS, TEC Partner, 1000 Brussels (Belgium); Kreter, A. [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Petersson, P.; Carrasco, A.G. [Fusion Plasma Physics, KTH Royal Institute of Technology, Teknikringen 31, 10044 Stockholm (Sweden)

    2015-08-15

    Plasma impact removal using radio frequency heated plasmas is a candidate method to control the co-deposit related tritium inventory in fusion devices. Plasma parameters evolve according to the balance of input power to losses (transport, radiation, collisions). Material is sputtered by the ion fluxes with impact energies defined by the plasma sheath. H{sub 2}, D{sub 2} and {sup 18}O{sub 2} plasmas are produced in the carbon limiter tokamak TEXTOR. Pre-characterised a-C:D layers are exposed to study local removal rates. The D{sub 2} plasma exhibits the highest surface release rate of 5.7 ± 0.9 ∗ 10{sup 19} D/m{sup 2}s. Compared to this the rate of the O{sub 2} plasma is 3-fold smaller due to its 11-fold lower ion flux density. Re-deposition of removed carbon is observed, indicating that pumping and ionisation are limiting the removal in TEXTOR. Presented models can explain the observations and allow tailoring removal discharges. An integral application scenario using ICWC and thermo-chemical removal is presented, allowing to remove 700 g T from a-C:DT co-deposits in 20 h with fusion compatible wall conditions using technical specifications similar to ITER.

  16. Direct reform of graphite oxide electrodes by using ambient plasma for supercapacitor applications

    Science.gov (United States)

    Kim, Ho Jun; Jeong, Hae Kyung

    2017-10-01

    Ambient plasma is applied to graphite oxide electrodes directly to improve electrochemical properties for supercapacitor applications. Surface morphology of the electrodes after the plasma treatment changes dramatically and amount of oxygen reduced significantly, demonstrating a reduction effect on the graphite oxide electrode by the ambient plasma. Equivalent series resistance of the electrode also reduced from 108 Ω to 84 Ω after the plasma treatment. Corresponding specific capacitance, therefore, increases from 0.45 F cm-2 to 0.85 F cm-2, proving that the ambient plasma treatment is very efficient, clean, economic, and environment-friendly method to reform the graphite oxide electrodes directly for the supercapacitor applications.

  17. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  18. Production of stable isotopes utilizing the plasma separation process

    Science.gov (United States)

    Bigelow, T. S.; Tarallo, F. J.; Stevenson, N. R.

    2005-12-01

    A plasma separation process (PSP) is being operated at Theragenics Corporation's®, Oak Ridge, TN, facility for the enrichment of stable isotopes. The PSP utilizes ion cyclotron mass discrimination to separate isotopes on a relatively large scale. With a few exceptions, nearly any metallic element could be processed with PSP. Output isotope enrichment factor depends on natural abundance and mass separation and can be fairly high in some cases. The Theragenics™ PSP facility is believed to be the only such process currently in operation. This system was developed and formerly operated under the US Department of Energy Advanced Isotope Separation program. Theragenics™ also has a laboratory at the PSP site capable of harvesting the isotopes from the process and a mass spectrometer system for analyzing enrichment and product purity. Since becoming operational in 2002, Theragenics™ has utilized the PSP to separate isotopes of several elements including: dysprosium, erbium, gadolinium, molybdenum and nickel. Currently, Theragenics™ is using the PSP for the separation of 102Pd, which is used as precursor for the production of 103Pd. The 103Pd radioisotope is the active ingredient in TheraSeed®, which is used in the treatment of early stage prostate cancer and being investigated for other medical applications. New industrial, medical and research applications are being investigated for isotopes that can be enriched on the PSP. Pre-enrichment of accelerator or reactor targets offers improved radioisotope production. Theragenics operates 14 cyclotrons for proton activation and has access to HFIR at ORNL for neutron activation of radioisotopes.

  19. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    International Nuclear Information System (INIS)

    Chen, Po-Hsun; Chang, Ting-Chang; Chang, Kuan-Chang; Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui; Zheng, Hao-Xuan; Chen, Min-Chen; Sze, Simon M.

    2017-01-01

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O_2 inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O_2 plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O_2 plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O_2 plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O_2 plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O_2 plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  20. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Po-Hsun [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Chang, Kuan-Chang, E-mail: kcchang@pkusz.edu.cn [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); School of Electronic and Computer Engineering, Peking University, Shenzhen 518055 (China); Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Zheng, Hao-Xuan; Chen, Min-Chen [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Sze, Simon M. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 300, Taiwan, ROC (China)

    2017-08-31

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O{sub 2} inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O{sub 2} plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O{sub 2} plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O{sub 2} plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O{sub 2} plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O{sub 2} plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  1. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  2. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  3. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  4. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    International Nuclear Information System (INIS)

    Smietana, M; Koba, M; Mikulic, P; Bock, W J

    2014-01-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs. (paper)

  5. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    Science.gov (United States)

    Smietana, M.; Koba, M.; Mikulic, P.; Bock, W. J.

    2014-11-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs.

  6. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  7. Diagnostics and biomedical applications of radiofrequency plasmas

    International Nuclear Information System (INIS)

    Lazović, Saša

    2012-01-01

    In this paper we present spatial profiles of ion and atomic oxygen concentrations in a large scale cylindrical 13.56 MHz capacitively coupled plasma low pressure reactor suitable for indirect biomedical applications (like treatment of textile to increase antibacterial properties) and direct (treatment of seeds of rare and protected species). Such reactor can easily be used for the sterilization of medical instruments by removing bacteria, spores, prions and fungi as well. We also discuss electrical properties of the system based on the signals obtained by the derivative probes and show the light emission profiles close to the sample platform. In the case of seeds treatment, the desired effect is to plasma etch the outer shell of the seed which will lead to the easier nutrition and therefore increase of the germination. In the case of textile treatment the functionalization is done by bounding atomic oxygen to the surface. It appears that antibacterial properties of the textile are increased by incorporating nanoparticles to the fibres which can successfully be done after the plasma treatment. From these two examples it is obvious that the balance of ion and atomic oxygen concentrations as well as proper choice of ion energy and power delivered to the plasma direct the nature of the plasma treatment.

  8. Plasma nanotechnology: past, present and future

    International Nuclear Information System (INIS)

    Meyyappan, M

    2011-01-01

    Low-temperature plasmas find numerous applications in growth and processing of nanomaterials such as carbon nanotubes, inorganic nanowires and others. This paper provides an overview of the history, current status of the literature, challenges ahead in some of the technical areas and the potential for plasma-grown nanomaterials in various nanotechnology applications.

  9. Metallurgical plasma torches

    International Nuclear Information System (INIS)

    Shapovalov, V.A.; Latash, Yu.V.

    2000-01-01

    The technological equipment for the plasma heating of metals, plasma melting and plasma treatment of the surface is usually developed on the basis of are plasma torches using direct or alternating current. The reasons which partly restrict the industrial application of the plasma torches are the relatively short service life of the electrode (cathode) on which the arc is supported, and the contamination of the treated metal with the products of failure of the electrode. The aim of this work was to determine the reasons for the occurrence of negative phenomena observed in the process of service of plasma torches, and propose suitable approaches to the design of metallurgical plasma torches characterised by a long service life

  10. Plasma vitrification program for radioactive waste treatment

    International Nuclear Information System (INIS)

    Hung, Tsungmin; Tzeng, Chinchin; Kuo, Pingchun

    1998-01-01

    In order to treat radioactive wastes effectively and solve storage problems, INER has developed the plasma arc technology and plasma process for various waste forms for several years. The plasma vitrification program is commenced via different developing stages through nine years. It includes (a) development of non-transferred DC plasma torch, (b) establishment of a lab-scale plasma system with home-made 100kW non-transferred DC plasma torch, (c) testing of plasma vitrification of simulated radioactive wastes, (d) establishment of a transferred DC plasma torch delivering output power more than 800 kW, (e) study of NOx reduction process for the plasma furnace, (f) development of a pilot-scale plasma melting furnace to verify the vitrification process, and (g) constructing a plasma furnace facility in INER. The final goal of the program is to establish a plasma processing plant with capacity of 250 kg/hr to treat the low-level radioactive wastes generated from INER itself and domestic institutes due to isotope applications. (author)

  11. A micro-scale plasma spectrometer for space and plasma edge applications (invited)

    Energy Technology Data Exchange (ETDEWEB)

    Scime, E. E., E-mail: escime@wvu.edu; Keesee, A. M.; Elliott, D. [Department of Physics, West Virginia University, Morgantown, West Virginia 26506-6315 (United States); Dugas, M.; Ellison, S.; Tersteeg, J.; Wagner, G. [Advanced Research Corporation, White Bear Lake, Minnesota 55110 (United States); Barrie, A.; Rager, A. [NASA Goddard Space Flight Center, Greenbelt, Maryland 20771 (United States)

    2016-11-15

    A plasma spectrometer design based on advances in lithography and microchip stacking technologies is described. A series of curved plate energy analyzers, with an integrated collimator, is etched into a silicon wafer. Tests of spectrometer elements, the energy analyzer and collimator, were performed with a 5 keV electron beam. The measured collimator transmission and energy selectivity were in good agreement with design targets. A single wafer element could be used as a plasma processing or fusion first wall diagnostic.

  12. Evaluation of the sensitivity of electro-acoustic measurements for process monitoring and control of an atmospheric pressure plasma jet system

    Energy Technology Data Exchange (ETDEWEB)

    Law, V J [Dublin City University, National Centre of Plasma Science and Technology, Collins Avenue, Glasnevin, Dublin 9, Dublin (Ireland); O' Neill, F T; Dowling, D P, E-mail: vic.law@dcu.ie [School Mechanical and Materials Engineering, University College Dublin, Belfield, Dublin 4 (Ireland)

    2011-06-15

    The development of non-invasive process diagnostic techniques for the control of atmospheric plasmas is a critical issue for the wider adoption of this technology. This paper evaluates the use of a frequency-domain deconvolution of an electro-acoustic emission as a means to monitor and control the plasma formed using an atmospheric pressure plasma jet (APPJ) system. The air plasma system investigated was formed using a PlasmaTreat(TM) OpenAir applicator. Change was observed in the electro-acoustic signal with changes in substrate type (ceramic, steel, polymer). APPJ nozzle to substrate distance and substrate feature size were monitored. The decoding of the electro-acoustic emission yields three subdatasets that are described by three separate emission mechanisms. The three emissions are associated with the power supply fundamental drive frequency and its harmonics, the APPJ nozzle longitudinal mode acoustic emission and its odd overtones, and the acoustic surface reflection that is produced by the impedance mismatch between the discharge and the surface. Incorporating this knowledge into a LabVIEW program facilitated the continuous deconvolution of the electro-acoustic data. This enabled the use of specific frequency band test limits to control the APPJ treatment process which is sensitive to both plasma processing conditions and substrate type and features.

  13. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  14. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  15. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  18. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  19. Influence of process parameters on plasma electrolytic surface treatment of tantalum for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Maciej, E-mail: maciej.sowa@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Woszczak, Maja; Kazek-Kęsik, Alicja [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Dercz, Grzegorz [Institute of Materials Science, University of Silesia, 75 Pułku Piechoty Street 1A, 41-500 Chorzów (Poland); Korotin, Danila M. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Zhidkov, Ivan S. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Kurmaev, Ernst Z. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Cholakh, Seif O. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Basiaga, Marcin [Faculty of Biomedical Engineering, Silesian University of Technology, Gen. de Gaulle’a Street 66, 41-800 Zabrze (Poland); Simka, Wojciech, E-mail: wojciech.simka@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland)

    2017-06-15

    Highlights: • 2-step plasma electrolytic oxidation (PEO) of tantalum was investigated. • PEO coatings surface composition were reflected by the composition of anodizing baths. • Hydrophobic surfaces were obtained from acetate and formate containing baths. • Bioactive phases were identified. - Abstract: This work aims to quantify the effect of anodization voltage and electrolyte composition used during DC plasma electrolytic oxidation (PEO), operated as a 2-step process, on the surface properties of the resulting oxide coatings on tantalum. The first step consisted of galvanostatic anodization (150 mA cm{sup −2}) of the tantalum workpiece up to several limiting voltages (200, 300, 400 and 500 V). After attaining the limiting voltage, the process was switched to voltage control, which resulted in a gradual decrease of the anodic current density. The anodic treatment was realized in a 0.5 M Ca(H{sub 2}PO{sub 2}){sub 2} solution, which was then modified by the addition of 1.15 M Ca(HCOO){sub 2} as well as 1.15 M and 1.5 M Mg(CH{sub 3}COO){sub 2}. The increasing voltage of anodization led to the formation of thicker coatings, with larger pores and enriched with electrolytes species to a higher extent. The solutions containing HCOO{sup −} and CH{sub 3}COO{sup −} ions caused the formation of coatings which were slightly hydrophobic (high contact angle). In the case of the samples anodized up to 500 V, scattered crystalline deposits were observed. Bioactive phases, such as hydroxyapatite, were detected in the treated oxide coatings by XRD and XPS.

  20. Measurement of OH, NO, O and N atoms in helium plasma jet for ROS/RNS controlled biomedical processes

    Science.gov (United States)

    Yonemori, Seiya; Kamakura, Taku; Ono, Ryo

    2014-10-01

    Atmospheric-pressure plasmas are of emerging interest for new plasma applications such as cancer treatment, cell activation and sterilization. In those biomedical processes, reactive oxygen/nitrogen species (ROS/RNS) are said that they play significant role. It is though that active species give oxidative stress and induce biomedical reactions. In this study, we measured OH, NO, O and N atoms using laser induced fluorescence (LIF) measurement and found that voltage polarity affect particular ROS. When negative high voltage was applied to the plasma jet, O atom density was tripled compared to the case of positive applied voltage. In that case, O atom density was around 3 × 1015 [cm-3] at maximum. In contrast, OH and NO density did not change their density depending on the polarity of applied voltage, measured as in order of 1013 and 1014 [cm-3] at maximum, respectively. From ICCD imaging measurement, it could be seen that negative high voltage enhanced secondary emission in plasma bullet propagation and it can affect the effective production of particular ROS. Since ROS/RNS dose can be a quantitative criterion to control plasma biomedical application, those measurement results is able to be applied for in vivo and in vitro plasma biomedical experiments. This study is supported by the Grant-in-Aid for Science Research by the Ministry of Education, Culture, Sport, Science and Technology.

  1. Application of optical phase conjugation to plasma diagnostics (invited)

    International Nuclear Information System (INIS)

    Jahoda, F.C.; Anderson, B.T.; Forman, P.R.; Weber, P.G.

    1985-01-01

    Several possibilities for plasma diagnostics provided by optical phase conjugation and, in particular, self-pumped phase conjugation in barium titanate (BaTiO 3 ) are discussed. These include placing a plasma within a dye laser cavity equipped with a phase conjugate mirror for intracavity absorption measurements, time differential refractometry with high spatial resolution, and simplified real-time holographic interferometry. The principles of phase conjugation with particular reference to photorefractive media and the special advantages of self-pumped phase conjugation are reviewed prior to the discussion of the applications. Distinctions are made in the applications between those for which photorefractive conjugators are essential and those for which they only offer experimental simplification relative to other types of phase conjugators

  2. Modelling of microwave induced plasmas : the interplay between electromagnetism, plasma chemistry and transport

    NARCIS (Netherlands)

    Jimenez-Diaz, M.

    2011-01-01

    In this thesis we report on a theoretical/numerical study that is concerned with Microwave Induced Plasmas (MIPs) in general, and the application of a MIP to the Plasma-activated Chemical Vapour Deposition (PCVD) process that is used at Draka Comteq for the production of optical fibres in

  3. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  4. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  5. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  6. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  7. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  8. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    Science.gov (United States)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  9. Process Performances of 2 ns Pulsed Discharge Plasma

    Science.gov (United States)

    Matsumoto, Takao; Wang, Douyan; Namihira, Takao; Akiyama, Hidenori

    2011-08-01

    Pulsed discharge plasmas have been used to treat exhaust gases. Since pulse duration and the rise time of applied voltage to the discharge electrode has a strong influence on the energy efficiency of pollutant removal, the development of a short-pulse generator is of paramount importance for practical applications. In this work, it is demonstrated that the non thermal plasma produced by the 2 ns pulsed discharge has a higher energy efficiency than the 5 ns pulsed discharge plasma for NO removal and ozone generation. Typically, the NO removal efficiency was 1.0 mol kW-1 h-1 for 70% NO removal (initial NO concentration = 200 ppm, gas flow = 10 L/min). Meanwhile, the ozone yield was 500 g kW-1 h-1 for 20 g/m3 ozone concentration in the case of oxygen feeding. These energy efficiencies are the highest in the literature.

  10. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    Science.gov (United States)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  11. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  12. Computer simulations of plasma-biomolecule and plasma-tissue interactions for a better insight in plasma medicine

    Science.gov (United States)

    Neyts, Erik C.; Yusupov, Maksudbek; Verlackt, Christof C.; Bogaerts, Annemie

    2014-07-01

    Plasma medicine is a rapidly evolving multidisciplinary field at the intersection of chemistry, biochemistry, physics, biology, medicine and bioengineering. It holds great potential in medical, health care, dentistry, surgical, food treatment and other applications. This multidisciplinary nature and variety of possible applications come along with an inherent and intrinsic complexity. Advancing plasma medicine to the stage that it becomes an everyday tool in its respective fields requires a fundamental understanding of the basic processes, which is lacking so far. However, some major advances have already been made through detailed experiments over the last 15 years. Complementary, computer simulations may provide insight that is difficult—if not impossible—to obtain through experiments. In this review, we aim to provide an overview of the various simulations that have been carried out in the context of plasma medicine so far, or that are relevant for plasma medicine. We focus our attention mostly on atomistic simulations dealing with plasma-biomolecule interactions. We also provide a perspective and tentative list of opportunities for future modelling studies that are likely to further advance the field.

  13. Hamiltonian kinetic theory of plasma ponderomotive processes

    International Nuclear Information System (INIS)

    McDonald, S.W.; Kaufman, A.N.

    1981-12-01

    The nonlinear nonresonant interaction of plasma waves and particles is formulated in a Hamiltonian kinetic theory which treats the wave-action and particle distributions on an equal footing, thereby displaying reciprocity relations. In the quasistatic limit, a nonlinear wave-kinetic equation is obtained. The generality of the formalism allows for applications to arbitrary geometry, with the nonlinear effects expressed in terms of the linear susceptibility

  14. Hamiltonian kinetic theory of plasma ponderomotive processes

    International Nuclear Information System (INIS)

    McDonald, S.W.; Kaufman, A.N.

    1982-01-01

    The nonlinear nonresonant interaction of plasma waves and particles is formulated in Hamiltonian kinetic theory which treats the wave-action and particle distributions on an equal footing, thereby displaying reciprocity relations. In the quasistatic limit, a nonlinear wave-kinetic equation is obtained. The generality of the formalism allows for applications to arbitrary geometry, with the nonlinear effects expressed in terms of the linear susceptibility

  15. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  16. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  17. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  18. PREFACE: First International Workshop on Nonequilibrium Processes in Plasma Physics and Studies of Environment

    Science.gov (United States)

    Petrović, Z. Lj; Malović, G.; Tasić, M.; Nikitović, Ž.

    2007-06-01

    This volume is a collection of papers associated with a series of invited lectures presented at the First Workshop on Nonequilibrium processes in Plasma Physics and studies of Environment that was held at Mt Kopaonik in August 2006. The workshop originated as a part of the FP6 COE 026328 which had the basic aim of promoting centers of excellence in Western Balkan countries, to facilitate dissemination of their results and to help them establish themselves in the broader arena of European and international science. So the best way to achieve all those goals was to prepare a workshop associated with the local conference SPIG (Symposium on Physics of Ionized Gases) where the participants could attend sessions in which the host Laboratory presented progress reports and papers and thereby gain a full perspective of our results. At the same time this allowed participants in the COE the opportunity to compare their results with the results of external speakers and to gain new perspectives and knowledge. The program of the workshop was augmented by inviting some of our colleagues who visited the COE in recent years or have an active collaboration with a participating member. In that respect this volume is not only a proceedings of the workshop but a collection of papers related to the topic of the workshop: Non-equilibrium phenomena in plasmas and in the science of our environment. The idea is to offer review articles either summarizing a broader area of published or about to be published work or to give overviews showing preliminary results of the works in progress. The refereeing of the papers consisted of two parts, first in selection of the invitees and second in checking the submitted manuscripts. The papers were refereed to the standard of the Journal. As the program of the COE covers a wide area of topics from application of plasmas in nano- electronics to monitoring and removal of pollutants in the atmosphere, so the program of the workshop covered an even broader

  19. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  20. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  1. The Plasma Hearth Process demonstration project for mixed waste treatment

    International Nuclear Information System (INIS)

    Geimer, R.; Dwight, C.; McClellan, G.

    1994-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the Department of Energy (DOE) Office of Technology Development (OTD) Mixed Waste Integrated Program (MWIP). Testing to date has yielded encouraging results in displaying potential applications for the PHP technology. Early tests have shown that a wide range of waste materials can be readily processed in the PHP and converted to a vitreous product. Waste materials can be treated in their original container as received at the treatment facility, without pretreatment. The vitreous product, when cooled, exhibits excellent performance in leach resistance, consistently exceeding the Environmental Protection Agency (EPA) Toxicity Characteristic Leaching Procedure (TCLP) requirements. Performance of the Demonstration System during test operations has been shown to meet emission requirements. An accelerated development phase, being conducted at both bench- and pilot-scale on both nonradioactive and radioactive materials, will confirm the viability of the process. It is anticipated that, as a result of this accelerated technology development and demonstration phase, the PHP will be ready for a final field-level demonstration within three years

  2. Plasma heating - a comparative overview for future applications

    International Nuclear Information System (INIS)

    Wilhelm, R.

    1989-01-01

    Successful plasma heating is essential in present fusion experiments, for the demonstration of D-T burn in future devices and finally for the fusion reactor itself. This paper discusses the common heating system with respect to their present performance and their applicability to future fusion devices. The comparative discussion is oriented to the various functions of heating, which are: Plasma heating to fusion-relevant parameters and to ignition in future machines, non-inductive, steady-state current drive, plasma profile control, neutral gas breakdown and plasma build-up. In view of these different functions, the potential of neutral beam injection (NBI) and the various schemes of wave heating (ECRH, LH, ICRH and Alfven wave heating) is analyzed in more detail. The analysis includes assessments of the present physical and technical state of these heating methods, and makes suggestions for future developments and about outstanding problems. Specific attention is given to the still critical problem of efficient current drive, especially with respect to further extrapolation towards an economically operating tokamak reactor. Remarks on issues such as reliability, maintenance and economy conclude this comparative overview on plasma heating systems. (orig.)

  3. Non-thermal atmospheric-pressure plasma possible application in wound healing.

    Science.gov (United States)

    Haertel, Beate; von Woedtke, Thomas; Weltmann, Klaus-Dieter; Lindequist, Ulrike

    2014-11-01

    Non-thermal atmospheric-pressure plasma, also named cold plasma, is defined as a partly ionized gas. Therefore, it cannot be equated with plasma from blood; it is not biological in nature. Non-thermal atmospheric-pressure plasma is a new innovative approach in medicine not only for the treatment of wounds, but with a wide-range of other applications, as e.g. topical treatment of other skin diseases with microbial involvement or treatment of cancer diseases. This review emphasizes plasma effects on wound healing. Non-thermal atmospheric-pressure plasma can support wound healing by its antiseptic effects, by stimulation of proliferation and migration of wound relating skin cells, by activation or inhibition of integrin receptors on the cell surface or by its pro-angiogenic effect. We summarize the effects of plasma on eukaryotic cells, especially on keratinocytes in terms of viability, proliferation, DNA, adhesion molecules and angiogenesis together with the role of reactive oxygen species and other components of plasma. The outcome of first clinical trials regarding wound healing is pointed out.

  4. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  5. Method for acquiring pressure measurements in presence of plasma-induced interference for supersonic flow control applications

    International Nuclear Information System (INIS)

    Narayanaswamy, Venkateswaran; Clemens, Noel T; Raja, Laxminarayan L

    2011-01-01

    The operation of pulsed-plasma actuators for flow control is often associated with the presence of charged species in the flow and severe electromagnetic interference with external circuitry. These effects can lead to time-resolved transducer pressure measurements that are contaminated with electromagnetic interference effects or even transducer damage due to the interaction with charged species. A new technique is developed that enables high-bandwidth pressure measurements to be made in the presence of such rapidly switched plasma actuators. The technique is applied for the specific configuration of a pulsed-plasma jet actuator (spark jet) that is used to control the unsteadiness of a shock wave/boundary layer interaction generated by a compression ramp in a Mach 3 flow. The critical component of the technique involves using a pulsed-ground electrode to drain the charged species from the plasma jet before they reach the pressure transducer. The pulsed-ground electrode was shown to drain charged species into the pulsed ground prior to interacting with the transducer, which made it possible to make measurements without damaging the transducer. The resulting signals were still contaminated by electromagnetic interference spikes and so a data-processing technique was used to remove the artifacts and recover a largely uncontaminated power spectrum. The signal processing scheme used interpolation schemes previously developed for laser Doppler velocimetry applications. The data-processing procedure is demonstrated with a benchmark case in which the electromagnetic interference was isolated from the pulsed-plasma jet actuation effect. It is shown that the data-processing procedure removed the contamination from the electromagnetic interference at all frequencies but for the pulsing frequency and its higher harmonics

  6. Technological challenges in thermal plasma production

    International Nuclear Information System (INIS)

    Ramakrishnan, S.

    1995-01-01

    Thermal plasmas, generated by electric arc discharges, are used in a variety of industrial applications. The electric arc is a constricted electrical discharge with a high temperature in the range 6000-25,000 K. These characteristics are useful in plasma cutting, spraying, welding and specific areas of material processing. The thermal plasma technology is an enabling process technology and its status in the market depends upon its advantages over competing technologies. A few technological challenges to enhance the status of plasma technology are to improve the utilisation of the unique characteristics of the electric arc and to provide enhanced control of the process. In particular, new solutions are required for increasing the plasma-material interaction, controlling the electrode roots and controlling the thermal power generated by the arcing process. In this paper, the advantages of plasma technology, its constraints and future challenges for technology developments are highlighted. 36 refs., 14 figs

  7. Plasma chromatography

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    This book examines the fundamental theory and various applications of ion mobility spectroscopy. Plasma chromatography developed from research on the diffusion and mobility of ions. Topics considered include instrument design and description (e.g., performance, spectral interpretation, sample handling, mass spectrometry), the role of ion mobility in plasma chromatography (e.g., kinetic theory of ion transport), atmospheric pressure ionization (e.g., rate equations), the characterization of isomers by plasma chromatography (e.g., molecular ion characteristics, polynuclear aromatics), plasma chromatography as a gas chromatographic detection method (e.g., qualitative analysis, continuous mobility monitoring, quantitative analysis), the analysis of toxic vapors by plasma chromatography (e.g., plasma chromatograph calibration, instrument control and data processing), the analysis of semiconductor devices and microelectronic packages by plasma chromatography/mass spectroscopy (e.g., analysis of organic surface contaminants, analysis of water in sealed electronic packages), and instrument design and automation (hardware, software)

  8. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  9. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  10. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  11. Relativistic plasma turbulence and its application to pulsar phenomena

    International Nuclear Information System (INIS)

    Hinata, S.

    1976-01-01

    A turbulent plasma model of pulsars which has the potential of providing a self-regulatory mechanism for producing an electron-positron plasma over the polar caps, as well as the coherency of the radio wave emission, is analyzed. Turbulent plasma properties including the kinetic and electrostatic energy densities, the wavelength of the most unstable mode, and the effective collision frequency due to the excited electric field, are obtained and applied to the pulsar situation. Since these properties depend on the momentum distribution of the plasma particles, model calculations have been carried out with simple momentum distribution functions. The radio luminosity due to turbulence (bunching or otherwise) turned out to be either insufficient or unclear at the moment for these simple momentum distributions. This indicates that a further investigation of turbulence processes with the self-consistently determined momentum distribution is needed. This is left for future analysis, because entirely different processes (e.g. trapping) are likely to dominate the physics as is demonstrated for one of the model distribution functions. In addition to the above mentioned model, we examine some wave propagation properties in a relativistic electron-positron plasma immersed in a strong magnetic field

  12. Characterizations of atmospheric pressure low temperature plasma jets and their applications

    Science.gov (United States)

    Karakas, Erdinc

    2011-12-01

    Atmospheric pressure low temperature plasma jets (APLTPJs) driven by short pulses have recently received great attention because of their potential in biomedical and environmental applications. This potential is due to their user-friendly features, such as low temperature, low risk of arcing, operation at atmospheric pressure, easy handheld operation, and low concentration of ozone generation. Recent experimental observations indicate that an ionization wave exists and propagates along the plasma jet. The plasma jet created by this ionization wave is not a continuous medium but rather consists of a bullet-like-structure known as "Plasma Bullet". More interestingly, these plasma bullets actually have a donut-shaped makeup. The nature of the plasma bullet is especially interesting because it propagates in the ambient air at supersonic velocities without any externally applied electric field. In this dissertation, experimental insights are reported regarding the physical and chemical characteristics of the APLTPJs. The dynamics of the plasma bullet are investigated by means of a high-speed ICCD camera. A plasma bullet propagation model based on the streamer theory is confirmed with adequate explanations. It is also found that a secondary discharge, ignited by the charge accumulation on the dielectric electrode surfaces at the end of the applied voltage, interrupts the plasma bullet propagation due to an opposing current along the ionization channel. The reason for this interesting phenomenon is explained in detail. The plasma bullet comes to an end when the helium mole fraction along the ionization channel, or applied voltage, or both, are less than some critical values. The presence of an inert gas channel in the surrounding air, such as helium or argon, has a critical role in plasma bullet formation and propagation. For this reason, a fluid dynamics study is employed by a commercially available simulation software, COMSOL, based on finite element method. Spatio

  13. Platelet Rich Plasma- mechanism of action and clinical applications

    OpenAIRE

    Cristina N. Cozma; Laura Raducu; Cristian R. Jecan

    2016-01-01

    Platelet-rich plasma (PRP) is a blood-derived fraction containing high level of platelets, a high concentration of leukocytes and growth factors. PRP therapy has been growing as a viable treatment alternative for a number of clinical applications and has a potential benefit for use in wound healing. Nowadays platelet rich plasma is used in stimulating wound healing in skin and soft tissue ulcerations, accelerating wound healing in diabetic patients and facilitating bone proliferation in ortho...

  14. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  15. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  16. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  17. Formation of Imploding Plasma Liners for HEDP and MIF Application

    Energy Technology Data Exchange (ETDEWEB)

    Witherspoon, F. Douglas [HyperV Technologies Corp., Chantilly, VA (United States); Case, Andrew [HyperV Technologies Corp., Chantilly, VA (United States); Brockington, Samuel [HyperV Technologies Corp., Chantilly, VA (United States); Messer, Sarah [HyperV Technologies Corp., Chantilly, VA (United States); Bomgardner, Richard [HyperV Technologies Corp., Chantilly, VA (United States); Phillips, Mike [HyperV Technologies Corp., Chantilly, VA (United States); Wu, Linchun [HyperV Technologies Corp., Chantilly, VA (United States); Elton, Ray [Univ. of Maryland, College Park, MD (United States)

    2014-11-11

    Plasma jets with high density and velocity have a number of important applications in fusion energy and elsewhere, including plasma refueling, disruption mitigation in tokamaks, magnetized target fusion, injection of momentum into centrifugally confined mirrors, plasma thrusters, and high energy density plasmas (HEDP). In Magneto-Inertial Fusion (MIF), for example, an imploding material liner is used to compress a magnetized plasma to fusion conditions and to confine the resulting burning plasma inertially to obtain the necessary energy gain. The imploding shell may be solid, liquid, gaseous, or a combination of these states. The presence of the magnetic field in the target plasma suppresses thermal transport to the plasma shell, thus lowering the imploding power needed to compress the target to fusion conditions. This allows the required imploding momentum flux to be generated electromagnetically using off-the-shelf pulsed power technology. Practical schemes for standoff delivery of the imploding momentum flux are required and are open topics for research. One approach for accomplishing this, called plasma jet driven magneto-inertial fusion (PJMIF), uses a spherical array of pulsed plasma guns to create a spherically imploding shell of very high velocity, high momentum flux plasma. This approach requires development of plasma jet accelerators capable of achieving velocities of 50-200 km/s with very precise timing and density profiles, and with high total mass and density. Low-Z plasma jets would require the higher velocities, whereas very dense high-Z plasma shells could achieve the goal at velocities of only 50-100 km/s. In this report, we describe our work to develop the pulsed plasma gun technology needed for an experimental scientific exploration of the PJMIF concept, and also for the other applications mentioned earlier. The initial goal of a few hundred of hydrogen at 200 km/s was eventually replaced with accelerating 8000 μg of argon or xenon to 50 km

  18. Platelet Rich Plasma- mechanism of action and clinical applications

    Directory of Open Access Journals (Sweden)

    Cristina N. Cozma

    2016-11-01

    Full Text Available Platelet-rich plasma (PRP is a blood-derived fraction containing high level of platelets, a high concentration of leukocytes and growth factors. PRP therapy has been growing as a viable treatment alternative for a number of clinical applications and has a potential benefit for use in wound healing. Nowadays platelet rich plasma is used in stimulating wound healing in skin and soft tissue ulcerations, accelerating wound healing in diabetic patients and facilitating bone proliferation in orthopedic and trauma surgery. It has also applications in maxillofacial surgery, spinal surgery, plastic and esthetic surgery, heart surgery and burns. This review of the literature shows a limited number of studies realized on humans that sustain PRP applications in orthopedic and plastic surgery. As the use of PRP increases, more properly structured clinical studies are necessary to confirm the results and to establish clearly the techniques of preparing, the conditions and the clinical indications of applying this therapy.

  19. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  20. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  1. Nuclear measurements, techniques and instrumentation industrial applications plasma physics and nuclear fusion. 1980-1994. International Atomic Energy Agency publications

    International Nuclear Information System (INIS)

    1995-04-01

    This catalogue lists all sales publications of the International Atomic Energy Agency dealing with Nuclear Measurements, Techniques and Instrumentation, with Industrial Applications (of Nuclear Physics and Engineering), and with Plasma Physics and Nuclear Fusion, issued during the period 1980-1994. Most publications are in English. Proceedings of conferences, symposia, and panels of experts may contain some papers in other languages (French, Russian, or Spanish), but all papers have abstracts in English. Price quotes are in Austrian Schillings, do not include local taxes, and are subject to change without notice. Contents cover the three main categories of (i) Nuclear Measurements, Techniques and Instrumentation (Physics, Chemistry, Dosimetry Techniques, Nuclear Analytical Techniques, Research Reactors and Particle Accelerator Applications, Nuclear Data); (ii) Industrial Applications (Radiation Processing, Radiometry, Tracers); and (iii) Plasma Physics and Nuclear Fusion

  2. Nuclear measurements, techniques and instrumentation industrial applications plasma physics and nuclear fusion, 1980-1993. International Atomic Energy Agency publications

    International Nuclear Information System (INIS)

    1994-01-01

    This catalogue lists all sales publications of the International Atomic Energy Agency dealing with Nuclear Measurements, Techniques and Instrumentation, with Industrial Applications (of Nuclear Physics and Engineering), and with Plasma Physics and Nuclear Fusion, issued during the period 1980-1993. Most publications are in English. Proceedings of conferences, symposia, and panels of experts may contain some papers in other languages (French, Russian, or Spanish), but all papers have abstracts in English. Price quotes are in Austrian Schillings, do not include local taxes, and are subject to change without notice. Contents cover the three main categories of (I) Nuclear Measurements, Techniques and Instrumentation (Physics, Chemistry, Dosimetry Techniques, Nuclear Analytical Techniques, Research Reactors and Particle Accelerator Applications, Nuclear Data); (ii) Industrial Applications (Radiation Processing, Radiometry, Tracers); and (iii) Plasma Physics and Nuclear Fusion

  3. Time-dependent plasma behavior triggered by a pulsed electron gun under conditions of beam-plasma-discharge

    International Nuclear Information System (INIS)

    Szuszczewicz, E.P.; Lin, C.S.

    1982-01-01

    This chapter reports on experiments whose purpose was to simulate spaceborne applications of energetic electron guns while exploring the ''in situ'' diagnostics of time-dependent beam-plasma behavior under pulsed electron gun conditions. Beam-plasma-discharge (BPD), the BPD afterglow that exists after gun-pulse termination, and the plasma decay process are considered. It is concluded that there is a rapid enhancement in plasma density as the gas turns on; that during the pulse-ON time a quasi-steady-state BPD can be maintained with characteristics identical with its dc counterpart; that in the period immediately following gun-pulse termination the plasma loss process is dominated by cross-field radial diffusion; and that the afterglow plasma is within + or -10% of being an isodensity contour

  4. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  5. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  6. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  7. Preliminary degradation process study of infectious biological waste in a 5 k W thermal plasma equipment.; Estudio Preliminar de Proceso de degradacion de residuos biologico-infecciosos en un equipo de plasma termico de 5 kW

    Energy Technology Data Exchange (ETDEWEB)

    Xochihua S M, M C

    1998-12-31

    This work is a preliminary study of infectious biological waste degradation process by thermal plasma and was made in Thermal Plasma Applications Laboratory of Environmental Studies Department of the National Institute of Nuclear Research (ININ). Infectious biological waste degradation process is realized by using samples such polyethylene, cotton, glass, etc., but the present study scope is to analyze polyethylene degradation process with mass and energy balances involved. Degradation method is realized as follow: a polyethylene sample is put in an appropriated crucible localized inside a pyrolysis reactor chamber, the plasma jet is projected to the sample, by the pyrolysis phenomena the sample is degraded into its constitutive particles: carbon and hydrogen. Air was utilized as a recombination gas in order to obtain the higher percent of CO{sub 2} if amount of O{sub 2} is greater in the recombination gas, the CO generation is reduced. The effluent gases of exhaust pyrolysis reactor through are passed through a heat exchanger to get cooled gases, the temperature water used is 15 Centigrade degrees. Finally the gases was tried into absorption tower with water as an absorbent fluid. Thermal plasma degradation process is a very promising technology, but is necessary to develop engineering process area to avail all advantages of thermal plasma. (Author).

  8. Physics and application of plasmas based on pulsed power technology

    International Nuclear Information System (INIS)

    Hotta, Eiki; Ozaki, Tetsuo

    2012-04-01

    The papers presented at the symposium on 'Physics and Application of Plasmas Based on Pulsed Power Technology' held on December 21-22, 2010 at National Institute of Fusion Science are collected. The papers in this proceeding reflect the current status and progress in the experimental and theoretical researches on high power particle beams and high energy density plasmas produced by pulsed power technology. (author)

  9. Thomson, Raman and Rayleigh scattering on atmospheric plasma jets

    NARCIS (Netherlands)

    Gessel, van A.F.H.

    2010-01-01

    Non-equilibrium atmospheric pressure plasma jets are the subject of growing interest, due to their applicability in many fields, including material processing, surface treatment and medical applications. However the plasma operates in contact with air, thus species like oxygen and nitrogen diffuse

  10. Decomposition mechanism of trichloroethylene based on by-product distribution in the hybrid barrier discharge plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Han, Sang-Bo [Industry Applications Research Laboratory, Korea Electrotechnology Research Institute, Changwon, Kyeongnam (Korea, Republic of); Oda, Tetsuji [Department of Electrical Engineering, The University of Tokyo, Tokyo 113-8656 (Japan)

    2007-05-15

    The hybrid barrier discharge plasma process combined with ozone decomposition catalysts was studied experimentally for decomposing dilute trichloroethylene (TCE). Based on the fundamental experiment for catalytic activities on ozone decomposition, MnO{sub 2} was selected for application in the main experiments for its higher catalytic abilities than other metal oxides. A lower initial TCE concentration existed in the working gas; the larger ozone concentration was generated from the barrier discharge plasma treatment. Near complete decomposition of dichloro-acetylchloride (DCAC) into Cl{sub 2} and CO{sub x} was observed for an initial TCE concentration of less than 250 ppm. C=C {pi} bond cleavage in TCE gave a carbon single bond of DCAC through oxidation reaction during the barrier discharge plasma treatment. Those DCAC were easily broken in the subsequent catalytic reaction. While changing oxygen concentration in working gas, oxygen radicals in the plasma space strongly reacted with precursors of DCAC compared with those of trichloro-acetaldehyde. A chlorine radical chain reaction is considered as a plausible decomposition mechanism in the barrier discharge plasma treatment. The potential energy of oxygen radicals at the surface of the catalyst is considered as an important factor in causing reactive chemical reactions.

  11. Industrial application of electron sources with plasma emitters

    CERN Document Server

    Belyuk, S I; Rempe, N G

    2001-01-01

    Paper contains a description, operation, design and parameters of electron sources with plasma emitters. One presents examples of application of these sources as part of automated electron-beam welding lines. Paper describes application of such sources for electron-beam deposition of composite powders. Electron-beam deposition is used to rebuild worn out part and to increase strength of new parts of machines and tools. Paper presents some examples of rebuilding part and the advantages gained in this case

  12. Characterization of Wet Air Plasma Jet Powered by Sinusoidal High Voltage and Nanosecond Pulses for Plasma Agricultural Application

    Science.gov (United States)

    Takashima, Keisuke; Shimada, Keisuke; Konishi, Hideaki; Kaneko, Toshiro

    2015-09-01

    Not only for the plasma sterilization but also for many of plasma life-science applications, atmospheric pressure plasma devices that allowed us to control its state and reactive species production are deserved to resolve the roles of the chemical species. Influence of the hydroxyl radical and ozone on germination of conidia of a strawberry pathogen is presented. Water addition to air plasma jet significantly improves germination suppression performance, while measured reactive oxygen species (ROS) are reduced. Although the results show a negative correlation between ROS and the germination suppression, this infers the importance of chemical composition generated by plasma. For further control of the plasma product, a plasma jet powered by sinusoidal high voltage and nanosecond pulses is developed and characterized with the voltage-charge Lissajous. Control of breakdown phase and discharge power by pulse-imposed phase is presented. This work is supported by JSPS KAKENHI Grant-in-Aid for Young Scientists (B) Grant Number 15K17480 and Exploratory Research Grant Number 23644199.

  13. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  14. Synthesis and Characterization of Calcium Phosphate Powders for Biomedical Applications by Plasma Spray Coating

    OpenAIRE

    Sasidharan Pillai, Rahul

    2015-01-01

    This PhD work mainly focus on the synthesis and characterization of calcium phosphate powders for plasma spray coating. The preparation of high temperature phase stabilized βTCP and HA/βTCP powders for plasma spray coating applications has been the topic of investigation. Nowadays plasma sprayed coatings are widely used for biomedical applications especially in the dental and orthopaedic implantation field. Previously Ti based alloys were widely used for the orthopaedic and dental implant ap...

  15. Design and development of plasma antenna for wi-fi application | Ja ...

    African Journals Online (AJOL)

    In this work the commercial fluorescent lamp was chosen because it was low cost to produce plasma element. The plasma antenna in this research was made from fluorescent lamp that functioned as a radiating element with target frequency at 2.4 GHz for Wi-Fi application. The commercial fluorescent lamp consisted of ...

  16. Adaptive plasma for cancer therapy: physics, mechanism and applications

    Science.gov (United States)

    Keidar, Michael

    2017-10-01

    One of the most promising applications of cold atmospheric plasma (CAP) is the cancer therapy. The uniqueness of plasma is in its ability to change composition in situ. Plasma self-organization could lead to formation of coherent plasma structures. These coherent structures tend to modulate plasma chemistry and composition, including reactive species, the electric field and charged particles. Formation of coherent plasma structures allows the plasma to adapt to external boundary conditions, such as different cells types and their contextual tissues. In this talk we will explore possibilities and opportunities that the adaptive plasma therapeutic system might offer. We shall define such an adaptive system as a plasma device that is able to adjust the plasma composition to obtain optimal desirable outcomes through its interaction with cells and tissues. The efficacy of cold plasma in a pre-clinical model of various cancer types such as lung, bladder, breast, head, neck, brain and skin has been demonstrated. Both in-vitro and in-vivo studies revealed that cold plasmas selectively kill cancer cells. Recently mechanism of plasma selectivity based on aquaporin hypothesis has been proposed. Aquaporins (AQPs) are the confirmed membrane channels of H2O2 and other large molecules. We have demonstrated that the anti-cancer capacity of plasma could be inhibited by silencing the expression of AQPs. Additional possible cell feedback mechanism was recently discovered. It is associated with production of reactive species during direct CAP treatment by cancer cells. Selective production of hydrogen peroxide by different cells can lead to adaptation of chemistry at the plasma-cell interface based on the cellular input. In particular we have found that the discharge voltage is an important factor affecting the ratio of reactive oxygen species to reactive nitrogen species in the gas phase and this correlates well with effect of hydrogen peroxide production by cells. This work was

  17. Potential applications of fusion neutral beam facilities for advanced material processing

    International Nuclear Information System (INIS)

    Williams, J.M.; Tsai, C.C.; Stirling, W.L.; Whealton, J.H.

    1994-01-01

    Surface processing techniques involving high energy ion implantation have achieved commercial success for semiconductors and biomaterials. However, wider use has been limited in good part by economic factors, some of which are related to the line-of-sight nature of the beam implantation process. Plasma source ion implantation is intended to remove some of the limitations imposed by directionality of beam systems and also to help provide economies of scale. The present paper will outline relevant technologies and areas of expertise that exist at Oak Ridge National Laboratory in relation to possible future needs in materials processing. Experience in generation of plasmas, control of ionization states, pulsed extraction, and sheath physics exists. Contributions to future technology can be made either for the immersion mode or for the extracted beam mode. Existing facilities include the High Power Test Facility, which could conservatively operate at 1 A of continuous current at 100 kV delivered to areas of about 1 m 2 . Higher instantaneous voltages and currents are available with a reduced duty cycle. Another facility, the High Heat Flux Facility can supply a maximum of 60 kV and currents of up to 60 A for 2 s on a 10% duty cycle. Plasmas may be generated by use of microwaves, radio-frequency induction or other methods and plasma properties may be tailored to suit specific needs. In addition to ion implantation of large steel components, foreseeable applications include ion implantation of polymers, ion implantation of Ti alloys, Al alloys, or other reactive surfaces

  18. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  19. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  20. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  1. ''Dusty plasmas''

    International Nuclear Information System (INIS)

    Tsytovich, V.N.; Bingham, R.; Angelis, U. de

    1989-09-01

    The field of ''dusty plasmas'' promises to be a very rewarding topic of research for the next decade or so, not only from the academic point of view where the emphasis is on developing the theory of the often complex collective and non-linear processes, but also from the point of view of applications in astrophysics, space physics, environmental and energy research. In this ''comment'' we should like to sketch the current development of this fast growing and potentially very important research area. We will discuss the new features of ''dusty'' plasmas in the most general terms and then briefly mention some successful applications and effects which have already been examined. (author)

  2. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  3. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  4. Physics and applications of micro and fast z-pinch plasmas

    International Nuclear Information System (INIS)

    Masugata, Katsumi

    2003-07-01

    This is the proceedings of symposium on 'Physics and Application of Micro and Fast z-Pinch Plasma' held at National Institute for Fusion Science. Recent progress of experimental and theoretical works on high energy density plasmas produced by pulsed power is presented. Separate abstracts were presented for 4 of the papers in this report. The remaining 14 were considered outside the subject scope of INIS. (J.P.N.)

  5. Application of tungsten for plasma limiters in TEXTOR

    International Nuclear Information System (INIS)

    Tanabe, T.; Wada, M.; Ohgo, T.; Philipps, V.; Rubel, M.; Huber, A.; Seggern, J. von; Ohya, K.; Pospieszczyk, A.; Schweer, B.

    2000-01-01

    Three different types of W limiters were exposed in the TEXTOR plasma and the response of the plasma and materials performance of the limiters were investigated. - A W bulk limiter operated with preheating above 800 K withstood a plasma heat load of about ∼20 MW/m 2 for a few seconds with some slight surface melting during the highest heat load shot. However, it was severely damaged when operated at around 500 K. - A C/W twin test limiter, half made of bulk W and the other half of graphite (EK-98) gave very useful information on how low- and high-Z materials behave under conditions of simultaneous utilization as PFM such as cross-contamination and the influence of a large mass difference on hydrogen reflection and deposition. - Two sets of main poloidal W limiters made of vacuum vapor sprayed (VPS)-W deposited on graphite (IG-430U) with a Re interlayer could absorb about 60% of the total convection heat and the ohmic plasma with a density as high as 5 x 10 13 cm -3 was sustained. Most of the VPS-W coated limiters tolerated a heat load of ∼20 MW/m 2 . This series of W limiters experiments in TEXTOR has shown that W is applicable as a PFM, if its central accumulation is avoided by NBI and/or ICRH heating. Nevertheless, some concerns still remain, including difficulty of plasma start-up, W behavior in higher temperature plasmas, and materials' selection

  6. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  7. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  8. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  9. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  10. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  11. Effects of pulsed electric field on ULQ and RFP plasmas

    International Nuclear Information System (INIS)

    Watanabe, M.; Saito, K.; Suzuki, T.

    1997-01-01

    Dynamo activity and self-organization processes are investigated using the application of pulsed poloidal and toroidal electric fields on ULQ and RFP plasmas. Synchronized to the application of the pulsed electric fields, the remarkable responses of the several plasma parameters are observed. The plasma has a preferential magnetic field structure, and the external perturbation activates fluctuation to maintain the structure through dynamo effect. This process changes the total dissipation with the variation of magnetic helicity in the system, showing that self organization accompanies an enhanced dissipation. (author)

  12. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  13. Role of process conditions on the microstructure, stoichiometry and functional performance of atmospheric plasma sprayed La(Sr)MnO3 coatings

    Science.gov (United States)

    Han, Su Jung; Chen, Yikai; Sampath, Sanjay

    2014-08-01

    Strontium doped lanthanum manganite (LSM) perovskite coatings were produced via atmospheric plasma spray technique to examine their applicability as electrically conductive coatings to protect chromium-poisoning of cathode side metallic interconnects in solid oxide fuel cells. Various plasma spray process conditions were manipulated including plasma power, total gas flow and content of H2 in the plasma gas in order to understand their effects on coating properties as well as efficacy as a protectant against Cr-poisoning. In-flight temperatures and velocities of spray particles were monitored for the various plasma spray conditions enabling assessment of thermal and kinetic energies of LSM particles. As anticipated, coating density improves with increasing thermal and/or kinetic energies of the LSM particles. However, the LSM particles also experienced significant phase decomposition at higher thermal exposure and longer residence time conditions. Due to preferential loss of oxygen and manganese, La2O3 phase is also formed under certain processing regimes. The resultant mixed-phase coating is ineffective both from electrical transport and as a protective coating for the metallic interconnect. Concomitantly, coatings with limited decomposition show excellent conductivity and protection characteristics demonstrating the need for mechanism driven process optimization for these functional oxide coatings.

  14. Room-temperature atmospheric pressure plasma plume for biomedical applications

    International Nuclear Information System (INIS)

    Laroussi, M.; Lu, X.

    2005-01-01

    As low-temperature nonequilibrium plasmas come to play an increasing role in biomedical applications, reliable and user-friendly sources need to be developed. These plasma sources have to meet stringent requirements such as low temperature (at or near room temperature), no risk of arcing, operation at atmospheric pressure, preferably hand-held operation, low concentration of ozone generation, etc. In this letter, we present a device that meets exactly such requirements. This device is capable of generating a cold plasma plume several centimeters in length. It exhibits low power requirements as shown by its current-voltage characteristics. Using helium as a carrier gas, very little ozone is generated and the gas temperature, as measured by emission spectroscopy, remains at room temperature even after hours of operations. The plasma plume can be touched by bare hands and can be directed manually by a user to come in contact with delicate objects and materials including skin and dental gum without causing any heating or painful sensation

  15. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  16. Large amplitude waves and fields in plasmas

    International Nuclear Information System (INIS)

    Angelis, U. de; Naples Univ.

    1990-02-01

    In this review, based mostly on the results of the recent workshop on ''Large Amplitude Waves and Fields in Plasmas'' held at ICTP (Trieste, Italy) in May 1989 during the Spring College on Plasma Physics, I will mostly concentrate on underdense, cold, homogeneous plasmas, discussing some of the alternative (to fusion) uses of laser-plasma interaction. In Part I an outline of some basic non-linear processes is given, together with some recent experimental results. The processes are chosen because of their relevance to the applications or because new interesting developments have been reported at the ICTP workshop (or both). In Part II the excitation mechanisms and uses of large amplitude plasma waves are presented: these include phase-conjugation in plasmas, plasma based accelerators (beat-wave, plasma wake-field and laser wake-field), plasma lenses and plasma wigglers for Free Electron Lasers. (author)

  17. Progress in the applicability of plasma X-ray lasers

    Energy Technology Data Exchange (ETDEWEB)

    Kuehl, T., E-mail: T.Kuehl@gsi.de; Aurand, B.; Bagnoud, V.; Ecker, B.; Eisenbarth, U. [GSI (Germany); Guilbaud, O. [Universite Paris Sud (France); Fils, J.; Goette, S. [GSI (Germany); Habib, J. [Universite Paris Sud (France); Hochhaus, D.; Javorkova, D. [GSI (Germany); Neumayer, P. [Extreme Matter Institute, EMMI (Germany); Kazamias, S.; Pittman, M.; Ros, D. [Universite Paris Sud (France); Seres, J.; Spielmann, Ch. [Friedrich Schiller-University (Germany); Zielbauer, B.; Zimmer, D. [GSI (Germany)

    2010-02-15

    Proposed as satellite-based weapons during the 1980s, X-ray lasing was for a long time only achieved with enormous amounts of pump energy in either nuclear explosions or at kilojoule-class laser installations. During the last few years a tremendous development was achieved, most visible in the realisation of the FEL lasers at DESY and SLAC. As important for a wider applicability is the enormous reduction in pump energy for laser pumped plasma X-ray lasers, which now brings such devices into the range of applications for diagnostics and spectroscopy even in smaller laboratories. Main developments were the transient excitation scheme and the optimized pumping concepts. This paper concentrates on developments at the GSI Helmholtzcenter at Darmstadt aiming towards reliable X-ray laser sources in the range from 50 to several 100 eV. The main driving forces for the laser development at GSI are the possible application for the spectroscopy of Li-like ions in the storage ring ESR and the future storage ring NESR at FAIR, and the interest in novel plasma diagnostics.

  18. Progress in the applicability of plasma X-ray lasers

    International Nuclear Information System (INIS)

    Kuehl, T.; Aurand, B.; Bagnoud, V.; Ecker, B.; Eisenbarth, U.; Guilbaud, O.; Fils, J.; Goette, S.; Habib, J.; Hochhaus, D.; Javorkova, D.; Neumayer, P.; Kazamias, S.; Pittman, M.; Ros, D.; Seres, J.; Spielmann, Ch.; Zielbauer, B.; Zimmer, D.

    2010-01-01

    Proposed as satellite-based weapons during the 1980s, X-ray lasing was for a long time only achieved with enormous amounts of pump energy in either nuclear explosions or at kilojoule-class laser installations. During the last few years a tremendous development was achieved, most visible in the realisation of the FEL lasers at DESY and SLAC. As important for a wider applicability is the enormous reduction in pump energy for laser pumped plasma X-ray lasers, which now brings such devices into the range of applications for diagnostics and spectroscopy even in smaller laboratories. Main developments were the transient excitation scheme and the optimized pumping concepts. This paper concentrates on developments at the GSI Helmholtzcenter at Darmstadt aiming towards reliable X-ray laser sources in the range from 50 to several 100 eV. The main driving forces for the laser development at GSI are the possible application for the spectroscopy of Li-like ions in the storage ring ESR and the future storage ring NESR at FAIR, and the interest in novel plasma diagnostics.

  19. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  20. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  1. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  2. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  3. BOOK REVIEW: Introduction to Plasma Physics: With Space and Laboratory Applications

    Science.gov (United States)

    Browning, P. K.

    2005-07-01

    A new textbook on plasma physics must be very welcome, as this will encourage the teaching of courses on the subject. This book is written by two experts in their fields, and is aimed at advanced undergraduate and postgraduate courses. There are of course many other plasma physics textbooks available. The niche which this particular book fills is really defined by its subtitle: that is, `with space and laboratory applications'. This differs from most other books which tend to emphasise either space or fusion applications (but not both) or to concentrate only on general theory. Essentially, the emphasis here is on fundamental plasma physics theory, but applications are given from time to time. For example, after developing Alfvén wave theory, observations of Alfvén waves in the solar wind and in the Jovian magnetosphere are presented; whilst ion acoustic cylcotron waves are illustrated by data from a laboratory Q machine. It is fair to say that examples from space seem to predominate. Nevertheless, the approach of including a broad range of applications is very good from an educational point of view, and this should help to train a generation of students with a grasp of fundamental plasma physics who can work in a variety of research fields. The subject coverage of the book is fairly conventional and there are no great surprises. It begins, inevitably, with a discussion of plasma parameters (Debye length etc) and of single particle motions. Both kinetic theory and magnetohydrodynamics are introduced. Waves are quite extensively discussed in several chapters, including both cold and hot plasmas, magnetised and unmagnetised. Nonlinear effects—a large subject!—are briefly discussed. A final chapter deals with collisions in fully ionised plasmas. The choice of contents of a textbook is always something of a matter of personal choice. It is easy to complain about what has been left out, and everyone has their own favourite topics. With that caveat, I would question

  4. Plasma core reactor applications

    International Nuclear Information System (INIS)

    Latham, T.S.; Rodgers, R.J.

    1976-01-01

    Analytical and experimental investigations are being conducted to demonstrate the feasibility of fissioning uranium plasma core reactors and to characterize space and terrestrial applications for such reactors. Uranium hexafluoride (UF 6 ) fuel is injected into core cavities and confined away from the surface by argon buffer gas injected tangentially from the peripheral walls. Power, in the form of thermal radiation emitted from the high-temperature nuclear fuel, is transmitted through fused-silica transparent walls to working fluids which flow in axial channels embedded in segments of the cavity walls. Radiant heat transfer calculations were performed for a six-cavity reactor configuration; each cavity is approximately 1 m in diameter by 4.35 m in length. Axial working fluid channels are located along a fraction of each cavity peripheral wall

  5. Non-equilibrium plasma chemistry at high pressure and its applications

    International Nuclear Information System (INIS)

    Bai Xiyao; Zhang Zhitao; Bai Mindong; Zhu Qiaoying

    2000-01-01

    A review is presented of research and development of gas discharge and non-equilibrium plasma including, new ideas of non-equilibrium plasma at high gas pressure. With special technology, strong electric fields (>400 Td) can be achieved by which electrons are accelerated suddenly, becoming high energy electrons (> 10 eV) at high pressure. On impact with the electrons, the gas molecules dissociate into ions, atomic ions, atoms and free radicals, and new substances or molecules can be synthesized through custom design. Chemical reaction difficult to achieve by conventional method can be realized or accelerated. Non-equilibrium plasma chemistry at high pressure has wide application prospects

  6. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  7. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  8. Influence of Bondcoat Spray Process on Lifetime of Suspension Plasma-Sprayed Thermal Barrier Coatings

    Science.gov (United States)

    Gupta, M.; Markocsan, N.; Li, X.-H.; Östergren, L.

    2018-01-01

    Development of thermal barrier coatings (TBCs) manufactured by suspension plasma spraying (SPS) is of high commercial interest as SPS has been shown capable of producing highly porous columnar microstructures similar to the conventionally used electron beam-physical vapor deposition. However, lifetime of SPS coatings needs to be improved further to be used in commercial applications. The bondcoat microstructure as well as topcoat-bondcoat interface topography affects the TBC lifetime significantly. The objective of this work was to investigate the influence of different bondcoat deposition processes for SPS topcoats. In this work, a NiCoCrAlY bondcoat deposited by high velocity air fuel (HVAF) was compared to commercial vacuum plasma-sprayed NiCoCrAlY and PtAl diffusion bondcoats. All bondcoat variations were prepared with and without grit blasting the bondcoat surface. SPS was used to deposit the topcoats on all samples using the same spray parameters. Lifetime of these samples was examined by thermal cyclic fatigue testing. Isothermal heat treatment was performed to study bondcoat oxidation over time. The effect of bondcoat deposition process and interface topography on lifetime in each case has been discussed. The results show that HVAF could be a suitable process for bondcoat deposition in SPS TBCs.

  9. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  10. Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing

    International Nuclear Information System (INIS)

    Lee, Ho-Jun; Kim, Yun-Gi

    2012-01-01

    The characteristics of weakly magnetized inductively coupled plasma (MICP) are investigated using a self-consistent simulation based on the drift–diffusion approximation with anisotropic transport coefficients. MICP is a plasma source utilizing the cavity mode of the low-frequency branch of the right-hand circularly polarized wave. The model system is 700 mm in diameter and has a 250 mm gap between the radio-frequency window and wafer holder. The model chamber size is chosen to verify the applicability of this type of plasma source to the 450 mm wafer process. The effects of electron density distribution and external axial magnetic field on the propagation properties of the plasma wave, including the wavelength modulation and refraction toward the high-density region, are demonstrated. The restricted electron transport and thermal conductivity in the radial direction due to the magnetic field result in small temperature gradient along the field lines and off-axis peak density profile. The calculated impedance seen from the antenna terminal shows that MICP has a resistance component that is two to threefold higher than that of ICP. This property is practically important for large-size, low-pressure plasma sources because high resistance corresponds to high power-transfer efficiency and stable impedance matching characteristics. For the 0.665 Pa argon plasma, MICP shows a radial density uniformity of 6% within 450 mm diameter, which is much better than that of nonmagnetized ICP.

  11. Application of neural networks and its prospect. 1. General comment on application to nuclear fusion and plasma researches

    International Nuclear Information System (INIS)

    Takeda, Tatsuoki

    2006-01-01

    The back ground of application of neutral networks to R and D of scientific field and increasing of application fields are stated. A definition of neural networks, the kinds of neural networks and functions, error back propagation, and generalization are explained. An application of multi-layer neural networks to nuclear fusion and plasma researches are described by inverse problem, interpolation, time series prediction, and computerized tomography. Some examples of researches such as MHD of plasma from magnetic probe data of fusion reactor systems, parameter prediction of distribution of the impurity spectra and the charge exchange neutral particle energy spectra, disruption prediction, and residual minimization training neural network are commented. (S.Y.)

  12. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  13. Studying the non-thermal plasma jet characteristics and application on bacterial decontamination

    Science.gov (United States)

    Al-rawaf, Ali F.; Fuliful, Fadhil Khaddam; Khalaf, Mohammed K.; Oudah, Husham. K.

    2018-04-01

    Non-thermal atmospheric-pressure plasma jet represents an excellent approach for the decontamination of bacteria. In this paper, we want to improve and characterize a non-thermal plasma jet to employ it in processes of sterilization. The electrical characteristics was studied to describe the discharge of the plasma jet and the development of plasma plume has been characterized as a function of helium flow rate. Optical emission spectroscopy was employed to detect the active species inside the plasma plume. The inactivation efficiency of non-thermal plasma jet was evaluated against Staphylococcus aureus bacteria by measuring the diameter of inhibition zone and the number of surviving cells. The results presented that the plasma plume temperature was lower than 34° C at a flow rate of 4 slm, which will not cause damage to living tissues. The diameter of inhibition zone is directly extended with increased exposure time. We confirmed that the inactivation mechanism was unaffected by UV irradiation. In addition, we concluded that the major reasons for the inactivation process of bacteria is because of the action of the reactive oxygen and nitrogen species which formed from ambient air, while the charged particles played a minor role in the inactivation process.

  14. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  15. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  16. Oxide cathodes produced by plasma deposition

    International Nuclear Information System (INIS)

    Scheitrum, G.; Caryotakis, G.; Pi, T.; Umstattd, R.; Brown, I.; Montiero, O.

    1997-01-01

    These are two distinct applications for high-current-density, long-life thermionic cathodes. The first application is as a substitute for explosive emission cathodes used in high-power microwave (HPM) devices being developed for Air Force programs. The second application is in SLAC's X-band klystrons for the Next Linear Collider (NLC). SLAC, UCD, and LBL are developing a plasma deposition process that eliminates the problems with binders, carbonate reduction, peeling, and porosity. The emission layer is deposited using plasma deposition of metallic barium in vacuum with an oxygen background gas. An applied bias voltage drives the oxide plasma into the nickel surface. Since the oxide is deposited directly, it does not have problems with poisoning from a hydrocarbon binder. The density of the oxide layer is increased from the 40--50% for standard oxide cathodes to nearly 100% for plasma deposition

  17. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  18. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  19. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  20. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  1. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    International Nuclear Information System (INIS)

    Wang, Haiyan; Qi, Haiyang; Wang, Weizong; Yan, Joseph D; Geng, Jinyue; Wu, Yaowu

    2017-01-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg–Waage equation according to van de Sanden et al ’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman–Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes. (paper)

  2. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    Science.gov (United States)

    Wang, Haiyan; Wang, Weizong; Yan, Joseph D.; Qi, Haiyang; Geng, Jinyue; Wu, Yaowu

    2017-10-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg-Waage equation according to van de Sanden et al’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman-Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes.

  3. The diverse applications of plasma

    International Nuclear Information System (INIS)

    Sharma, Mukul; Darwhekar, Gajanan; Dubey, Shivani; Jain, Sudhir Kumar

    2015-01-01

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences

  4. The diverse applications of plasma

    Science.gov (United States)

    Sharma, Mukul; Dubey, Shivani; Darwhekar, Gajanan; Jain, Sudhir Kumar

    2015-07-01

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences.

  5. The diverse applications of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Mukul, E-mail: mukulsharma@acropolis.edu.in; Darwhekar, Gajanan, E-mail: gdarwhekar@acropolis.edu.in [Acropolis Institute of Pharmaceutical Education & Research, Indore MP India (India); Dubey, Shivani, E-mail: dubeyshivani08@rediffmail.com [Mata Gujri College of Professional Studies, Indore MP India (India); Jain, Sudhir Kumar, E-mail: sudhirkjain1@rediffmail.com [School of Studies in Microbiology, Vikram University, Ujjain MP India (India)

    2015-07-31

    Plasma being the fourth state of matter has always been an attraction for Physicists and Chemists. With the advent of time, plasma energy has been recognized in having widening horizons in the field of Biomedical Sciences. Plasma medicine can be subdivided into three main fields; Non-thermal atmospheric-pressure direct plasma for medical therapy; Plasma-assisted modification of bio-relevant surfaces and Plasma-based bio-decontamination and sterilization. The basis of the research is that as it has free carrier molecules, it has the ability to target specific cells and regulate functions like wound healing. Plasma does not harm healthy human cells but can kill bacteria and possibly even cancer cells to help treat various diseases. Nosocomial infection control, prevention and containment of contagious diseases, disinfection of medical devices, surface treatment (heat and UV sensitive surfaces) are research of interest. Recent success in generating plasma at very low temperature ie. Cold plasma makes the therapy painless. It has the ability to activate cellular responses and important mechanisms in the body. They target specific molecules such as prothrombin for blood coagulation, cytokines for killing bacteria, and angiogenesis for tissue regeneration. Plasma has bactericidal, fungicidal and virucidal properties. Plasma technology has flourishing future in diverse fields like Textiles, Nanofabrication, Automotives, Waste management, Microbiology, Food Hygiene, Medical Science like Skin treatments, sterilisation of wounds, Hand disinfection, Dental treatments etc. Food hygiene using plasma can be achieved in disinfection of food containers, food surface disinfection, hygiene in food handling, preparation and packaging. Therefore Plasma is most promising field for budding Scientist for fluorishing research in Biological Sciences.

  6. Parallel processing for a 1-D time-dependent solution to impurity rate equations for fusion plasma simulations

    International Nuclear Information System (INIS)

    Veerasingam, R.

    1990-01-01

    In fusion plasmas impurities such as carbon, oxygen or nickel can contaminate the plasma and cause degradation of the performance of a fusion device through radiation. However, impurities can also be used as diagnostics to obtain information about a plasma through spectroscopic experiments which can then be used in plasma modeling and simulations. In the past, serial algorithms have been described for either the time dependent or steady state problem. In this paper, we describe a parallel procedure adopted to solve the time-dependent problem. It can be shown that for the steady state problem a parallel procedure would not be a useful application of parallelization because a few seconds of the Central Processing Unit time on a CRAY-XMP or IBM 3090/600S would suffice to obtain the solution, while this is not the case for the time-dependent problem. In order to study the effects of low Z and high Z impurities on the final state of a plasma, time-dependent solutions are necessary. For purposes of diagnostics and comparisons with experiments, a fast turn around time of the simulations would be advantageous. We have implemented a parallel algorithm on and IBM 3090/600S and tested its performance for a typical set of fusion plasma parameters. 4 refs., 1 tab

  7. From stellar plasmas to laboratory plasmas: application to X and XUV opacity measurements

    International Nuclear Information System (INIS)

    Loisel, G.

    2011-01-01

    The general context of this thesis is the one of radiative properties of high energy density matter. Energy densities involved (>10 11 J/cm 3 ) implies that a large part of energy exchange goes through radiation-matter interactions. My studies deal with spectral opacity, a fundamental parameter for modelling stellar interiors and constitute a propitious observable to experimental tests of theoretical descriptions of hot and dense plasmas physics. Although the main application of my work is stellar plasmas it can be useful for plasma diagnostics in inertial confinement fusion. My work activities are centred on the experimental study of opacities of plasmas at local thermodynamic equilibrium for temperature conditions of a few tens eV (a few 100000 K) and a few mg/cm 3 in matter density. Plasmas are obtained in conditions as homogenous as possible using the radiative heating of a laser-irradiated cavity. Heating is provided through a laser beam of high energy (100-300 J) and with relatively long pulse duration of a few nanosecond. For such measurements we could benefit from the LULI lasers configuration coupling the nanosecond beam with a picosecond one used to perform on a short duration the measurement of the plasma transmission. The use of short pulse laser to produce a short time radiography beam was a first achievement for this kind of experience. In the spectral range of keV photons, absorbing transitions 2p-3d or 3d-4f of elements of moderate or high atomic number have been probed. They present absorption structures which shape results mainly of the competition between spin-orbit splitting and statistical broadening effects. It appeared that this competition depends strongly on the atomic number Z. Thus for similar plasma parameters we explored opacities of Iron, Nickel, Copper and Germanium (Z around 30) in a first series of measurement and the one of Barium, Samarium and Gadolinium (Z around 60) in a second campaign

  8. Carbon fiber composites application in ITER plasma facing components

    Science.gov (United States)

    Barabash, V.; Akiba, M.; Bonal, J. P.; Federici, G.; Matera, R.; Nakamura, K.; Pacher, H. D.; Rödig, M.; Vieider, G.; Wu, C. H.

    1998-10-01

    Carbon Fiber Composites (CFCs) are one of the candidate armour materials for the plasma facing components of the International Thermonuclear Experimental Reactor (ITER). For the present reference design, CFC has been selected as armour for the divertor target near the plasma strike point mainly because of unique resistance to high normal and off-normal heat loads. It does not melt under disruptions and might have higher erosion lifetime in comparison with other possible armour materials. Issues related to CFC application in ITER are described in this paper. They include erosion lifetime, tritium codeposition with eroded material and possible methods for the removal of the codeposited layers, neutron irradiation effect, development of joining technologies with heat sink materials, and thermomechanical performance. The status of the development of new advanced CFCs for ITER application is also described. Finally, the remaining R&D needs are critically discussed.

  9. Carbon fiber composites application in ITER plasma facing components

    International Nuclear Information System (INIS)

    Barabash, V.; Federici, G.; Matera, R.; Akiba, M.; Nakamura, K.; Bonal, J.P.; Pacher, H.D.; Roedig, M.; Vieider, G.; Wu, C.H.

    1998-01-01

    Carbon fiber composites (CFCs) are one of the candidate armour materials for the plasma facing components of the international thermonuclear experimental reactor (ITER). For the present reference design, CFC has been selected as armour for the divertor target near the plasma strike point mainly because of unique resistance to high normal and off-normal heat loads. It does not melt under disruptions and might have higher erosion lifetime in comparison with other possible armour materials. Issues related to CFC application in ITER are described in this paper. They include erosion lifetime, tritium codeposition with eroded material and possible methods for the removal of the codeposited layers, neutron irradiation effect, development of joining technologies with heat sink materials, and thermomechanical performance. The status of the development of new advanced CFCs for ITER application is also described. Finally, the remaining R and D needs are critically discussed. (orig.)

  10. Magnetohydrodynamic Particle Acceleration Processes: SSX Experiments, Theory and Astrophysical Applications

    International Nuclear Information System (INIS)

    Matthaeus, W.; Brown, M.

    2006-01-01

    This is the final technical report for a funded program to provide theoretical support to the Swarthmore Spheromak Experiment. We examined mhd relaxation, reconnecton between two spheromaks, particle acceleration by these processes, and collisonless effects, e.g., Hall effect near the reconnection zone,. Throughout the project, applications to space plasma physics and astrophysics were included. Towards the end of the project we were examining a more fully turbulent relaxation associated with unconstrained dynamics in SSX. We employed experimental, spacecraft observations, analytical and numerical methods.

  11. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  12. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    Energy Technology Data Exchange (ETDEWEB)

    Horioka, Kazuhiko (ed.)

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  13. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  14. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  15. Interaction of multiple plasma plumes in an atmospheric pressure plasma jet array

    International Nuclear Information System (INIS)

    Ghasemi, M; Olszewski, P; Bradley, J W; Walsh, J L

    2013-01-01

    Plasma jet arrays are considered a viable means to enhance the scale of a downstream surface treatment beyond that possible using a single plasma jet. Of paramount importance in many processing applications is the uniformity of the plasma exposure on the substrate, which can be compromised when multiple plasma jets are arranged in close proximity due to their interaction. This contribution explores a dielectric barrier plasma jet array consisting of multiple individually ballasted jets. It is shown that capacitive ballasting is a promising technique to allow simultaneous operation of the plasma plumes without the losses associated with resistive ballasting. The interaction between adjacent plasma plumes and the background gas is investigated with Schlieren imaging; it is shown that the strong repulsive force between each plasma plume causes a divergence in propagation trajectory and a reduction in the laminar flow length with significant ramifications for any downstream surface treatment.

  16. Reactive species in non-equilibrium atmospheric-pressure plasmas: Generation, transport, and biological effects

    Energy Technology Data Exchange (ETDEWEB)

    Lu, X., E-mail: luxinpei@hotmail.com [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); IFSA Collaborative Innovation Center, Shanghai Jiao Tong University, Shanghai 200240 (China); Naidis, G.V. [Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Laroussi, M. [Plasma Engineering & Medicine Institute, Old Dominion University, Norfolk, VA 23529 (United States); Reuter, S. [Leibniz Institute for Plasma Science and Technology, Felix-Hausdorff-Strasse 2, 17489 Greifswald (Germany); Graves, D.B. [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States); Ostrikov, K. [Institute for Future Environments, Queensland University of Technology, Brisbane, QLD 4000 (Australia); School of Physics, Chemistry, and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia); Commonwealth Scientific and Industrial Research Organization, P.O.Box 218, Lindfield, NSW 2070 (Australia); School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2016-05-04

    Non-equilibrium atmospheric-pressure plasmas have recently become a topical area of research owing to their diverse applications in health care and medicine, environmental remediation and pollution control, materials processing, electrochemistry, nanotechnology and other fields. This review focuses on the reactive electrons and ionic, atomic, molecular, and radical species that are produced in these plasmas and then transported from the point of generation to the point of interaction with the material, medium, living cells or tissues being processed. The most important mechanisms of generation and transport of the key species in the plasmas of atmospheric-pressure plasma jets and other non-equilibrium atmospheric-pressure plasmas are introduced and examined from the viewpoint of their applications in plasma hygiene and medicine and other relevant fields. Sophisticated high-precision, time-resolved plasma diagnostics approaches and techniques are presented and their applications to monitor the reactive species and plasma dynamics in the plasma jets and other discharges, both in the gas phase and during the plasma interaction with liquid media, are critically reviewed. The large amount of experimental data is supported by the theoretical models of reactive species generation and transport in the plasmas, surrounding gaseous environments, and plasma interaction with liquid media. These models are presented and their limitations are discussed. Special attention is paid to biological effects of the plasma-generated reactive oxygen and nitrogen (and some other) species in basic biological processes such as cell metabolism, proliferation, survival, etc. as well as plasma applications in bacterial inactivation, wound healing, cancer treatment and some others. Challenges and opportunities for theoretical and experimental research are discussed and the authors’ vision for the emerging convergence trends across several disciplines and application domains is presented to

  17. Study on the plasma reaction process of hydroxyl generation by strong electric field ionization discharge

    International Nuclear Information System (INIS)

    Bai Mindi; Deng Shufang; Bai Xiyao; Zhang Zhitao

    2004-01-01

    Considering the change in the structure of reaction room, dielectric materials and process technology, authors have specifically studied the plasma reaction process of creating hydroxyl radical OH * and e aq - from ionization of O 2 and H 2 O through a strong electric field discharge. The production volume of hydroxyl radical OH * is up to the project application level, and process technology meets the 12 laws of green chemistry, free from environmental pollution from the source. The authors have emphatically researched on the green method of flue gas desulfurization, which will ionize SO 2 , H 2 O and O 2 in the flue gas to synthesis H 2 SO 4 in molecular level within 0.8 s without absorbent and catalyst. (author)

  18. Radio Frequency Plasma Applications for Space Propulsion

    International Nuclear Information System (INIS)

    Baity, F.W. Jr.; Barber, G.C.; Carter, M.D.; Chang-Diaz, F.R.; Goulding, R.H.; Ilin, A.V.; Jaeger, E.F.; Sparks, D.O.; Squire, J.P.

    1999-01-01

    Recent developments in solid-state radio frequency (RF) power technologies allow for the practical consideration of RF heated plasmas for space propulsion. These technologies permit the use of any electrical power source, de-couple the power and propellant sources, and allow for the efficient use of both the propellant mass and power. Efficient use of the propellant is obtained by expelling the rocket exhaust at the highest possible velocity, which can be orders of magnitude higher than those achieved in chemical rockets. Handling the hot plasma exhaust requires the use of magnetic nozzles, and the basic physics of ion detachment from the magnetic eld is discussed. The plasma can be generated by RF using helicon waves to heat electrons. Further direct heating of the ions helps to reduce the line radiation losses, and the magnetic geometry is tailored to allow ion cyclotron resonance heating. RF eld and ion trajectory calculations are presented to give a reasonably self-consistent picture of the ion acceleration process

  19. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  20. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  1. Plasma Colloquium Travel Grant Program

    International Nuclear Information System (INIS)

    Hazeltine, R.D.

    1998-01-01

    OAK B188 Plasma Colloquium Travel Grant Program. The purpose of the Travel Grant Program is to increase the awareness of plasma research. The new results and techniques of plasma research in fusion plasmas, plasma processing space plasmas, basic plasma science, etc, have broad applicability throughout science. The benefits of these results are limited by the relatively low awareness and appreciation of plasma research in the larger scientific community. Whereas spontaneous interactions between plasma scientists and other scientists are useful, a focused effort in education and outreach to other scientists is efficient and is needed. The academic scientific community is the initial focus of this effort, since that permits access to a broad cross-section of scientists and future scientists including undergraduates, graduate students, faculty, and research staff

  2. Ion-beam Plasma Neutralization Interaction Images

    Energy Technology Data Exchange (ETDEWEB)

    Igor D. Kaganovich; Edward Startsev; S. Klasky; Ronald C. Davidson

    2002-04-09

    Neutralization of the ion beam charge and current is an important scientific issue for many practical applications. The process of ion beam charge and current neutralization is complex because the excitation of nonlinear plasma waves may occur. Computer simulation images of plasma neutralization of the ion beam pulse are presented.

  3. Ion-beam Plasma Neutralization Interaction Images

    International Nuclear Information System (INIS)

    Igor D. Kaganovich; Edward Startsev; S. Klasky; Ronald C. Davidson

    2002-04-01

    Neutralization of the ion beam charge and current is an important scientific issue for many practical applications. The process of ion beam charge and current neutralization is complex because the excitation of nonlinear plasma waves may occur. Computer simulation images of plasma neutralization of the ion beam pulse are presented

  4. Pulsed cold plasma-induced blood coagulation and its pilot application in stanching bleeding during rat hepatectomy

    Science.gov (United States)

    Keping, YAN; Qikang, JIN; Chao, ZHENG; Guanlei, DENG; Shengyong, YIN; Zhen, LIU

    2018-04-01

    This paper presents plasma-induced blood coagulation and its pilot application in rat hepatectomy by using a home-made pulsed cold plasma jet. Experiments were conducted on blood coagulation in vitro, the influence of plasma on tissue in vivo, and the pilot application of rat hepatectomy. Experimental results show that the cold plasma can lead to rapid blood coagulation. Compared with the control sample, the plasma-induced agglomerated layer of blood is thicker and denser, and is mostly composed of broken platelets. When the surface of the liver was treated by plasma, the influence of the plasma can penetrate into the liver to a depth of about 500 μm. During the rat hepatectomy, cold plasma was proved to be effective for stanching bleeding on incision. No obvious bleeding was found in the abdominal cavities of all six rats 48 h after the hepatectomy. This implies that cold plasma can be an effective modality to control bleeding during surgical operation.

  5. Influence of an External DC Electric Current on Plasma Cleaning Rate: an Application on the Enlarged Plasma-Surface Theory

    International Nuclear Information System (INIS)

    Xaplanteris, Constantine L.; Filippaki, Eleni D.

    2013-01-01

    During the last decades many researchers have been occupied with other plasma applications apart from the big challenge which the thermonuclear fusion poses. Many experiments have been carried out on the plasma behavior in contact with a solid surface; when the surface material consists of chemical compounds (e.g. oxides of metals), then the plasma chemistry takes place. The present paper contains the final experimental and theoretical work of Plasma Laboratory at “Demokritos , which consists of an elaboration of plasma sheath parameters adapted to experimental conditions, a suitable choice of plasma gases (either H 2 or N 2 ), and an electric potential current enforcement on objects. Additionally, a brief theory is given to explain the results, with a short reference to both boundary phenomena in thermonuclear reactors and low pressure plasma of glow discharges, so as to reveal the similarities and differences of these two cases. An extensive examination of the treated objects by X-ray diffraction method (XRD) gives results in agreement with the theoretical predictions. Using this improvement on plasma restoration system, (a combination of electric current on metallic object into suitable plasma), it is shown that better results can be achieved on the cleaning and conservation of archaeological objects. (plasma technology)

  6. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  7. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  8. The Framework of Plasma Physics

    CERN Document Server

    Hazeltine, Richard D

    2004-01-01

    Plasma physics is a necessary part of our understanding of stellar and galactic structure. It determines the magnetospheric environment of the earth and other planets; it forms the research frontier in such areas as nuclear fusion, advanced accelerators, and high power lasers; and its applications to various industrial processes (such as computer chip manufacture) are rapidly increasing. It is thus a subject with a long list of scientific and technological applications. This book provides the scientific background for understanding such applications, but it emphasizes something else: the intrinsic scientific interest of the plasma state. It attempts to develop an understanding of this state, and of plasma behavior, as thoroughly and systematically as possible. The book was written with the graduate student in mind, but most of the material would also fit into an upper-level undergraduate course.

  9. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  10. Echo phenomena in a plasma

    International Nuclear Information System (INIS)

    Pavlenko, V.N.

    1983-01-01

    The mechanism of echo phenomenon in different plasma media: laboratory and cosmic plasma, metals and semiconductors is analyzed to get a more comprehensive idea on collective processes in a plasma and for practical applications in radiophysics and plasma diagnostics. The echo phenomenon permitted to confirm a reversible nature of the Landau damping, to prove the fact that the information on perturbation is conserved in a plasma (as non-damping oscillations of the distribution function) even after disappearing of the macroscopic field. The dependence of the diffusion coefficient on the velocity is measured, microturbulences in a plasma are investigated. New ways of the plasma wave conversion are suggested, as well as ''lightning'' of super-critical plasma layers and regions of plasma non-transparency. Prospective advantages of using echo for studying the mechanisms of charged particle interaction with the surface bounding a plasma are revealed

  11. Magneto-plasma separating technologies and their possible application for conversion spent fuel and radioactive waste

    International Nuclear Information System (INIS)

    Kovtun, Yu.V.; Skyibenko, Je.Yi.; Yuferov, V.B.

    2007-01-01

    A problem of spent fuel (SF) and radioactive waste (RAW) processing is considered in the views of using magneto-plasma technologies. Basing on this analysis, the block-diagram of RAW processing by the technology using a magneto-plasma separator is offered. The paper describes the device for material element separation, where the main physical mechanism of plasma formation and heating are collective processes involved by the plasma-beam interaction. The dimensions of a pilot-separating device are determined

  12. PREFACE: Plasma Physics by Laser and Applications 2013 Conference (PPLA2013)

    Science.gov (United States)

    Nassisi, V.; Giulietti, D.; Torrisi, L.; Delle Side, D.

    2014-04-01

    The ''Plasma Physics by Laser and Applications'' Conference (PPLA 2013) is a biennial meeting in which the National teams involved in Laser-Plasma Interaction at high intensities communicate their late results comparing with the colleagues from the most important European Laser Facilities. The sixth appointment has been organized in Lecce, Italy, from 2 to 4 October 2013 at the Rector Palace of the University of Salento. Surprising results obtained by laser-matter interaction at high intensities, as well as, non-equilibrium plasma generation, laser-plasma acceleration and related secondary sources, diagnostic methodologies and applications based on lasers and plasma pulses have transferred to researchers the enthusiasm to perform experiments ad maiora. The plasma generated by powerful laser pulses produces high kinetic particles and energetic photons that may be employed in different fields, from medicine to microelectronics, from engineering to nuclear fusion, from chemistry to environment. A relevant interest concerns the understanding of the fundamental physical phenomena, the employed lasers, plasma diagnostics and their consequent applications. For this reason we need continuous updates, meetings and expertise exchanges in this field in order to follow the evolution and disclose information, that has been done this year in Lecce, discussing and comparing the experiences gained in various international laboratories. The conference duration, although limited to just 3 days, permitted to highlight important aspects of the research in the aforementioned fields, giving discussion opportunities about the activities of researchers of high international prestige. The program consisted of 10 invited talks, 17 oral talks and 17 poster contributions for a total of 44 communications. The presented themes covered different areas and, far from being exhaustive gave updates, stimulating useful scientific discussions. The Organizers belong to three Italian Universities

  13. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  14. High energy plasma accelerators

    International Nuclear Information System (INIS)

    Tajima, T.

    1985-05-01

    Colinear intense laser beams ω 0 , kappa 0 and ω 1 , kappa 1 shone on a plasma with frequency separation equal to the electron plasma frequency ω/sub pe/ are capable of creating a coherent large longitudinal electric field E/sub L/ = mc ω/sub pe//e of the order of 1GeV/cm for a plasma density of 10 18 cm -3 through the laser beat excitation of plasma oscillations. Accompanying favorable and deleterious physical effects using this process for a high energy beat-wave accelerator are discussed: the longitudinal dephasing, pump depletion, the transverse laser diffraction, plasma turbulence effects, self-steepening, self-focusing, etc. The basic equation, the driven nonlinear Schroedinger equation, is derived to describe this system. Advanced accelerator concepts to overcome some of these problems are proposed, including the plasma fiber accelerator of various variations. An advanced laser architecture suitable for the beat-wave accelerator is suggested. Accelerator physics issues such as the luminosity are discussed. Applications of the present process to the current drive in a plasma and to the excitation of collective oscillations within nuclei are also discussed

  15. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  16. Novel biomaterials: plasma-enabled nanostructures and functions

    International Nuclear Information System (INIS)

    Levchenko, Igor; Ostrikov, Kostya; Keidar, Michael; Cvelbar, Uroš; Mariotti, Davide; Mai-Prochnow, Anne; Fang, Jinghua

    2016-01-01

    Material processing techniques utilizing low-temperature plasmas as the main process tool feature many unique capabilities for the fabrication of various nanostructured materials. As compared with the neutral-gas based techniques and methods, the plasma-based approaches offer higher levels of energy and flux controllability, often leading to higher quality of the fabricated nanomaterials and sometimes to the synthesis of the hierarchical materials with interesting properties. Among others, nanoscale biomaterials attract significant attention due to their special properties towards the biological materials (proteins, enzymes), living cells and tissues. This review briefly examines various approaches based on the use of low-temperature plasma environments to fabricate nanoscale biomaterials exhibiting high biological activity, biological inertness for drug delivery system, and other features of the biomaterials make them highly attractive. In particular, we briefly discuss the plasma-assisted fabrication of gold and silicon nanoparticles for bio-applications; carbon nanoparticles for bioimaging and cancer therapy; carbon nanotube-based platforms for enzyme production and bacteria growth control, and other applications of low-temperature plasmas in the production of biologically-active materials. (topical review)

  17. Study of plasma-based stable and ultra-wideband electromagnetic wave absorption for stealth application

    Science.gov (United States)

    Xuyang, CHEN; Fangfang, SHEN; Yanming, LIU; Wei, AI; Xiaoping, LI

    2018-06-01

    A plasma-based stable, ultra-wideband electromagnetic (EM) wave absorber structure is studied in this paper for stealth applications. The stability is maintained by a multi-layer structure with several plasma layers and dielectric layers distributed alternately. The plasma in each plasma layer is designed to be uniform, whereas it has a discrete nonuniform distribution from the overall view of the structure. The nonuniform distribution of the plasma is the key to obtaining ultra-wideband wave absorption. A discrete Epstein distribution model is put forward to constrain the nonuniform electron density of the plasma layers, by which the wave absorption range is extended to the ultra-wideband. Then, the scattering matrix method (SMM) is employed to analyze the electromagnetic reflection and absorption of the absorber structure. In the simulation, the validation of the proposed structure and model in ultra-wideband EM wave absorption is first illustrated by comparing the nonuniform plasma model with the uniform case. Then, the influence of various parameters on the EM wave reflection of the plasma are simulated and analyzed in detail, verifying the EM wave absorption performance of the absorber. The proposed structure and model are expected to be superior in some realistic applications, such as supersonic aircraft.

  18. Multicapillary SDS-gel electrophoresis for the analysis of fluorescently labeled mAb preparations: a high throughput quality control process for the production of QuantiPlasma and PlasmaScan mAb libraries.

    Science.gov (United States)

    Székely, Andrea; Szekrényes, Akos; Kerékgyártó, Márta; Balogh, Attila; Kádas, János; Lázár, József; Guttman, András; Kurucz, István; Takács, László

    2014-08-01

    Molecular heterogeneity of mAb preparations is the result of various co- and post-translational modifications and to contaminants related to the production process. Changes in molecular composition results in alterations of functional performance, therefore quality control and validation of therapeutic or diagnostic protein products is essential. A special case is the consistent production of mAb libraries (QuantiPlasma™ and PlasmaScan™) for proteome profiling, quality control of which represents a challenge because of high number of mAbs (>1000). Here, we devise a generally applicable multicapillary SDS-gel electrophoresis process for the analysis of fluorescently labeled mAb preparations for the high throughput quality control of mAbs of the QuantiPlasma™ and PlasmaScan™ libraries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  20. PREFACE: 26th Symposium on Plasma Science for Materials (SPSM-26)

    Science.gov (United States)

    2014-06-01

    26th Symposium on Plasma Science for Materials (SPSM-26) Takayuki Watanabe The 26th Symposium on Plasma Science for Materials (SPSM-26) was held in Fukuoka, Japan on September 23-24, 2013. SPSM has been held annually since 1988 under the sponsorship of The 153rd Committee on Plasma Materials Science, Japan Society for the Promotion of Science (JSPS). This symposium is one of the major activities of the Committee, which is organized by researchers in academia and industry for the purpose of advancing intersectional scientific information exchange and discussion of science and technology of plasma materials processing. Plasma processing have attracted extensive attention due to their unique advantages, and it is expected to be utilized for a number of innovative industrial applications such as synthesis of high-quality and high-performance nanomaterials. The advantages of plasmas including high chemical reactivity in accordance with required chemical reactions are beneficial for innovative processing. In recent years, plasma materials processing with reactive plasmas has been extensively employed in the fields of environmental issues and biotechnology. This conference seeks to bring different scientific communities together to create a forum for discussing the latest developments and issues. The conference provides a platform for the exploration of both fundamental topics and new applications of plasmas by the contacts between science, technology, and industry. The conference was organized in plenary lectures, invited, contributed oral presentations, and poster sessions. At this meeting, we had 142 participants from 10 countries and 104 presentations, including 11 invited presentations. This year, we arranged special topical sessions that cover Plasma Medicine and Biotechnologies, Business and Academia Cooperation, Plasma with Liquids, Plasma Processes for Nanomaterials, together with Basic, Electronics, and Thermal Plasma sessions. This special issue presents 28

  1. Application of quasi-steady-state plasma streams for simulation of ITER transient heat loads

    International Nuclear Information System (INIS)

    Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Makhlaj, V.A.; Marchenko, A.K.; Solyakov, D.G.; Tereshin, V.I.; Trubchaninov, S.A.; Tsarenko, A.V.; Landman, I.

    2004-01-01

    The paper presents experimental investigations of energy characteristics of the plasma streams generated with quasi-steady-state plasma accelerator QSPA Kh-50 and adjustment of plasma parameters from the point of view its applicability for simulation of transient plasma heat loads expected for ITER disruptions and type I ELMs. Possibility of generation of high-power magnetized plasma streams with ion impact energy up to 0.6 keV, pulse length of 0.25 ms and heat loads varied in wide range from 0.5 to 30 MJ/m 2 has been demonstrated and some features of plasma interaction with tungsten targets in dependence on plasma heat loads are discussed. (author)

  2. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  3. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  5. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  6. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  7. Micro patterning of cell and protein non-adhesive plasma polymerized coatings for biochip applications

    DEFF Research Database (Denmark)

    Bouaidat, Salim; Berendsen, C.; Thomsen, P.

    2004-01-01

    Micro scale patterning of bioactive surfaces is desirable for numerous biochip applications. Polyethyleneoxide-like (PEO-like) coating with non-fouling functionality has been deposited using low frequency AC plasma polymerization. The non-fouling properties of the coating were tested with human c...... and versatility of the plasma-polymerized coatings, make this technology highly suitable for bio-MEMS and biochip applications, where patterned high contrast non-fouling surfaces are needed....

  8. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  9. Weakly Ionized Plasmas in Hypersonics: Fundamental Kinetics and Flight Applications

    International Nuclear Information System (INIS)

    Macheret, Sergey

    2005-01-01

    The paper reviews some of the recent studies of applications of weakly ionized plasmas to supersonic/hypersonic flight. Plasmas can be used simply as means of delivering energy (heating) to the flow, and also for electromagnetic flow control and magnetohydrodynamic (MHD) power generation. Plasma and MHD control can be especially effective in transient off-design flight regimes. In cold air flow, nonequilibrium plasmas must be created, and the ionization power budget determines design, performance envelope, and the very practicality of plasma/MHD devices. The minimum power budget is provided by electron beams and repetitive high-voltage nanosecond pulses, and the paper describes theoretical and computational modeling of plasmas created by the beams and repetitive pulses. The models include coupled equations for non-local and unsteady electron energy distribution function (modeled in forward-back approximation), plasma kinetics, and electric field. Recent experimental studies at Princeton University have successfully demonstrated stable diffuse plasmas sustained by repetitive nanosecond pulses in supersonic air flow, and for the first time have demonstrated the existence of MHD effects in such plasmas. Cold-air hypersonic MHD devices are shown to permit optimization of scramjet inlets at Mach numbers higher than the design value, while operating in self-powered regime. Plasma energy addition upstream of the inlet throat can increase the thrust by capturing more air (Virtual Cowl), or it can reduce the flow Mach number and thus eliminate the need for an isolator duct. In the latter two cases, the power that needs to be supplied to the plasma would be generated by an MHD generator downstream of the combustor, thus forming the 'reverse energy bypass' scheme. MHD power generation on board reentry vehicles is also discussed

  10. The application of high-speed photography and spectrography for investigations of erosive pulsed plasma streams

    International Nuclear Information System (INIS)

    Kiselevskiy, L.I.; Minko, L.Ja.

    The extensive information of pulsed plasma dynamic processes related to formation and interaction of plasma streams with a surrounding medium and obstacles is obtained with the help of high-speed photo and spectrography. The wave structure of pulsed supersonic under-expanded erosive plasma jets is studied. Some physical processes which are due to interactions of laser radiation with the laser-produced erosive plasma and of this plasma with a surrounding medium are investigated. The wide possibilities of frame photography of spectra quantitative spectroscopic investigations of fast-proceeding plasma processes are shown on the basis of joint use of high-speed photographic apparatus (type SFR) and standard spectrographs. The radial distribution of charged-particle concentrations at separate moments of time is obtained from the broadening of spectral lines at the brightness of the continuous spectrum of an erosive plasma jet from a pulsed accelerator

  11. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  12. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  13. Development of a two-stage light gas gun to accelerate hydrogen pellets to high speeds for plasma fueling applications

    International Nuclear Information System (INIS)

    Combs, S.K.; Milora, S.L.; Foust, C.R.; Gouge, M.J.; Fehling, D.T.; Sparks, D.O.

    1988-01-01

    The development of a two-stage light gas gun to accelerate hydrogen isotope pellets to high speeds is under way at Oak Ridge National Laboratory. High velocities (>2 km/s) are desirable for plasma fueling applications, since the faster pellets can penetrate more deeply into large, hot plasmas and deposit atoms of fuel directly in a larger fraction of the plasma volume. In the initial configuration of the two-stage device, a 2.2-l volume (/ 3 for frozen hydrogen isotopes). However, the use of sabots to encase and protect the cryogenic pellets from the high peak pressures will probably be required to realize speeds of ∼3 km/s or greater. The experimental plan includes acceleration of hydrogen isotopes as soon as the gun geometry and operating parameters are optimized; theoretical models are being used to aid in this process. The hardware is being designed to accommodate repetitive operation, which is the objective of this research and is required for future applications. 25 refs., 6 figs., 1 tab

  14. Investigation of collisional excitation-transfer processes in a plasma by laser perturbation method

    International Nuclear Information System (INIS)

    Sakurai, Takeki

    1983-01-01

    The theoretical background and the experimental method of the laser perturbation method applied to the study of collisional excitation transfer process in plasma are explained. The atomic density at some specified level can be evaluated theoretically. By using the theoretical results and the experimentally obtained data, the total attenuation probability, the collisional transfer probability and natural emission probability were estimated. For the experiments, continuous wave laser (cw) and pulse laser are employed. It is possible by using pulse dye laser to observe the attenuation curve directly, and to bring in resonance to any atomic spectra. At the beginning, the experimental studies were made on He-Ne discharge. The pulse dye laser has been used for the excitation of alkali atoms. The first application of pulse laser to the study of plasma physics was the study on He. The cross section of disalignment has also been studied by the laser perturbation. The alignment of atoms, step and cascade transfer, the confinement of radiation and optogalvanic effect are discussed in this paper. (Kato, T.)

  15. Plasmas for medicine

    Science.gov (United States)

    von Woedtke, Th.; Reuter, S.; Masur, K.; Weltmann, K.-D.

    2013-09-01

    Plasma medicine is an innovative and emerging field combining plasma physics, life science and clinical medicine. In a more general perspective, medical application of physical plasma can be subdivided into two principal approaches. (i) “Indirect” use of plasma-based or plasma-supplemented techniques to treat surfaces, materials or devices to realize specific qualities for subsequent special medical applications, and (ii) application of physical plasma on or in the human (or animal) body to realize therapeutic effects based on direct interaction of plasma with living tissue. The field of plasma applications for the treatment of medical materials or devices is intensively researched and partially well established for several years. However, plasma medicine in the sense of its actual definition as a new field of research focuses on the use of plasma technology in the treatment of living cells, tissues, and organs. Therefore, the aim of the new research field of plasma medicine is the exploitation of a much more differentiated interaction of specific plasma components with specific structural as well as functional elements or functionalities of living cells. This interaction can possibly lead either to stimulation or inhibition of cellular function and be finally used for therapeutic purposes. During recent years a broad spectrum of different plasma sources with various names dedicated for biomedical applications has been reported. So far, research activities were mainly focused on barrier discharges and plasma jets working at atmospheric pressure. Most efforts to realize plasma application directly on or in the human (or animal) body for medical purposes is concentrated on the broad field of dermatology including wound healing, but also includes cancer treatment, endoscopy, or dentistry. Despite the fact that the field of plasma medicine is very young and until now mostly in an empirical stage of development yet, there are first indicators of its enormous

  16. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  17. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  18. Critical ionisation velocity effects in astrophysical plasmas

    International Nuclear Information System (INIS)

    Raadu, M.A.

    1979-08-01

    Critical ionisation velocity effects are relevant to astrophysical situations where neutral gas moves through a magnetised plasma. The experimental significance of the critical velocity is well established and the physical basis is now becoming clear. The underlying mechanism depends on the combined effects of electron impact ionisation and electron energisation by collective plasma interactions. For low density plasmas a theory based on a circular process involving electron heating through a modified two stream instability has been developed. Several applications of critical velocity effects to astrophysical plasmas have been discussed in the literature. The importance of the effect in any particular case may be determined from a detailed consideration of energy and momentum balance, using appropriate atomic rate coefficients and taking full account of collective plasma processes. (Auth.)

  19. Versatile software for semiautomatic analysis and processing of laser-induced plasma spectra

    International Nuclear Information System (INIS)

    Mateo, M.P.; Nicolas, G.; Pinon, V.; Alvarez, J.C.; Ramil, A.; Yanez, A.

    2005-01-01

    The present article describes the main characteristics and operations of SALIPS (software for the analysis of laser-induced plasma spectra), a computer program designed for use in Spectroscopy. During the last years laser-induced plasma spectroscopy (LIPS) has grown in popularity and different applications have been developed in several fields. However, until now there is no software reported to perform the recognition of the elemental composition of a generic sample from its LIP spectrum, which must be achieved by hand in a tedious comparative process of experimental peaks with emission lines from databases. For this reason, a computer program that includes several tools to provide a semi-automatic identification of the peaks of a LIP spectrum has been developed. The program, written in Microsoft registered Visual Basic registered code, has a user-friendly graphical interface and is a flexible tool that enables to handle, edit, copy and print a quick presentation of the data including automatically the identification results in the graph. SALIPS also provides some physical properties of the elements and includes algorithms for performing the simulation of spectra. The potential of the program is illustrated with some examples

  20. Physics and applications of plasmas produced by pulsed power technology

    International Nuclear Information System (INIS)

    Ozaki, Tetsuo; Katsuki, Sunao

    2013-10-01

    The papers presented at the symposium on 'Physics and Applications of Plasmas Produced by Pulsed Power Technology' held on March 27-28, 2012 at the National Institute for Fusion Science are collected in these proceedings. The papers in these proceedings reflect the current status and progress in the experimental and theoretical research on high power particle beams and high energy density plasmas produced by pulsed power technology. This issue is the collection of 22 papers presented at the entitled meeting. Ten of the presented papers are indexed individually. (J.P.N.)

  1. Plasma-aided nanofabrication: where is the cutting edge?

    International Nuclear Information System (INIS)

    Ostrikov, K; Murphy, A B

    2007-01-01

    Plasma-aided nanofabrication is a rapidly expanding area of research spanning disciplines ranging from physics and chemistry of plasmas and gas discharges to solid state physics, materials science, surface science, nanoscience and nanotechnology and related engineering subjects. The current status of the research field is discussed and examples of superior performance and competitive advantage of plasma processes and techniques are given. These examples are selected to represent a range of applications of two major types of plasmas suitable for nanoscale synthesis and processing, namely thermally non-equilibrium and thermal plasmas. Major concepts and terminology used in the field are introduced. The paper also pinpoints the major challenges facing plasma-aided nanofabrication and identifies some emerging topics for future research. (editorial review)

  2. The measurement and analysis of electric fields in glow discharge plasmas

    International Nuclear Information System (INIS)

    Lawler, J.E.; Doughty, D.A.

    1994-01-01

    Interest in glow discharge plasmas has remained high for many decades because of their widespread application as a source of incoherent and coherent light, in plasma processing materials, in pulsed power devices, and in other technologies. Plasma etching of semiconductors and various plasma deposition process emerged as major applications during the 1980s. The technological significance of plasma processing is described in Plasma Processing of Materials. More fundamental work on glow discharges also advanced greatly during the 1980s. For example, substantial progress was made through the use of laser diagnostics to study glow discharges and as a result of the dramatically increased computing power that became available in the 1980s to model glow discharges. Many of the laser diagnostics are described in Radiative Processes in Discharge Plasmas. Kinetic theory models, in particular, became far more sophisticated and realistic during the 1980s. This article is a review of recent work that used optical diagnostics to study electric fields in glow discharge plasmas. Alternative methods for measuring electric electric fields in plasmas include electron beam deflection and electrostatic probes. Optical techniques have important advantages over these methods: They can be used at higher pressures and discharge current densities than electron beam deflection; and they are noninvasive, unlike electrostatic probes. In addition, optical techniques are usually easier to apply in a highly pure system than either of the alternative methods. 46 refs., 23 figs., 1 tab

  3. International Conference on Plasma Diagnostics. Slides, papers and posters of Plasma Diagnostics 2010

    International Nuclear Information System (INIS)

    Hartfuss, H.J.; Bonhomme, G.; Grisolia, C.; Hirsch, M.; Klos, Z.; Mazouffre, S.; Musielok, J.; Ratynskaya, S.; Sadowski, M.; Van de Sanden, R.; Sentis, M.; Stroth, U.; Tereshin, V.; Tichy, M.; Unterberg, B.; Weisen, H.; Zoletnik, S.

    2011-01-01

    Plasma diagnostics 2010 is an International Conference on Diagnostic Methods involved in Research and Applications of Plasmas, originating on combining the 5. German-Polish Conference on Plasma Diagnostics for Fusion and Applications and the 7. French-Polish Seminar on Thermal Plasma in Space and Laboratory. The Scientific Committee of 'Plasma 2007' decided to concentrate the attention of future conferences more on the diagnostic development and diagnostic interpretation in the fields of high and low temperature plasmas and plasma applications. It is aimed at involving all European activities in the fields. The Scientific Program will cover the fields from low temperature laboratory to fusion plasmas of various configurations as well as dusty and astrophysical plasmas and industrial plasma applications

  4. Numerical and experimental study of heat transfers in an arc plasma. Application to TIG arc welding

    International Nuclear Information System (INIS)

    Borel, Damien

    2013-01-01

    The arc welding is used for many industrial applications, especially GTA welding. Given the excellent quality of the produced welds, GTA welding is used for the majority of the interventions (repairs, joined sealing) on the French nuclear park. This work is part of a project carried out by EDF R and D which aims to simulate the whole process and builds a tool able to predict the welds quality. In this study, we focus on the development of a predictive model of the exchanged heat flux at the arc - work piece interface, responsible of the work piece fusion. The modeling of the arc plasma using the electric module of the hydrodynamics software Code Saturne R developed by EDF R and D is required. Two types of experimental tests are jointly carried out to validate this numerical model: i) on density and temperature measurements of plasma by atomic emission spectroscopy and ii) on the evaluation of the heat transfers on the work piece surface. This work also aims at demonstrate that the usual method of using an equivalent thermal source to model the welding process, can be replaced by our plasma model, without the numerous trials inherent to the usual method. (author)

  5. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  6. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  7. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  8. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  9. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  10. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  11. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  12. Sunscreens in human plasma and urine after repeated whole-body topical application

    DEFF Research Database (Denmark)

    Janjua, N.R.; Kongshoj, B.; Andersson, A.M.

    2008-01-01

    . For all three compounds, only sporadic measurements of percutaneous absorption and excretion after topical application in humans have been described. Methods In this study, 32 healthy volunteers, 15 young males and 17 postmenopausal females, were exposed to daily whole-body topical application of 2 mg...... the first application, all three sunscreens were detectable in plasma. The maximum median plasma concentrations were 187 ng/mL BP-3, 16 ng/mL 4-MBC and 7 ng/mL OMC for females and 238 ng/mL BP-3, 18 ng/mL 4-MBC and 16 ng/mL OMC for men. In the females, urine levels of 44 ng/mL BP-3 and 4 ng/mL of 4-MBC...... and 6 ng/mL OMC were found, and in the males, urine levels of 81 ng/mL BP-3, 4 ng/mL of 4-MBC and OMC were found. In plasma, the 96-h median concentrations were higher compared with the 24-h concentrations for 4-MBC and OMC in men and for BP-3 and 4-MBC in females Udgivelsesdato: 2008/4...

  13. Foundations of atmospheric pressure non-equilibrium plasmas

    Science.gov (United States)

    Bruggeman, Peter J.; Iza, Felipe; Brandenburg, Ronny

    2017-12-01

    Non-equilibrium plasmas have been intensively studied over the past century in the context of material processing, environmental remediation, ozone generation, excimer lamps and plasma display panels. Research on atmospheric pressure non-equilibrium plasmas intensified over the last two decades leading to a large variety of plasma sources that have been developed for an extended application range including chemical conversion, medicine, chemical analysis and disinfection. The fundamental understanding of these discharges is emerging but there remain a lot of unexplained phenomena in these intrinsically complex plasmas. The properties of non-equilibrium plasmas at atmospheric pressure span over a huge range of electron densities as well as heavy particle and electron temperatures. This paper provides an overview of the key underlying processes that are important for the generation and stabilization of atmospheric pressure non-equilibrium plasmas. The unique physical and chemical properties of theses discharges are also summarized.

  14. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  15. Clinical application of determination of plasma intact parathyroid hormone content in kidney disease

    International Nuclear Information System (INIS)

    Zhu Mei; Wang Zhaohui; Zhou Xiaoli; Ren Chunling; Chen Huaqian

    2011-01-01

    Objective: To observe intact parathyroid hormone in kidney disease with clinical application. Methods: Plasma i-PTH level was measured in 46 patients with chronic renal insufficiency lose compensation stage, 39 patients with chronic renal failure, 35 patients with uremia. Besides, control group (n=41) was established. Results: Result shown that plasma i-PTH levels were experiment group and control group were obvious difference (P<0.01), among experiment group plasma i-PTH level was obvious difference (P<0.01). Conclusion: Results suggested along with renal function were worsen that plasma i-PTH level increasing gradually during renal insufficiency. (authors)

  16. The Plasma Window: A Windowless High Pressure-Vacuum Interface for Various Accelerator Applications

    International Nuclear Information System (INIS)

    Hershcovitch, A. I.; Johnson, E. D.; Lanza, R. C.

    1999-01-01

    The Plasma Window is a stabilized plasma arc used as an interface between accelerator vacuum and pressurized targets. There is no solid material introduced into the beam and thus it is also capable of transmitting particle beams and electromagnetic radiation with low loss and of sustaining high beam currents without damage. Measurements on a prototype system with a 3 mm diameter opening have shown that pressure differences of more than 2.5 atmospheres can be sustained with an input pressure of ∼ 10 -6 Torr. The system is capable of scaling to higher-pressure differences and larger apertures. Various plasma window applications for synchrotron light sources, high power lasers, internal targets, high current accelerators such as the HAWK, ATW, APT, DARHT, spallation sources, as well as for a number of commercial applications, is discussed

  17. Ion interactions with solids and plasma

    International Nuclear Information System (INIS)

    Arista, N.R.

    1987-01-01

    The models developed for studying processing of energy losses in dense medium, in particular some recent results for atomic systems confined in solids and in partially degenerated medium are described. Applications of these models to some cases of ion interaction with thin metallic foils and with dense plasmas are described. The processes of excitation and energy losses in the case of a degenerated electron gas, and in the general case of a plasma with arbitrary degenerescency are considered. (M.C.K.) [pt

  18. Plasma-activation of tap water using DBD for agronomy applications: Identification and quantification of long lifetime chemical species and production/consumption mechanisms.

    Science.gov (United States)

    Judée, F; Simon, S; Bailly, C; Dufour, T

    2018-04-15

    Cold atmospheric plasmas are weakly ionized gases that can be generated in ambient air. They produce energetic species (e.g. electrons, metastables) as well as reactive oxygen species, reactive nitrogen species, UV radiations and local electric field. Their interaction with a liquid such as tap water can hence change its chemical composition. The resulting "plasma-activated liquid" can meet many applications, including medicine and agriculture. Consequently, a complete experimental set of analytical techniques dedicated to the characterization of long lifetime chemical species has been implemented to characterize tap water treated using cold atmospheric plasma process and intended to agronomy applications. For that purpose, colorimetry and acid titrations are performed, considering acid-base equilibria, pH and temperature variations induced during plasma activation. 16 species are quantified and monitored: hydroxide and hydronium ions, ammonia and ammonium ions, orthophosphates, carbonate ions, nitrite and nitrate ions and hydrogen peroxide. The related consumption/production mechanisms are discussed. In parallel, a chemical model of electrical conductivity based on Kohlrausch's law has been developed to simulate the electrical conductivity of the plasma-activated tap water (PATW). Comparing its predictions with experimental measurements leads to a narrow fitting, hence supporting the self-sufficiency of the experimental set, I.e. the fact that all long lifetime radicals of interest present in PATW are characterized. Finally, to evaluate the potential of cold atmospheric plasmas for agriculture applications, tap water has been daily plasma-treated to irrigate lentils seeds. Then, seedlings lengths have been measured and compared with untreated tap water, showing an increase as high as 34.0% and 128.4% after 3 days and 6 days of activation respectively. The interaction mechanisms between plasma and tap water are discussed as well as their positive synergy on

  19. Application to cleaning of waste plastic surfaces using atmospheric non-thermal plasma jets

    Energy Technology Data Exchange (ETDEWEB)

    Araya, Masayuki [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8502 (Japan); Yuji, Toshifumi [Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo 152-8550 (Japan)]. E-mail: t-yuji@hiroshima-cmt.ac.jp; Watanabe, Takayuki [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8502 (Japan); Kashihara, Junzou [SHARP corporation, 1-9-2 Nakase, Mihama-Ku, Chiba 261-8520 (Japan); Sumida, Yoshitake [SHARP corporation, 2613-1 Ichinomoto-cho, Tenri 632-8567 (Japan)

    2007-03-12

    The removal of paint on the surface of waste plastics is difficult by the conventional process; in this research, a new cleaning mechanism using atmospheric plasmas was examined through optical emission spectroscopy, electron spectroscopy for chemical analysis, and scanning electron microscopy. Results indicate that an increase of pulse frequency enables for a short processing time for the removal of the paint film, signifying that the production of radicals in plasma, especially oxygen radicals, can be controlled by pulse frequency. Plasma jets were generated under the experimental conditions of an input power of 250 W to 400 W, a pulse frequency of 2 kHz to 12 kHz, and a plasma gas flow rate of 30 L/min. Examination of the intensity ratio of the reactive species, as measured by emission spectroscopy, showed that the O/N value increased with an increase in pulse frequency. Results of analysis with electron spectroscopy for chemical analysis show that nitrogen atoms and molybdenum in only the paint film decreased through plasma processing.

  20. Application to cleaning of waste plastic surfaces using atmospheric non-thermal plasma jets

    International Nuclear Information System (INIS)

    Araya, Masayuki; Yuji, Toshifumi; Watanabe, Takayuki; Kashihara, Junzou; Sumida, Yoshitake

    2007-01-01

    The removal of paint on the surface of waste plastics is difficult by the conventional process; in this research, a new cleaning mechanism using atmospheric plasmas was examined through optical emission spectroscopy, electron spectroscopy for chemical analysis, and scanning electron microscopy. Results indicate that an increase of pulse frequency enables for a short processing time for the removal of the paint film, signifying that the production of radicals in plasma, especially oxygen radicals, can be controlled by pulse frequency. Plasma jets were generated under the experimental conditions of an input power of 250 W to 400 W, a pulse frequency of 2 kHz to 12 kHz, and a plasma gas flow rate of 30 L/min. Examination of the intensity ratio of the reactive species, as measured by emission spectroscopy, showed that the O/N value increased with an increase in pulse frequency. Results of analysis with electron spectroscopy for chemical analysis show that nitrogen atoms and molybdenum in only the paint film decreased through plasma processing