WorldWideScience

Sample records for plasma processing apparatus

  1. Mirror plasma apparatus

    International Nuclear Information System (INIS)

    Moir, R.W.

    1981-01-01

    A mirror plasma apparatus which utilizes shielding by arc discharge to form a blanket plasma and lithium walls to reduce neutron damage to the wall of the apparatus. An embodiment involves a rotating liquid lithium blanket for a tandem mirror plasma apparatus wherein the first wall of the central mirror cell is made of liquid lithium which is spun with angular velocity great enough to keep the liquid lithium against the first material wall, a blanket plasma preventing the lithium vapor from contaminating the plasma

  2. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  3. Apparatus suitable for plasma surface treating and process for preparing membrane layers

    NARCIS (Netherlands)

    1988-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  4. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  5. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  6. Multicusp plasma containment apparatus

    International Nuclear Information System (INIS)

    Limpaecher, R.

    1980-01-01

    It has been discovered that plasma containment by a chamber having multi-pole magnetic cusp reflecting walls in combination with electronic injection for electrostatic containment provides the means for generating magnetic field free quiescent plasmas for practical application in ion-pumps, electronic switches, and the like. 1250 ''alnico v'' magnets 1/2 '' X 1/2 '' X 1 1/2 '' provide containment in one embodiment. Electromagnets embodying toroidal funneling extend the principle to fusion apparatus

  7. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  8. Tandem mirror plasma confinement apparatus

    Science.gov (United States)

    Fowler, T. Kenneth

    1978-11-14

    Apparatus and method for confining a plasma in a center mirror cell by use of two end mirror cells as positively charged end stoppers to minimize leakage of positive particles from the ends of the center mirror cell.

  9. Tandem mirror plasma confinement apparatus

    International Nuclear Information System (INIS)

    Fowler, T.K.

    1978-01-01

    Apparatus and method are described for confining a plasma in a center mirror cell by use of two end mirror cells as positively charged end stoppers to minimize leakage of positive particles from the ends of the center mirror cell

  10. Spheromak type plasma experiment apparatus

    International Nuclear Information System (INIS)

    Odagiri, Kiyoyuki; Miyauchi, Yasuyuki; Oomura, Hiroshi

    1985-01-01

    The fusion power reactor which is expected to be the most promising energy has been developed for several plasma confinement systems. Under these circumstances, Spheromak configuration has recently attracted attention because of its simple structure and efficient plasma confinement. This apparatus was ordered by the Engineering Department of University of Tokyo for basic studies of the Spheromak plasma confinement technologies. This forms Spheromak plasma according to the induction discharge system which injects this plasma with magnetic energy generated by a toroidal current in the plasma and discharges the current through the electrical feed through. Toroidal current is induced by the poloidal coil in the vessel. We worked together with the researchers of University of Tokyo to conduct experiments and confirmed the formation and confinement of Spheromak plasma in the initial test. (author)

  11. Charge exchange cooling in the tandem mirror plasma confinement apparatus

    Science.gov (United States)

    Logan, B. Grant

    1978-01-01

    Method and apparatus for cooling a plasma of warm charged species confined in the center mirror cell of the tandem mirror apparatus by injecting cold neutral species of the plasma into at least one mirroring region of the center mirror cell, the cooling due to the loss of warm charged species through charge exchange with the cold neutral species with resulting diffusion of the warm neutral species out of the plasma.

  12. Charge exchange cooling in the tandem mirror plasma confinement apparatus

    International Nuclear Information System (INIS)

    Logan, B.G.

    1978-01-01

    A method and apparatus are described for cooling a plasma confined in the center mirror cell of the tandem mirror apparatus by injecting cold neutral species of the plasma into at least one mirroring region of the center mirror cell. The cooling is due to the loss of warm charged species through charge exchange with the cold neutral species with resulting diffusion of the warm neutral species out of the plasma

  13. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces

    Science.gov (United States)

    Carr,; Jeffrey, W [Livermore, CA

    2009-03-31

    Fabrication apparatus and methods are disclosed for shaping and finishing difficult materials with no subsurface damage. The apparatus and methods use an atmospheric pressure mixed gas plasma discharge as a sub-aperture polisher of, for example, fused silica and single crystal silicon, silicon carbide and other materials. In one example, workpiece material is removed at the atomic level through reaction with fluorine atoms. In this example, these reactive species are produced by a noble gas plasma from trace constituent fluorocarbons or other fluorine containing gases added to the host argon matrix. The products of the reaction are gas phase compounds that flow from the surface of the workpiece, exposing fresh material to the etchant without condensation and redeposition on the newly created surface. The discharge provides a stable and predictable distribution of reactive species permitting the generation of a predetermined surface by translating the plasma across the workpiece along a calculated path.

  14. A multifunctional microwave plasma reaction apparatus and its applications

    International Nuclear Information System (INIS)

    Wang Xizhang; Wu Qiang; Hu Zheng; Xu Hua; Miao Shui; Chen Yi

    2000-01-01

    A multifunctional apparatus for microwave plasma reaction has been set up, which can be used in the fields such as chemical synthesis, surface modification, and heterogeneous catalysis. The apparatus has laid an experimental foundation for new methods, new technologies, and new train of thoughts to be explored

  15. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  16. Apparatus and process for deposition of hard carbon films

    Science.gov (United States)

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  17. Apparatus for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1990-01-01

    An apparatus suitable for plasma surface treating (e.g., forming a membrane layer on a substrate surface) comprises a plasma generation section which is operable at least at substantially atmospheric pressure and is in communication via at least one plasma inlet (e.g., a nozzle) with an enclosed

  18. Automatic emissive probe apparatus for accurate plasma and vacuum space potential measurements

    Science.gov (United States)

    Jianquan, LI; Wenqi, LU; Jun, XU; Fei, GAO; Younian, WANG

    2018-02-01

    We have developed an automatic emissive probe apparatus based on the improved inflection point method of the emissive probe for accurate measurements of both plasma potential and vacuum space potential. The apparatus consists of a computer controlled data acquisition card, a working circuit composed by a biasing unit and a heating unit, as well as an emissive probe. With the set parameters of the probe scanning bias, the probe heating current and the fitting range, the apparatus can automatically execute the improved inflection point method and give the measured result. The validity of the automatic emissive probe apparatus is demonstrated in a test measurement of vacuum potential distribution between two parallel plates, showing an excellent accuracy of 0.1 V. Plasma potential was also measured, exhibiting high efficiency and convenient use of the apparatus for space potential measurements.

  19. Prototype Design of Plasma-Nitriding Apparatus for Components of Industries

    International Nuclear Information System (INIS)

    Bandriyana, B.; Tutun Nugraha; Silakhuddin

    2003-01-01

    An apparatus to carry-out plasma-nitriding surface treatment has been designed. The construction was planned as a prototype for a larger system at industrial scale. The design was based on a similar apparatus currently operating at the Accelerator Laboratory at the P3TM-BATAN, in Yogyakarta. The system consists of a main vacuum chamber from steel SS-304, 45 cm OD, 55 cm height and is equipped with a nitriding chamber in the inner part that also functions as a plasma container (Quartz, cylindrical, 38 cm OD, 40 cm height). The system utilized an anode-cathode pair to generate nitrogen plasma, as well as to accelerate and direct the positively-charged-plasma toward the surface of the material to be treated. The pressure inside the chamber is designed to be in the region of 10 -3 mb with a temperature between 350-590 o C. Pulsated DC high voltage can be set at 1-50 kV at a frequency between 100-1000 Hz and current 1- 50 mA. The safety and reliability features have been designed to obtain nitriding results that are in accordance with the required technical specification as well as economical constrain. It is hoped that this device can become a prototype for future development of an industrial scale plasma-nitriding apparatus. (author)

  20. Interface evolution and shear strength of Al/Ti bi-metals processed by a spark plasma sintering (SPS) apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Miriyev, Aslan, E-mail: aslan.miriyev@columbia.edu [Department of Mechanical Engineering, Columbia University in the City of New York, 500 W. 120th St., Mudd 220, New York, NY 10027 (United States); Levy, Asaf; Kalabukhov, Sergey; Frage, Nachum [Department of Materials Engineering, Ben-Gurion University of the Negev, P.O.B. 653, Beer Sheva 8410501 (Israel)

    2016-09-05

    Microstructural evolution of the Al/Ti bi-metal interface during heat treatment in a spark plasma sintering (SPS) apparatus was investigated under various conditions for the first time. A mechanism of interfacial layer growth was suggested based on the results of SEM, TEM and X-ray diffraction analysis. A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface even after a processing time as short as about a minute. The TiAl{sub 3} layer grew mainly into the Ti part, while only a few individual grains grew into the Al part. Evolution of the interlayer was determined by Al diffusion through the (TiAl{sub 3}/TiAl{sub 3}) grain boundary. The activation energy of the process was 140 kJ/mol. The shear strength of the interface in the Al/Ti bi-metal was determined after various heat treatments. The shear strength of the bi-metal was limited by the properties of aluminum, with no effect of interlayer thickness or current mode and pulse pattern of the SPS treatment being detected. - Highlights: • Spark plasma sintering apparatus was used for heat treatment of Al/Ti bi-metals. • Microstructural evolution of Al/Ti interface during SPS treatment was investigated. • A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface. • The bi-metal shear strength was limited by the properties of pure aluminum. • No effect of TiAl{sub 3} thickness or SPS current mode and pulse pattern was detected.

  1. Method and apparatus for generating and utilizing a compound plasma configuration

    International Nuclear Information System (INIS)

    Koloc, P.M.

    1977-01-01

    A method and apparatus for generating and utilizing a compound plasma configuration is disclosed. The plasma configuration includes a central toroidal plasma with electrical currents surrounded by a generally ellipsoidal mantle of ionized particles or electrically conducting matter. The preferred methods of forming this compound plasma configuration include the steps of forming a helical ionized path in a gaseous medium and simultaneously discharging a high potential through the ionized path to produce a helical or heliform current which collapses on itself to produce a toroidal current, or generating a toroidal plasmoid, supplying magnetic energy to the plasmoid, and applying fluid pressure external to the plasmoid. The apparatus of the present invention includes a pressure chamber wherein the compound plasma configuration can be isolated or compressed by fluid or other forms of mechanical or magnetic pressure. 47 claims, 10 figures

  2. Plasma confinement apparatus using solenoidal and mirror coils

    Science.gov (United States)

    Fowler, T. Kenneth; Condit, William C.

    1979-01-01

    A plasma confinement apparatus, wherein multiple magnetic mirror cells are linked by magnetic field lines inside of a solenoid with the mirroring regions for adjacent magnetic mirror cells each formed by a separate mirror coil inside of the solenoid. The magnetic mirror cells may be field reversed.

  3. Plasma confinement apparatus using solenoidal and mirror coils

    International Nuclear Information System (INIS)

    Fowler, T.K.; Condit, W.C.

    1979-01-01

    A plasma confinement apparatus is described, wherein multiple magnetic mirror cells are linked by magnetic field lines inside of a solenoid with the mirroring regions for adjacent magnetic mirror cells each formed by a separate mirror coil inside of the solenoid. The magnetic mirror cells may be field reversed

  4. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  5. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  6. Development of SMM wave laser scattering apparatus for the measurements of waves and turbulences in the tokamak plasma

    International Nuclear Information System (INIS)

    Saito, T.; Hamada, Y.; Yamashita, T.; Ikeda, M.; Nakamura, M.

    1980-01-01

    The SMM wave laser scattering apparatus has been developed for the measurement of the waves and turbulences in the plasma. This apparatus will help greatly to clarify the physics of RF heating of the tokamak plasma. The present status of main parts of the apparatus, the SMM wave laser and the Schottky barrier diode mixer for the heterodyne receiver, are described. (author)

  7. An apparatus for sequential pulsed plasma beam treatment in combination with Arc PVD deposition

    International Nuclear Information System (INIS)

    Stanislawski, J.; Werner, Z.; Piekoszewski, J.; Richter, E.

    2002-01-01

    A hybrid type of apparatus is described which enables one to form a thin multi-layer film on the surface of any kind of solid substrate. In one process, the surface is treated with a high intensity pulse plasma beam which introduces the chosen kind of atoms into the near-surface layer of the substrate. In the second process, following the first without breaking the vacuum, the coating is formed by arc PVD (physics vapour deposition) process. Two examples of coatings formed on metallic and ceramic substrates are presented. (author)

  8. Apparatus for magnetic and electrostatic confinement of plasma

    Science.gov (United States)

    Rostoker, Norman; Binderbauer, Michl

    2013-06-11

    An apparatus and method for containing plasma and forming a Field Reversed Configuration (FRC) magnetic topology are described in which plasma ions are contained magnetically in stable, non-adiabatic orbits in the FRC. Further, the electrons are contained electrostatically in a deep energy well, created by tuning an externally applied magnetic field. The simultaneous electrostatic confinement of electrons and magnetic confinement of ions avoids anomalous transport and facilitates classical containment of both electrons and ions. In this configuration, ions and electrons may have adequate density and temperature so that upon collisions ions are fused together by nuclear force, thus releasing fusion energy. Moreover, the fusion fuel plasmas that can be used with the present confinement system and method are not limited to neutronic fuels only, but also advantageously include advanced fuels.

  9. Miniature pulsed vacuum arc plasma gun and apparatus for thin-film fabrication

    Science.gov (United States)

    Brown, Ian G.; MacGill, Robert A.; Galvin, James E.; Ogletree, David F.; Salmeron, Miquel

    1998-01-01

    A miniature (dime-size in cross-section) vapor vacuum arc plasma gun is described for use in an apparatus to produce thin films. Any conductive material can be layered as a film on virtually any substrate. Because the entire apparatus can easily be contained in a small vacuum chamber, multiple dissimilar layers can be applied without risk of additional contamination. The invention has special applications in semiconductor manufacturing.

  10. Electrode assemblies, plasma apparatuses and systems including electrode assemblies, and methods for generating plasma

    Science.gov (United States)

    Kong, Peter C; Grandy, Jon D; Detering, Brent A; Zuck, Larry D

    2013-09-17

    Electrode assemblies for plasma reactors include a structure or device for constraining an arc endpoint to a selected area or region on an electrode. In some embodiments, the structure or device may comprise one or more insulating members covering a portion of an electrode. In additional embodiments, the structure or device may provide a magnetic field configured to control a location of an arc endpoint on the electrode. Plasma generating modules, apparatus, and systems include such electrode assemblies. Methods for generating a plasma include covering at least a portion of a surface of an electrode with an electrically insulating member to constrain a location of an arc endpoint on the electrode. Additional methods for generating a plasma include generating a magnetic field to constrain a location of an arc endpoint on an electrode.

  11. Process and apparatus for cracking petroleum, etc

    Energy Technology Data Exchange (ETDEWEB)

    1931-04-25

    Process for catalytic cracking of petroleum and similar material at normal pressure, characterized by the oil vaporized in a vaporizer being treated in a first catalyst-chamber and in a separator attached to it, light constituents being separated, and then its being run into a second apparatus-group (vaporizer, catalyst-chamber, and separator), further groups following in series, whereby the catalyst works in a separate catalyst apparatus with ever-increasing activity time on the always lesser but always more difficult to crack material, so that in the whole apparatus a methodical cracking process is effected.

  12. Apparatus and method for radiation processing of materials

    International Nuclear Information System (INIS)

    Neuberg, W.B.; Luniewski, R.

    1983-01-01

    A method and apparatus for radiation degradation processing of polytetrafluoroethylene makes use of a simultaneous irradiation, agitation and cooling. The apparatus is designed to make efficent use of radiation in the processing. (author)

  13. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  14. Radioactive waste processing apparatus

    Science.gov (United States)

    Nelson, R.E.; Ziegler, A.A.; Serino, D.F.; Basnar, P.J.

    1985-08-30

    Apparatus for use in processing radioactive waste materials for shipment and storage in solid form in a container is disclosed. The container includes a top, and an opening in the top which is smaller than the outer circumference of the container. The apparatus includes an enclosure into which the container is placed, solution feed apparatus for adding a solution containing radioactive waste materials into the container through the container opening, and at least one rotatable blade for blending the solution with a fixing agent such as cement or the like as the solution is added into the container. The blade is constructed so that it can pass through the opening in the top of the container. The rotational axis of the blade is displaced from the center of the blade so that after the blade passes through the opening, the blade and container can be adjusted so that one edge of the blade is adjacent the cylindrical wall of the container, to insure thorough mixing. When the blade is inside the container, a substantially sealed chamber is formed to contain vapors created by the chemical action of the waste solution and fixant, and vapors emanating through the opening in the container. The chamber may be formed by placing a removable extension over the top of the container. The extension communicates with the apparatus so that such vapors are contained within the container, extension and solution feed apparatus. A portion of the chamber includes coolant which condenses the vapors. The resulting condensate is returned to the container by the force of gravity.

  15. Apparatus and method for removing particle species from fusion-plasma-confinement devices

    Science.gov (United States)

    Hamilton, G.W.

    1981-10-26

    In a mirror fusion plasma confinement apparatus, method and apparatus are provided for selectively removing (pumping) trapped low energy (thermal) particle species from the end cell region, without removing the still useful high energy particle species, and without requiring large power input to accomplish the pumping. Perturbation magnets are placed in the thermal barrier region of the end cell region at the turning point characteristic of trapped thermal particles, thus deflecting the thermal particles from their closed trajectory, causing them to drift sufficiently to exit the thermal barrier.

  16. Construction apparatus for thermochemical hydrogen production process

    Energy Technology Data Exchange (ETDEWEB)

    Kubo, S.; Nakajima, H.; Higashi, S.; Onuki, K.; Akino, S.S.N. [Japan Atomic Energy Research Inst., Ibaraki-ken (Japan). Nuclear Heat Utilization Engineering Lab

    2001-06-01

    Studies have been carried out at the Japan Atomic Energy Research Institute (JAERI) on hydrogen production through thermochemical processes such as water-splitting. These studies are classified with iodine-sulphur cycle studies using heat from high temperature gas-cooled reactors. An experimental apparatus was constructed with fluorine resin, glass and quartz. It can produce hydrogen at a rate of 50 litres per hour. Electricity provides the heat required for the operation. The closed chemical process requires special control techniques. The process flow diagram for the apparatus was designed based on the results of previous studies including one where hydrogen production was successfully achieved at a rate of one liter per hour for 48 hours. Experimental operations under atmospheric pressure will be carried out for the next four years to develop the process. The data will be used in the next research and development programs aimed at designing a bench-scale apparatus. 7 refs., 1 tab., 8 figs.

  17. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  18. 10-channel neutral particle energy analyser apparatus and its application to tokamak plasmas

    International Nuclear Information System (INIS)

    Takeuchi, Hiroshi; Funahashi, Akimasa; Takahashi, Koki; Shirakata, Hirofumi; Yano, Syukuro.

    1976-07-01

    A 10-channel neutral particle energy analyser apparatus for measurement of charge-exchange fast atoms emitted from a hot tokamak plasma has been constructed to determine the ion temperature of plasma from fewer discharge shots and to improve the accuracy of measurement. It consists of a 45-degrees parallel plate electrostatic analyser with ten ion detectors (Ceratron multipliers), a charge stripping cell, a dry vacuum pumping system and pulse-counting circuits for data acquisition. A calibration experiment of the apparatus is made for the particle energy and the energy resolution with electron beams of 100 to 1000 eV. The transmission efficiency of particles in the energy analyser is measured with proton beams of 1, 2 and 3 keV, and the conversion efficiency for H 2 gas in a charge stripping cell is also determined with hydrogen-atom beams of 2, 3 and 4 keV. Ion temperatures of JFT-2a and JFT-2 devices were measured with this apparatus, in order to check the usefulness and reliability of the apparatus and to investigate the parameter dependence of ion temperatures. It is found that an ion temperature can be measured with sufficient accuracy from six plasma shots (three shots to determine particle signals and three shots to determine background noises). The peak ion temperatures 80 to 400 eV are about (1/2 - 1/3) of the central electron temperatures. Dependence of the ion temperatures on plasma current I sub(p), toroidal magnetic field B sub(t) and average electron density anti n sub(e) is investigated for I sub(p) = 15 to 170 kAmp, B sub(t) = 10 to 18 kGauss and anti n sub(e) = (0.8 to 1.8) x 10 13 cm -3 on JFT-2a and JFT-2 devices. It is shown that the ion temperatures are in good agreement with the scaling law by Artsimovich Tsub(i) proportional to (Isub(p)Bsub(t) anti n sub(e)R 2 )sup(1/3), with R as the major radius of a tokamak device. (J.P.N.)

  19. Apparatus and process for controlling fluidized beds

    Science.gov (United States)

    Rehmat, Amirali G.; Patel, Jitendra G.

    1985-10-01

    An apparatus and process for control and maintenance of fluidized beds under non-steady state conditions. An ash removal conduit is provided for removing solid particulates from a fluidized bed separate from an ash discharge conduit in the lower portion of the grate supporting such a bed. The apparatus and process of this invention is particularly suitable for use in ash agglomerating fluidized beds and provides control of the fluidized bed before ash agglomeration is initiated and during upset conditions resulting in stable, sinter-free fluidized bed maintenance.

  20. Apparatus and process for passivating an SRF cavity

    Science.gov (United States)

    Myneni, Ganapati Rao; Wallace, John P

    2014-12-02

    An apparatus and process for the production of a niobium cavity exhibiting high quality factors at high gradients is provided. The apparatus comprises a first chamber positioned within a second chamber, an RF generator and vacuum pumping systems. The process comprises placing the niobium cavity in a first chamber of the apparatus; thermally treating the cavity by high temperature in the first chamber while maintaining high vacuum in the first and second chambers; and applying a passivating thin film layer to a surface of the cavity in the presence of a gaseous mixture and an RF field. Further a niobium cavity exhibiting high quality factors at high gradients produced by the method of the invention is provided.

  1. Apparatus and method for oxidation and stabilization of polymeric materials

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Sherman, Daniel M [Knoxville, TN

    2009-05-19

    An apparatus for treating polymeric materials comprises a treatment chamber adapted to maintain a selected atmosphere; a means for supporting the polymeric material within the chamber; and, a source of plasma-derived gas containing at least one reactive oxidative species whereby the polymer is stabilized and cross linked through exposure to the oxidative species in the chamber at a selected temperature. The polymer may be directly exposed to the plasma, or alternatively, the plasma may be established in a separate volume from which the reactive species may be extracted and introduced into the vicinity of the polymer. The apparatus may be configured for either batch-type or continuous-type processing. The apparatus and method are especially useful for preparing polymer fibers, particularly PAN fibers, for later carbonization treatments.

  2. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  3. Multistage method and apparatus for separating substances of different atomic weights using a plasma centrifuge

    International Nuclear Information System (INIS)

    Hirshfield, J.L.; Krishnan, M.

    1986-01-01

    This invention provides a method and apparatus for separating isotopes using a plasma centrifuge; in particular, it provides a multistage method and apparatus wherein a laser-initiated vacuum arc is used to fully ionize and form a plasma of the substances to be separated. The substances to be separated are positioned in an evacuated vessel which has a longitudinal axis. A magnetic field is generated in the vessel parallel to the axis of the vessel, and a target comprised of the substances to be separated is positioned at one end of the vessel. Pulsed laser energy is focused on the substances, thereby completely ionizing at least a portion of the substances and forming a plasma. Immediately following the arrival of the laser energy, a current is passed through the substances to be separated, which causes further complete ionization. The plasma is rotated and moved from the target to the collector by the application of a magnetic field. A plurality of skimmers is positioned in the vessel between the target and the collector such that a portion of the rotating plasma strikes the skimmer and is collected thereon. The remainder of the plasma continues moving towards the collector. The material which finally strikes the collector is only a percentage of the starting material, but it is highly enriched or concentrated

  4. Calculation of period processing solution syrup in vacuum apparatus

    Directory of Open Access Journals (Sweden)

    A. A. Slavyanskii

    2016-01-01

    Full Text Available Important and crucial element in the management of the technological flow of production of sugar product standards is the period of time the enrichment of massecuite, since its neutralization in the process of crystal formation in vacuum apparatus, excess sugar solution. Although currently proposed and implemented in the industry, including as a front-end accompany the process, a number of ways in the real world sugar production in many cases have to resort to the services of an experienced operator. It is obvious that in any case it is necessary to have a surround-dependent glucose solution data on time for the excess sugar solution into the vacuum apparatus. With regard to the period of the enrichment of depleted sucrose solution are entered into this substance excess sucrose solution, it should be noted that this problem is theoretically still insufficiently developed. It is obvious that for practical purposes it is desirable to have a simple and convenient for engineering calculation of sugar processing time dependencies of the specified volume of water from the operating parameters of the process (the required concentration of sucrose, temperature of the solution stirring. The problem is the quantitative analysis of sucrose crystallization in vacuum apparatus, including the timing of enrichment solution to the excess syrup, period of time processing massecuite total this apparatus has been investigated in many works. However, due to its importance to the task of obtaining commercial sugar high standards this issue required further in-depth examination. In the article to support the enrichment process solution sucrose due to neutralize this solvent system in vacuum apparatus, from the standpoint of diffusion theory provides a more reasonable compared to known so far, quantitative analysis of this process. Where as sucrose crystals team are considering a system of balls, uniformly distributed in vacuum apparatus. On the basis of the solution

  5. Process and apparatus for conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2006-01-01

    The invention is directed to a process for the conversion of biomass, in particular lignocellulose-containing biomass into a product that may be further processes in a fermentation step. The invention is further directed to apparatus suitable for carrying out such processes. According to the

  6. Pyrolysis process and apparatus

    Science.gov (United States)

    Lee, Chang-Kuei

    1983-01-01

    This invention discloses a process and apparatus for pyrolyzing particulate coal by heating with a particulate solid heating media in a transport reactor. The invention tends to dampen fluctuations in the flow of heating media upstream of the pyrolysis zone, and by so doing forms a substantially continuous and substantially uniform annular column of heating media flowing downwardly along the inside diameter of the reactor. The invention is particularly useful for bituminous or agglomerative type coals.

  7. Process and apparatus for conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2006-01-01

    The invention is directed to a process for the conversion of cellulosic biomass, in particular lignocellulose-containing biomass into fermentable sugars. The invention is further directed to apparatus suitable for carrying out such processes. According to the invention biomass is converted into

  8. Apparatus and method for carbon fiber surface treatment

    Science.gov (United States)

    Paulauskas, Felix L; Sherman, Daniel M

    2014-06-03

    An apparatus and method for enhancing the surface energy and/or surface chemistry of carbon fibers involves exposing the fibers to direct or indirect contact with atmospheric pressure plasma generated using a background gas containing at least some oxygen or other reactive species. The fiber may be exposed directly to the plasma, provided that the plasma is nonfilamentary, or the fiber may be exposed indirectly through contact with gases exhausting from a plasma discharge maintained in a separate volume. In either case, the process is carried out at or near atmospheric pressure, thereby eliminating the need for vacuum equipment. The process may be further modified by moistening the fibers with selected oxygen-containing liquids before exposure to the plasma.

  9. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  10. Process and apparatus for the conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2008-01-01

    The invention is directed to a process for the conversion of cellulosic biomass, in particular lignocellulose-containing biomass into fermentable sugars. The invention is further directed to apparatus suitable for carrying out such processes. According to the invention biomass is converted into

  11. Modeling of column apparatus processes

    CERN Document Server

    Boyadjiev, Christo; Boyadjiev, Boyan; Popova-Krumova, Petya

    2016-01-01

    This book presents a new approach for the modeling of chemical and interphase mass transfer processes in industrial column apparatuses, using convection-diffusion and average-concentration models. The convection-diffusion type models are used for a qualitative analysis of the processes and to assess the main, small and slight physical effects, and then reject the slight effects. As a result, the process mechanism can be identified. It also introduces average concentration models for quantitative analysis, which use the average values of the velocity and concentration over the cross-sectional area of the column. The new models are used to analyze different processes (simple and complex chemical reactions, absorption, adsorption and catalytic reactions), and make it possible to model the processes of gas purification with sulfur dioxide, which form the basis of several patents.

  12. Radioactive waste material melter apparatus

    Science.gov (United States)

    Newman, D.F.; Ross, W.A.

    1990-04-24

    An apparatus for preparing metallic radioactive waste material for storage is disclosed. The radioactive waste material is placed in a radiation shielded enclosure. The waste material is then melted with a plasma torch and cast into a plurality of successive horizontal layers in a mold to form a radioactive ingot in the shape of a spent nuclear fuel rod storage canister. The apparatus comprises a radiation shielded enclosure having an opening adapted for receiving a conventional transfer cask within which radioactive waste material is transferred to the apparatus. A plasma torch is mounted within the enclosure. A mold is also received within the enclosure for receiving the melted waste material and cooling it to form an ingot. The enclosure is preferably constructed in at least two parts to enable easy transport of the apparatus from one nuclear site to another. 8 figs.

  13. Radioactive waste material melter apparatus

    International Nuclear Information System (INIS)

    Newman, D.F.; Ross, W.A.

    1990-01-01

    An apparatus for preparing metallic radioactive waste material for storage is disclosed. The radioactive waste material is placed in a radiation shielded enclosure. The waste material is then melted with a plasma torch and cast into a plurality of successive horizontal layers in a mold to form a radioactive ingot in the shape of a spent nuclear fuel rod storage canister. The apparatus comprises a radiation shielded enclosure having an opening adapted for receiving a conventional transfer cask within which radioactive waste material is transferred to the apparatus. A plasma torch is mounted within the enclosure. A mold is also received within the enclosure for receiving the melted waste material and cooling it to form an ingot. The enclosure is preferably constructed in at least two parts to enable easy transport of the apparatus from one nuclear site to another. 8 figs

  14. Processes, data structures, and apparatuses for representing knowledge

    Science.gov (United States)

    Hohimer, Ryan E [West Richland, WA; Thomson, Judi R [Guelph, CA; Harvey, William J [Richland, WA; Paulson, Patrick R [Pasco, WA; Whiting, Mark A [Richland, WA; Tratz, Stephen C [Richland, WA; Chappell, Alan R [Seattle, WA; Butner, R Scott [Richland, WA

    2011-09-20

    Processes, data structures, and apparatuses to represent knowledge are disclosed. The processes can comprise labeling elements in a knowledge signature according to concepts in an ontology and populating the elements with confidence values. The data structures can comprise knowledge signatures stored on computer-readable media. The knowledge signatures comprise a matrix structure having elements labeled according to concepts in an ontology, wherein the value of the element represents a confidence that the concept is present in an information space. The apparatus can comprise a knowledge representation unit having at least one ontology stored on a computer-readable medium, at least one data-receiving device, and a processor configured to generate knowledge signatures by comparing datasets obtained by the data-receiving devices to the ontologies.

  15. Process and apparatus for controlling control rods

    International Nuclear Information System (INIS)

    Gebelin, B.; Couture, R.

    1987-01-01

    This process and apparatus is characterized by 2 methods, for examination of cluster of nuclear control rods. Foucault current analyzer which examines fraction by fraction all the control rods. This examination is made by rotation of the cluster. Doubtful rods are then analysed by ultrasonic probe [fr

  16. Apparatus and method for stabilization or oxidation of polymeric materials

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; Sherman, Daniel M [Knoxville, TN

    2010-01-19

    An apparatus for treating polymeric materials comprises a treatment chamber adapted to maintain a selected atmosphere at a selected temperature; a means for supporting the polymeric material within the chamber; and, a source of ozone-containing gas, which decomposes at the selected temperature yielding at least one reactive oxidative species whereby the polymer is stabilized and cross linked through exposure to the oxidative species in the chamber at the selected temperature. The ozone may be generated by a plasma discharge or by various chemical processes. The apparatus may be configured for either batch-type or continuous-type processing. The apparatus and method are especially useful for preparing polymer fibers, particularly PAN fibers, for later carbonization treatments as well as to make flame-retardant fabrics.

  17. Method and apparatus for processing algae

    Science.gov (United States)

    Chew, Geoffrey; Reich, Alton J.; Dykes, Jr., H. Waite; Di Salvo, Roberto

    2012-07-03

    Methods and apparatus for processing algae are described in which a hydrophilic ionic liquid is used to lyse algae cells. The lysate separates into at least two layers including a lipid-containing hydrophobic layer and an ionic liquid-containing hydrophilic layer. A salt or salt solution may be used to remove water from the ionic liquid-containing layer before the ionic liquid is reused. The used salt may also be dried and/or concentrated and reused. The method can operate at relatively low lysis, processing, and recycling temperatures, which minimizes the environmental impact of algae processing while providing reusable biofuels and other useful products.

  18. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  19. Apparatus and method for improving electrostatic precipitator performance by plasma reactor conversion of SO.sub.2 to SO.sub.3

    Science.gov (United States)

    Huang, Hann-Sheng; Gorski, Anthony J.

    1999-01-01

    An apparatus and process that utilize a low temperature nonequilibrium plasma reactor, for improving the particulate removal efficiency of an electrostatic precipitator (ESP) are disclosed. A portion of the flue gas, that contains a low level of SO.sub.2 O.sub.2 H.sub.2 O, and particulate matter, is passed through a low temperature plasma reactor, which defines a plasma volume, thereby oxidizing a portion of the SO.sub.2 present in the flue gas into SO.sub.3. An SO.sub.2 rich flue gas is thereby generated. The SO.sub.3 rich flue gas is then returned to the primary flow of the flue gas in the exhaust treatment system prior to the ESP. This allows the SO.sub.3 to react with water to form H.sub.2 SO.sub.4 that is in turn is absorbed by fly ash in the gas stream in order to improve the removal efficiency of the EPS.

  20. Simulation of some nonstationary astrophysical processes in laser-produced-plasma experiments

    International Nuclear Information System (INIS)

    Antonov, V.M.; Zakharov, Yu.P.; Orishich, A.M.; Ponomarenko, A.G.; Posukh, V.G.

    1985-01-01

    Preliminary results and calibration are reported on the astrophysical plasma dynamics simulator. This apparatus creates a spherical plasma cloud by the irradiation of a perlon filament target from two radial opposite directions by pulses of highly ionized background plasma in a high-vacuum chamber with diameter of 1.2 m and length of 5 m. The spherical plasma cloud simulates the exploding peripheric part of a supernova, expanding into the interstellar medium. (author)

  1. Plasma Physics An Introduction to Laboratory, Space, and Fusion Plasmas

    CERN Document Server

    Piel, Alexander

    2010-01-01

    Plasma Physics gives a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The new fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a brief introduction to plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple and emphasizes the underlying concepts. T...

  2. Plasma physics an introduction to laboratory, space, and fusion plasmas

    CERN Document Server

    Piel, Alexander

    2017-01-01

    The enlarged new edition of this textbook provides a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The novel fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a concise description of modern plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple a...

  3. Processes And Apparatus For Inhibiting Membrane Bio-fouling

    KAUST Repository

    Missimer, Thomas M.

    2012-12-20

    Certain embodiments are directed to a process and apparatus for cleaning and/or regeneration of permeable or semipermeable membranes comprising modulating pressure of a feed stream feeding the permeable or semipermeable membrane and providing intermittent pressure pulses for cleaning and/or regeneration of the permeable or semipermeable membrane.

  4. Processes And Apparatus For Inhibiting Membrane Bio-fouling

    KAUST Repository

    Missimer, Thomas M.; Ng, Kim Choon; Amy, Gary

    2012-01-01

    Certain embodiments are directed to a process and apparatus for cleaning and/or regeneration of permeable or semipermeable membranes comprising modulating pressure of a feed stream feeding the permeable or semipermeable membrane and providing intermittent pressure pulses for cleaning and/or regeneration of the permeable or semipermeable membrane.

  5. Apparatus and method X-ray image processing

    International Nuclear Information System (INIS)

    1984-01-01

    The invention relates to a method for X-ray image processing. The radiation passed through the object is transformed into an electric image signal from which the logarithmic value is determined and displayed by a display device. Its main objective is to provide a method and apparatus that renders X-ray images or X-ray subtraction images with strong reduction of stray radiation. (Auth.)

  6. Apparatus for storing and processing fissionable substances

    International Nuclear Information System (INIS)

    Dubovsky, B.G.; Bogatyrev, V.K.; Vladykov, G.M.; Sviridenko, V.Y.

    1974-01-01

    An apparatus is described for storing and processing fissionable substances in which there is provided a protective shield in the form of a layer of neutron absorbing material located in direct proximity to a vessel with a fissionable substance contained therein. The layer of neutron retarding material according to the present invention has alternating projections and depressions facing the layer of neutron-absorbing material. (author)

  7. Design of neutral particle incident heating apparatus for large scale helical apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Kaneko, Osamu; Oka, Yoshihide; Osakabe, Masaki; Takeiri, Yasuhiko; Tsumori, Katsuyoshi; Akiyama, Ryuichi; Asano, Eiji; Kawamoto, Toshikazu; Kuroda, Tsutomu [National Inst. for Fusion Science, Nagoya (Japan)

    1997-02-01

    In the Institute of Nuclear Fusion Science, construction of the large scale helical apparatus has been progressed favorably, and constructions of the heating apparatus as well as of electron resonance apparatus were begun in their orders under predetermined manner since 1994 fiscal year. And, on 1995 fiscal year, construction of neutral particle incident heating apparatus, leading heat apparatus, was begun under 3 years planning. The plasma heating study system adopted the study results developed in this institute through the large scale hydrogen negative ion source and also adopted thereafter development on nuclear fusion study by modifying the original specification set at the beginning of the research plan before 7 years. As a result, system design was changed from initial 125 KeV to 180 KeV in the beam energy and to execute 15 MW incidence using two sets beam lines, to begin its manufacturing. Here is described on its new design with reason of its modifications. (G.K.)

  8. Method and apparatus for lysing and processing algae

    Science.gov (United States)

    Chew, Geoffrey; Reich, Alton J.; Dykes, Jr., H. Waite H.; Di Salvo, Roberto

    2013-03-05

    Methods and apparatus for processing algae are described in which a hydrophilic ionic liquid is used to lyse algae cells at lower temperatures than existing algae processing methods. A salt or salt solution is used as a separation agent and to remove water from the ionic liquid, allowing the ionic liquid to be reused. The used salt may be dried or concentrated and reused. The relatively low lysis temperatures and recycling of the ionic liquid and salt reduce the environmental impact of the algae processing while providing biofuels and other useful products.

  9. Outline of an experimental apparatus for the study on the advanced voloxidation process

    International Nuclear Information System (INIS)

    Uchiyama, Gunzo; Sugikawa, Susumu; Maeda, Mitsuru; Tsujino, Takeshi; Torikai, Seishi; Kitamura, Masafumi; Yamazaki, Kazunobu.

    1990-02-01

    The experimental apparatus (VULCAN, the capacity; 2 kg-UO 2 /batch) was constructed to study on the advanced voloxidation process, which was proposed to reduce amount of tritium released from fuel reprocessing facilities. Using this equipment, a process study was conducted on behaviors of oxidation-reduction of simulated fuels and of release of tritium, and on confinement function of rotary seal of the reactor. An outline of the experimental apparatus is described. (author)

  10. Process and apparatus for distilling bituminous minerals

    Energy Technology Data Exchange (ETDEWEB)

    Veyrier, J A

    1922-03-27

    This process of distillation of bituminous minerals and particularly bituminous limestone is characterized by the fact that the minerals are introduced into the retort where they stand only the temperature necessary to distill the water and lighter oils that they contain and then are drawn out into the hearth and serve for heating the retort. The apparatus is characterized by the fact that the retort has a screw conveyor, placed in the flue of the hearth, supplied with a chamber for evacuation below this hearth.

  11. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp [NTT Device Innovation Center, Morinosato Wakamiya, Atsugi, Kanagawa 243-0198 (Japan)

    2016-06-15

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  12. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Science.gov (United States)

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  13. Safety measures for integrity test apparatus for IS process. Sulfuric acid decomposition section

    International Nuclear Information System (INIS)

    Noguchi, Hiroki; Kubo, Shinji; Iwatsuki, Jin; Onuki, Kaoru

    2013-07-01

    Hazardous substances such as sulfuric acid, sulfur dioxide and hydrogen iodide acid are employed in thermochemical Iodine-Sulfur (IS) process. It is necessary to take safety measure against workers and external environments to study experimentally on IS process. Presently we have been conducting to verify the soundness of main components made of engineering material in actual corrosive condition. An integrity test apparatus for the components of sulfuric acid decomposition was set up. We will use the hazardous substances such as sulfuric acid and sulfur dioxide and perform the experiment in pressurized condition in this integrity test. Safety measures for the test apparatus, operation and abnormal situation were considered prior to starting the test. This report summarized the consideration results for the safety measures on the integrity test apparatus for the components of sulfuric acid decomposition. (author)

  14. Foam generator and viscometer apparatus and process

    Science.gov (United States)

    Reed, Troy D.; Pickell, Mark B.; Volk, Leonard J.

    2004-10-26

    An apparatus and process to generate a liquid-gas-surfactant foam and to measure its viscosity and enable optical and or electronic measurements of physical properties. The process includes the steps of pumping selected and measured liquids and measured gases into a mixing cell. The mixing cell is pressurized to a desired pressure and maintained at a desired pressure. Liquids and gas are mixed in the mixing cell to produce a foam of desired consistency. The temperature of the foam in the mixing cell is controlled. Foam is delivered from the mixing cell through a viscometer under controlled pressure and temperature conditions where the viscous and physical properties of the foam are measured and observed.

  15. Laser apparatus and method for microscopic and spectroscopic analysis and processing of biological cells

    Science.gov (United States)

    Gourley, P.L.; Gourley, M.F.

    1997-03-04

    An apparatus and method are disclosed for microscopic and spectroscopic analysis and processing of biological cells. The apparatus comprises a laser having an analysis region within the laser cavity for containing one or more biological cells to be analyzed. The presence of a cell within the analysis region in superposition with an activated portion of a gain medium of the laser acts to encode information about the cell upon the laser beam, the cell information being recoverable by an analysis means that preferably includes an array photodetector such as a CCD camera and a spectrometer. The apparatus and method may be used to analyze biomedical cells including blood cells and the like, and may include processing means for manipulating, sorting, or eradicating cells after analysis. 20 figs.

  16. Centrifuge apparatus

    Science.gov (United States)

    Sartory, Walter K.; Eveleigh, John W.

    1976-01-01

    A method and apparatus for operating a continuous flow blood separation centrifuge are provided. The hematocrit of the entrant whole blood is continuously maintained at an optimum constant value by the addition of plasma to the entrant blood. The hematocrit of the separated red cells is monitored to indicate the degree of separation taking place, thereby providing a basis for regulating the flow through the centrifuge.

  17. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  18. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  19. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  20. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  1. Apparatus for producing carbon-coated nanoparticles and carbon nanospheres

    Energy Technology Data Exchange (ETDEWEB)

    Perry, W. Lee; Weigle, John C.; Phillips, Jonathan

    2015-10-20

    An apparatus for producing carbon-coated nano- or micron-scale particles comprising a container for entraining particles in an aerosol gas, providing an inlet for carbon-containing gas, providing an inlet for plasma gas, a proximate torch for mixing the aerosol gas, the carbon-containing gas, and the plasma gas, bombarding the mixed gases with microwaves, and providing a collection device for gathering the resulting carbon-coated nano- or micron-scale particles. Also disclosed is a method and apparatus for making hollow carbon nano- or micro-scale spheres.

  2. 3.5. Apparatus for plasma electron temperature measurement by Thomson scattering

    International Nuclear Information System (INIS)

    Kolacek, K.; Babicky, V.

    1981-01-01

    Equipment was developed and tested for measuring time-resolved local electron plasma temperature and density by the Thomson scattering of ruby laser light. The laser consists of a Q-switched generator (ruby 12 mm in diameter by 150 mm long) followed by one amplifier (ruby 16 mm indi long) followed by one amplifier (ruby 16 mm in diameter by 250 mm long). For Q-switching a Pockels cell with a z-cut ADP crystal was used. The laser is capable of delivering 4 J of energy in a pulse of 50 ns in duration. The spectrum of the laser light scattered at an angle of 9a degrees is analyzed by a six-channel polychromator. Fibre optics and photomultipliers with gated amplifiers are used. Output signals are transmitted via a parallel-to-series converter to a single-trace oscilloscope. The whole Thomson scattering apparatus was successfully tested by the Rayleigh scattering in the air at atmospheric pressure. (J.U.)

  3. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  4. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  5. Apparatus for chemical synthesis

    Science.gov (United States)

    Kong, Peter C [Idaho Falls, ID; Herring, J Stephen [Idaho Falls, ID; Grandy, Jon D [Idaho Falls, ID

    2011-05-10

    A method and apparatus for forming a chemical hydride is described and which includes a pseudo-plasma-electrolysis reactor which is operable to receive a solution capable of forming a chemical hydride and which further includes a cathode and a movable anode, and wherein the anode is moved into and out of fluidic, ohmic electrical contact with the solution capable of forming a chemical hydride and which further, when energized produces an oxygen plasma which facilitates the formation of a chemical hydride in the solution.

  6. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  7. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  8. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  9. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  10. Evaluation of co-processed excipients used for direct compression of orally disintegrating tablets (ODT) using novel disintegration apparatus.

    Science.gov (United States)

    Brniak, Witold; Jachowicz, Renata; Krupa, Anna; Skorka, Tomasz; Niwinski, Krzysztof

    2013-01-01

    The compendial method of evaluation of orodispersible tablets (ODT) is the same disintegration test as for conventional tablets. Since it does not reflect the disintegration process in the oral cavity, alternative methods are proposed that are more related to in vivo conditions, e.g. modified dissolution paddle apparatus, texture analyzer, rotating shaft apparatus, CCD camera application, or wetting time and water absorption ratio measurement. In this study, three different co-processed excipients for direct compression of orally disintegrating tablets were compared (Ludiflash, Pharmaburst, F-Melt). The properties of the prepared tablets such as tensile strength, friability, wetting time and water absorption ratio were evaluated. Disintegration time was measured using the pharmacopoeial method and the novel apparatus constructed by the authors. The apparatus was based on the idea of Narazaki et al., however it has been modified. Magnetic resonance imaging (MRI) was applied for the analysis of the disintegration mechanism of prepared tablets. The research has shown the significant effect of excipients, compression force, temperature, volume and kind of medium on the disintegration process. The novel apparatus features better correlation of disintegration time with in vivo results (R(2) = 0.9999) than the compendial method (R(2) = 0.5788), and presents additional information on the disintegration process, e.g. swelling properties.

  11. Long-duration heat load measurement approach by novel apparatus design and highly efficient algorithm

    Science.gov (United States)

    Zhu, Yanwei; Yi, Fajun; Meng, Songhe; Zhuo, Lijun; Pan, Weizhen

    2017-11-01

    Improving the surface heat load measurement technique for vehicles in aerodynamic heating environments is imperative, regarding aspects of both the apparatus design and identification efficiency. A simple novel apparatus is designed for heat load identification, taking into account the lessons learned from several aerodynamic heating measurement devices. An inverse finite difference scheme (invFDM) for the apparatus is studied to identify its surface heat flux from the interior temperature measurements with high efficiency. A weighted piecewise regression filter is also proposed for temperature measurement prefiltering. Preliminary verification of the invFDM scheme and the filter is accomplished via numerical simulation experiments. Three specific pieces of apparatus have been concretely designed and fabricated using different sensing materials. The aerodynamic heating process is simulated by an inductively coupled plasma wind tunnel facility. The identification of surface temperature and heat flux from the temperature measurements is performed by invFDM. The results validate the high efficiency, reliability and feasibility of heat load measurements with different heat flux levels utilizing the designed apparatus and proposed method.

  12. Long-duration heat load measurement approach by novel apparatus design and highly efficient algorithm

    International Nuclear Information System (INIS)

    Zhu, Yanwei; Yi, Fajun; Meng, Songhe; Zhuo, Lijun; Pan, Weizhen

    2017-01-01

    Improving the surface heat load measurement technique for vehicles in aerodynamic heating environments is imperative, regarding aspects of both the apparatus design and identification efficiency. A simple novel apparatus is designed for heat load identification, taking into account the lessons learned from several aerodynamic heating measurement devices. An inverse finite difference scheme (invFDM) for the apparatus is studied to identify its surface heat flux from the interior temperature measurements with high efficiency. A weighted piecewise regression filter is also proposed for temperature measurement prefiltering. Preliminary verification of the invFDM scheme and the filter is accomplished via numerical simulation experiments. Three specific pieces of apparatus have been concretely designed and fabricated using different sensing materials. The aerodynamic heating process is simulated by an inductively coupled plasma wind tunnel facility. The identification of surface temperature and heat flux from the temperature measurements is performed by invFDM. The results validate the high efficiency, reliability and feasibility of heat load measurements with different heat flux levels utilizing the designed apparatus and proposed method. (paper)

  13. Process and apparatus to analyze high-boiling products by distillation

    Energy Technology Data Exchange (ETDEWEB)

    Goupil, J.; Mouton, M.; Fischer, W.

    1982-05-19

    In the described process to analyze high-boiling petroleum products by distillation, contents of these products with atmospheric boiling points above 500/sup 0/C can be isolated as distillates. For this purpose the continuous shortway distillation process is employed and at least a part of the components of the apparatus which serve to introduce the raw product are heated seperately and held at different temperatures. The raw product is distributed on the combustion surface of the shortway distiller by a roller wiping system.

  14. Apparatus for electrical-assisted incremental forming and process thereof

    Science.gov (United States)

    Roth, John; Cao, Jian

    2018-04-24

    A process and apparatus for forming a sheet metal component using an electric current passing through the component. The process can include providing an incremental forming machine, the machine having at least one arcuate tipped tool and at least electrode spaced a predetermined distance from the arcuate tipped tool. The machine is operable to perform a plurality of incremental deformations on the sheet metal component using the arcuate tipped tool. The machine is also operable to apply an electric direct current through the electrode into the sheet metal component at the predetermined distance from the arcuate tipped tool while the machine is forming the sheet metal component.

  15. Method and apparatus for producing average magnetic well in a reversed field pinch

    International Nuclear Information System (INIS)

    Ohkawa, T.

    1983-01-01

    A magnetic well reversed field plasma pinch method and apparatus produces hot magnetically confined pinch plasma in a toroidal chamber having a major toroidal axis and a minor toroidal axis and a small aspect ratio, e.g. < 6. A pinch current channel within the plasma and at least one hyperbolic magnetic axis outside substantially all of the plasma form a region of average magnetic well in a region surrounding the plasma current channel. The apparatus is operated so that reversal of the safety factor q and of the toroidal magnetic field takes place within the plasma. The well-producing plasma cross section shape is produced by a conductive shell surrounding the shaped envelope and by coils. A shell is of copper or aluminium with non-conductive breaks, and is bonded to a thin aluminium envelope by silicone rubber. (author)

  16. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  17. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  18. Apparatus and method for implementing power saving techniques when processing floating point values

    Science.gov (United States)

    Kim, Young Moon; Park, Sang Phill

    2017-10-03

    An apparatus and method are described for reducing power when reading and writing graphics data. For example, one embodiment of an apparatus comprises: a graphics processor unit (GPU) to process graphics data including floating point data; a set of registers, at least one of the registers of the set partitioned to store the floating point data; and encode/decode logic to reduce a number of binary 1 values being read from the at least one register by causing a specified set of bit positions within the floating point data to be read out as 0s rather than 1s.

  19. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  20. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  1. Process and apparatus for detecting presence of plant substances

    International Nuclear Information System (INIS)

    Kirby, J.A.

    1991-01-01

    This patent describes an apparatus and process for detecting the presence of plant substances in a particular environment. It comprises: measuring the background K40 gamma ray radiation level in a particular environment with a 1.46 MeV gamma ray counter system; measuring the amount of K40 gamma ray radiation emanating from a package containing a plant substance being passed through an environment with a counter; and generating an alarm signal when the total K40 gamma ray radiation reaches a predetermined level over and above the background level

  2. Investigation of a staged plasma-focus apparatus

    International Nuclear Information System (INIS)

    Lee, J.H.; McFarland, D.R.; Harries, W.L.

    1978-01-01

    A new staged plasma-focus geometry combining two Mather-type plasma-focus guns has been constructed, and the current-sheet dynamics investigated. The production of simultaneous pairs of plasma foci has been achieved. The intensities of X-ray and fusion-neutron emission were measured and found to agree with the scaling law for a plasma focus. Advantages of this new geometry include the possibility of using plasma-focus type pinches in multiple arrays at power levels beyond the validity regime of the current scaling law for a single gun. (author)

  3. Steady state compact toroidal plasma production

    Science.gov (United States)

    Turner, William C.

    1986-01-01

    Apparatus and method for maintaining steady state compact toroidal plasmas. A compact toroidal plasma is formed by a magnetized coaxial plasma gun and held in close proximity to the gun electrodes by applied magnetic fields or magnetic fields produced by image currents in conducting walls. Voltage supply means maintains a constant potential across the electrodes producing an increasing magnetic helicity which drives the plasma away from a minimum energy state. The plasma globally relaxes to a new minimum energy state, conserving helicity according to Taylor's relaxation hypothesis, and injecting net helicity into the core of the compact toroidal plasma. Controlling the voltage so as to inject net helicity at a predetermined rate based on dissipative processes maintains or increases the compact toroidal plasma in a time averaged steady state mode.

  4. Intensification of Evaporation and Condensation Processes in Heat Exchange Apparatus

    Directory of Open Access Journals (Sweden)

    L. L. Vasiliev

    2005-01-01

    Full Text Available The paper describes proposed design solutions for an intensification of heat transfer in evaporation and condensation heat exchangers. Complex experimental research of heat and mass transfer processes in flat and round cross-section miniature heat pipes is carried out. Optimization, development, manufacturing and an experimental investigation of copper miniature heat pipes with sintered powder are executed. Investigation results of capillary-porous structure properties that are used in evaporation and condensation heat-exchange apparatus are presented.

  5. Controlled nuclear fusion apparatus

    International Nuclear Information System (INIS)

    Bussard, R.W.; Coppi, B.

    1982-01-01

    A fusion power generating device is disclosed having a relatively small and inexpensive core region which may be contained within an energy absorbing blanket region. The fusion power core region contains apparatus of the toroidal type for confining a high density plasma. The fusion power core is removable from the blanket region and may be disposed and/or recycled for subsequent use within the same blanket region. Thermonuclear ignition of the plasma is obtained by feeding neutral fusible gas into the plasma in a controlled manner such that charged particle heating produced by the fusion reaction is utilized to bootstrap the device to a region of high temperatures and high densities wherein charged particle heating is sufficient to overcome radiation and thermal conductivity losses. The high density plasma produces a large radiation and particle flux on the first wall of the plasma core region thereby necessitating replacement of the core from the blanket region from time to time. A series of potentially disposable and replaceable central core regions are disclosed for a large-scale economical electrical power generating plant

  6. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  7. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  8. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  9. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  10. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  11. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  12. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  13. Commissioning and operation of the horizontal test apparatus at SNS

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sang-Ho [ORNL; Neustadt, Thomas S. [ORNL; Howell, Matthew P. [ORNL; Hannah, Brian S. [ORNL; Doleans, Marc [ORNL; Saunders, Jeffrey W. [ORNL

    2015-07-01

    The Spallation Neutron Source (SNS) at Oak Ridge National Lab (ORNL) has built, commissioned and operated a Horizontal Test Apparatus (HTA) vessel in the Radiofrequency Test Facility (RFTF) test cave. It can be operated at 4.5 K using the independent Cryogenic Test Facility (CTF). The HTA is designed to be a single cavity version of an SNS cryomodule with the ability to demount and replace the cavity. It provides the functionality for testing a single dressed SNS medium or high beta Superconducting Radiofrequency (SRF) cavity. The HTA is currently being used in support of R&D for in-situ plasma processing of the cavity's inner niobium surface. The design and commissioning of the HTA at 4.5 K will be presented as well as results from operating the HTA including cool-down, warm-up and steady state operations. Results from plasma processing a warm SCRF cavity in-between cold HTA tests will also be reported.

  14. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  15. Tunable molten oxide pool assisted plasma-melter vitrification systems

    Science.gov (United States)

    Titus, Charles H.; Cohn, Daniel R.; Surma, Jeffrey E.

    1998-01-01

    The present invention provides tunable waste conversion systems and apparatus which have the advantage of highly robust operation and which provide complete or substantially complete conversion of a wide range of waste streams into useful gas and a stable, nonleachable solid product at a single location with greatly reduced air pollution to meet air quality standards. The systems provide the capability for highly efficient conversion of waste into high quality combustible gas and for high efficiency conversion of the gas into electricity by utilizing a high efficiency gas turbine or an internal combustion engine. The solid product can be suitable for various commercial applications. Alternatively, the solid product stream, which is a safe, stable material, may be disposed of without special considerations as hazardous material. In the preferred embodiment, the arc plasma furnace and joule heated melter are formed as a fully integrated unit with a common melt pool having circuit arrangements for the simultaneous independently controllable operation of both the arc plasma and the joule heated portions of the unit without interference with one another. The preferred configuration of this embodiment of the invention utilizes two arc plasma electrodes with an elongated chamber for the molten pool such that the molten pool is capable of providing conducting paths between electrodes. The apparatus may additionally be employed with reduced use or without further use of the gases generated by the conversion process. The apparatus may be employed as a net energy or net electricity producing unit where use of an auxiliary fuel provides the required level of electricity production. Methods and apparatus for converting metals, non-glass forming waste streams and low-ash producing inorganics into a useful gas are also provided. The methods and apparatus for such conversion include the use of a molten oxide pool having predetermined electrical, thermal and physical

  16. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  17. Process and apparatus for examination by penetrating radiations, particularly by tomography

    International Nuclear Information System (INIS)

    Taylor, S.K.; Erker, J.W.; Carper, R.L.

    1980-01-01

    This invention concerns a process and apparatus for examination by penetrating radiation, particularly by tomography. Specifically, the invention refers to the 'tacography' or computer assisted axial tomography machines and, in particular, the machines working by translational and rotational displacement. Such a translational and rotational scanner is designed so that the radiation source and detectors move by translation on a carriage at non constant speed. Data samples are taken, for unit distances in space and not during unit times [fr

  18. Containment of high temperature plasmas

    International Nuclear Information System (INIS)

    Bass, R.W.; Ferguson, H.R.P.; Fletcher, H. Jr.; Gardner, J.; Harrison, B.K.; Larsen, K.M.

    1973-01-01

    Apparatus is described for confining a high temperature plasma which comprises: 1) envelope means shaped to form a toroidal hollow chamber containing a plasma, 2) magnetic field line generating means for confining the plasma in a smooth toroidal shape without cusps. (R.L.)

  19. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  20. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  1. Process and apparatus for sealing nuclear reactor fuel

    International Nuclear Information System (INIS)

    Duncan, R.; Barna, R.P.

    1978-01-01

    A process and apparatus for simultaneously pressurizing a fuel rod having a plug in one end, welding a plug in the other end and sealing a gas pressurizing orifice therein in a single operation is described. A weld chamber is provided which accommodates one end of a seal rod having a plug fixed in the rod end by a friction fit. A mechanism pushes the fuel rod into the weld chamber which is then pressurized to force gas through a plug orifice into the fuel rod. During subsequent rotation of the rod, an electrode in the weld chamber forms a weld puddle which bridges the end plug-fuel rod interface and the plug orifice to thereby weld the plug in the rod and seal the plug orifice in a single operation. 6 claims, 3 figures

  2. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  3. JT-60 negative ion beam NBI apparatus. Present state of its construction and initial experimental results

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Masaaki [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment

    1997-02-01

    The NBI (Neutral Beam Injection) apparatus used for negative ion at first in the world, has an aim to actually prove heating and electric current drive with high density plasma at the JT-60 and to constitute physical and technical bases for selection and design of heating apparatus of ITER (International Thermal Nuclear Fusion Experimental Reactor). Construction of 500 KeV negative ion NBI apparatus for the JT-60 started to operate on 1993 was completed at March, 1996. On the way, at a preliminary test on forming and acceleration of the negative ion beam using a portion of this apparatus, 400 KeV and 13.5 A/D of the highest deuterium negative ion beam acceleration in the world was obtained successfully, which gave a bright forecasting of the plasma heating and electric current drive experiment using the negative ion NBI apparatus. After March, 1996, some plans to begin beam incident experiment at the JT-60 using the negative ion NBI apparatus and to execute the heating and electric current drive experiment at the JT-60 under intending increase of beam output are progressed. (G.K.)

  4. FAM21 directs SNX27–retromer cargoes to the plasma membrane by preventing transport to the Golgi apparatus

    Science.gov (United States)

    Lee, Seongju; Chang, Jaerak; Blackstone, Craig

    2016-01-01

    The endosomal network maintains cellular homeostasis by sorting, recycling and degrading endocytosed cargoes. Retromer organizes the endosomal sorting pathway in conjunction with various sorting nexin (SNX) proteins. The SNX27–retromer complex has recently been identified as a major endosomal hub that regulates endosome-to-plasma membrane recycling by preventing lysosomal entry of cargoes. Here, we show that SNX27 directly interacts with FAM21, which also binds retromer, within the Wiskott–Aldrich syndrome protein and SCAR homologue (WASH) complex. This interaction is required for the precise localization of SNX27 at an endosomal subdomain as well as for recycling of SNX27-retromer cargoes. Furthermore, FAM21 prevents cargo transport to the Golgi apparatus by controlling levels of phosphatidylinositol 4-phosphate, which facilitates cargo dissociation at the Golgi. Together, our results demonstrate that the SNX27–retromer–WASH complex directs cargoes to the plasma membrane by blocking their transport to lysosomes and the Golgi. PMID:26956659

  5. Dusty-Plasma Particle Accelerator

    Science.gov (United States)

    Foster, John E.

    2005-01-01

    A dusty-plasma apparatus is being investigated as means of accelerating nanometer- and micrometer-sized particles. Applications for the dusty-plasma particle accelerators fall into two classes: Simulation of a variety of rapidly moving dust particles and micrometeoroids in outer-space environments that include micrometeoroid streams, comet tails, planetary rings, and nebulae and Deposition or implantation of nanoparticles on substrates for diverse industrial purposes that could include hardening, increasing thermal insulation, altering optical properties, and/or increasing permittivities of substrate materials. Relative to prior apparatuses used for similar applications, dusty-plasma particle accelerators offer such potential advantages as smaller size, lower cost, less complexity, and increased particle flux densities. A dusty-plasma particle accelerator exploits the fact that an isolated particle immersed in plasma acquires a net electric charge that depends on the relative mobilities of electrons and ions. Typically, a particle that is immersed in a low-temperature, partially ionized gas, wherein the average kinetic energy of electrons exceeds that of ions, causes the particle to become negatively charged. The particle can then be accelerated by applying an appropriate electric field. A dusty-plasma particle accelerator (see figure) includes a plasma source such as a radio-frequency induction discharge apparatus containing (1) a shallow cup with a biasable electrode to hold the particles to be accelerated and (2) a holder for the substrate on which the particles are to impinge. Depending on the specific design, a pair of electrostatic-acceleration grids between the substrate and discharge plasma can be used to both collimate and further accelerate particles exiting the particle holder. Once exposed to the discharge plasma, the particles in the cup quickly acquire a negative charge. Application of a negative voltage pulse to the biasable electrode results in the

  6. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  7. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  8. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  9. Experimental works in plasma developed in INPE (Brazil). 1. Double plasma machine for longitudinal wave study. 2. Plasma centrifuge

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ludwig, G.O.; Del Bosco, E.

    1982-01-01

    This work describes some experiments done at the Plasma Physics Laboratory at INPE. In the first part, the double plasma machine used for the study of ion acoustic wave propagation is described, and the results obtained so far are shown. The second part consists in the description of a plasma centrifuge project. It contains some basic parameters of our apparatus used for isotope separation, throuth electromagtnetic rotation of the plasma. (Author) [pt

  10. Method and apparatus for steady-state magnetic measurement of poloidal magnetic field near a tokamak plasma

    Science.gov (United States)

    Woolley, Robert D.

    1998-01-01

    A method and apparatus for the steady-state measurement of poloidal magnetic field near a tokamak plasma, where the tokamak is configured with respect to a cylindrical coordinate system having z, phi (toroidal), and r axes. The method is based on combining the two magnetic field principles of induction and torque. The apparatus includes a rotor assembly having a pair of inductive magnetic field pickup coils which are concentrically mounted, orthogonally oriented in the r and z directions, and coupled to remotely located electronics which include electronic integrators for determining magnetic field changes. The rotor assembly includes an axle oriented in the toroidal direction, with the axle mounted on pivot support brackets which in turn are mounted on a baseplate. First and second springs are located between the baseplate and the rotor assembly restricting rotation of the rotor assembly about its axle, the second spring providing a constant tensile preload in the first spring. A strain gauge is mounted on the first spring, and electronic means to continually monitor strain gauge resistance variations is provided. Electronic means for providing a known current pulse waveform to be periodically injected into each coil to create a time-varying torque on the rotor assembly in the toroidal direction causes mechanical strain variations proportional to the torque in the mounting means and springs so that strain gauge measurement of the variation provides periodic magnetic field measurements independent of the magnetic field measured by the electronic integrators.

  11. Development of a Portable Blood Sugar Apparatus and GOD Enzyme Strip.

    Science.gov (United States)

    Zhen-Cheng, Chen; Yu-Qian, Zhao; Jing-Tian, Tang; Ling-Yun, Li

    2005-01-01

    A pocket blood sugar apparatus tested by enzyme electrode, which was designed using carbon and silver plasma as conducting materials. Both the work and reference electrodes are applied to the parts of enzyme electrode. The glucose oxidase is taken as the medium of blood sugar measuring. And the range of measuring glucose is about 50mg/dL - 500mgl/dL. It has better linear for the results and fit coefficient arrives at 0.985. Its sensitivity of measurement is higher than current glucose biosensor obviously. A single chip microcomputer, AD mu C812, is used for central control processor of the instrument parts. It measures the output of microampere level currency, which is conduced by blood sugar reacting with the glucose oxidase on the enzyme electrode. And at the same time, the microampere level currency is amplified, processed. Then the results are displayed on LCD. The apparatus are better for measuring blood sugar, and the results are consistent with what the large biochemical instruments get.

  12. Study on Energetic Ions Behavior in Plasma Facing Materials at Lower Temperature

    International Nuclear Information System (INIS)

    Morimoto, Y.; Sugiyama, T.; Akahori, S.; Kodama, H.; Tega, E.; Sasaki, M.; Oyaidu, M.; Kimura, H.; Okuno, K.

    2003-01-01

    An apparatus equipped with X-ray Photoelectron Spectroscopy (XPS) and Thermal Desorption Spectroscopy (TDS) was constructed to study interactions of energetic hydrogen isotopes with plasma facing materials. It is a remarkable feature of the apparatus that energetic ion implantation is carried out at around 150K to study reactions of energetic ions with matrix by suppressing the reactions of thermalized ions. Using this apparatus, TDS experiments for pyrolytic graphite implanted with energetic D 2 ions at 173 and 373K were carried out. The experimental results suggest that the deuterium implanted was released through a four-step release processes, involving three D 2 and one CD x (x = 2, 3 and 4) desorption processes. Two deuterium and CD x desorption processes were observed in the temperature range from 700 to 1200 K. In addition, a new deuterium desorption process was observed for the deuterium-implanted sample at 173 K. This has never been observed for deuterium-implanted graphite implanted at temperatures higher than room temperature

  13. Apparatus and method for materials processing utilizing a rotating magnetic field

    Science.gov (United States)

    Muralidharan, Govindarajan; Angelini, Joseph A.; Murphy, Bart L.; Wilgen, John B.

    2017-04-11

    An apparatus for materials processing utilizing a rotating magnetic field comprises a platform for supporting a specimen, and a plurality of magnets underlying the platform. The plurality of magnets are configured for rotation about an axis of rotation intersecting the platform. A heat source is disposed above the platform for heating the specimen during the rotation of the plurality of magnets. A method for materials processing utilizing a rotating magnetic field comprises providing a specimen on a platform overlying a plurality of magnets; rotating the plurality of magnets about an axis of rotation intersecting the platform, thereby applying a rotating magnetic field to the specimen; and, while rotating the plurality of magnets, heating the specimen to a desired temperature.

  14. Process for forming thin film, heat treatment process of thin film sheet, and heat treatment apparatus therefor

    International Nuclear Information System (INIS)

    Watanabe, S.

    1984-01-01

    The invention provides a process for forming a magnetic thin film on a base film, a heat treatment process of a thin film sheet consisting of the base film and the magnetic thin film, and an apparatus for performing heat treatment of the thin film sheet. Tension applied to the thin film sheet is substantially equal to that applied to the base film when the magnetic thin film is formed thereon. Then, the thin film sheet is treated with heat. The thin film sheet is heated with a given temperature gradient to a reactive temperature at which heat shrinkage occurs, while the tension is being applied thereto. Thereafter, the thin film sheet to which the tension is still applied is cooled with substantially the same temperature gradient as applied in heating. The heat treatment apparatus has a film driving unit including a supply reel, a take-up reel, a drive source and guide rollers; a heating unit including heating plates, heater blocks and a temperature controller for heating the sheet to the reactive temperature; and a heat insulating unit including a thermostat and another temperature controller for maintaining the sheet at the nonreactive temperature which is slightly lower than the reactive temperature

  15. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  16. Ultrasonic stir welding process and apparatus

    Science.gov (United States)

    Ding, R. Jeffrey (Inventor)

    2009-01-01

    An ultrasonic stir welding device provides a method and apparatus for elevating the temperature of a work piece utilizing at least one ultrasonic heater. Instead of relying on a rotating shoulder to provide heat to a workpiece an ultrasonic heater is utilized to provide ultrasonic energy to the workpiece. A rotating pin driven by a motor assembly performs the weld on the workpiece. A handheld version can be constructed as well as a fixedly mounted embodiment.

  17. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  18. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  19. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  20. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  1. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  2. The application of high-speed photography and spectrography for investigations of erosive pulsed plasma streams

    International Nuclear Information System (INIS)

    Kiselevskiy, L.I.; Minko, L.Ja.

    The extensive information of pulsed plasma dynamic processes related to formation and interaction of plasma streams with a surrounding medium and obstacles is obtained with the help of high-speed photo and spectrography. The wave structure of pulsed supersonic under-expanded erosive plasma jets is studied. Some physical processes which are due to interactions of laser radiation with the laser-produced erosive plasma and of this plasma with a surrounding medium are investigated. The wide possibilities of frame photography of spectra quantitative spectroscopic investigations of fast-proceeding plasma processes are shown on the basis of joint use of high-speed photographic apparatus (type SFR) and standard spectrographs. The radial distribution of charged-particle concentrations at separate moments of time is obtained from the broadening of spectral lines at the brightness of the continuous spectrum of an erosive plasma jet from a pulsed accelerator

  3. Atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.

    1999-01-01

    Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode. Because of the atmospheric pressure operation, no ions survive for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike low-pressure plasma sources and conventional plasma processing methods.

  4. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  5. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  6. Monitoring apparatus

    International Nuclear Information System (INIS)

    Keats, A.B.

    1981-01-01

    An improved monitoring apparatus for use with process plants, such as nuclear reactors, is described. System failure in the acquisition of data from the plant, owing to stuck signals, is avoided by arranging input signals from transducers in the plant in a test pattern. (U.K.)

  7. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  8. Apparatus for controlling fluidized beds

    Science.gov (United States)

    Rehmat, A.G.; Patel, J.G.

    1987-05-12

    An apparatus and process are disclosed for control and maintenance of fluidized beds under non-steady state conditions. An ash removal conduit is provided for removing solid particulates from a fluidized bed separate from an ash discharge conduit in the lower portion of the grate supporting such a bed. The apparatus and process of this invention is particularly suitable for use in ash agglomerating fluidized beds and provides control of the fluidized bed before ash agglomeration is initiated and during upset conditions resulting in stable, sinter-free fluidized bed maintenance. 2 figs.

  9. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  10. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  11. Apparatus for filling a container with radioactive solid wastes

    International Nuclear Information System (INIS)

    Adachi, T.; Hiratake, S.

    1984-01-01

    In apparatus for filling a container suitable for storage with radioactive solid wastes arising from atomic power plants or the like, a plasma arc is irradiated toward a portion of the wastes to melt the portion of the wastes; portions of the wastes are successively moved so as to be subjected to irradiation of the plasma arc to continuously melt the wastes; and the melts obtained by melting the wastes are permitted to flow down toward the bottom of the container

  12. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  13. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  14. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  15. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  16. Radioactive decontamination apparatus and process

    International Nuclear Information System (INIS)

    Jackson, O.L.

    1983-01-01

    Apparatus for removing radioactive contamination from metal objects is disclosed, consisting of three of three separate pieces. The first is an electro- polishing tank, pump and filter assembly, ventilation duct and filter assembly, and DC power supply. The second is a rinse tank and a pump and filter assembly therefor. The third is a divot crane. The electro-polishing tank assembly and the rinse tank assembly are each separately mounted on pallets to facilitate moving. The filter systems of the electro-polishing tank and the rinse tank are designed to remove the radioactive contamination from the fluids in those tanks. Heavy items or highly contaminated items are handled with the divot crane constructed of stainless steel. The electro- polishing tank and the rinse tank are also made of stainless steel. The ventilation system on the electro- polishing tank exhausts acid fumes resulting from the tank heaters and the electro-polishing process. Inside the electro-polishing tank are two swinging arms that carry two stainless steel probes that hang down in the electrolyte fluid. These negative DC probes and are electrically isolated from the tank and the rest of the system. Across the top center of the tank is a copper pipe, which is also electrically isolated from the tank. This is the positive side of the DC system. To decontaminate a metal object, it is suspended from the positive copper pipe, with good electrical contact, into the electrolyte fluid. The negative probes are then moved on their swinging arms to a close proximity to the object being decontaminated, without making contact

  17. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  18. Apparatus for filtering radioactive fluids

    International Nuclear Information System (INIS)

    Gischel, E.H.

    1975-01-01

    Apparatus is provided for filtering radioactive particles from the cooling and/or auxiliary process water of a nuclear reactor, or nuclear fuel processing plant, or other installations wherein radioactive fluid systems are known to exist. The apparatus affords disposal of the captured particles in a manner which minimizes the exposure of operating personnel to radioactivity. The apparatus comprises a housing adapted to contain a removable filter cartridge assembly, a valve normally closing the lower end of the housing, an upwardly-open shipping cask located below the valve, and an elongated operating rod assembly projecting upwardly from the filter cartridge assembly and through the upper end of the housing to enable a workman to dismount the filter cartridge assembly from its housing and to lower the filter cartridge assembly through the valve and into the cask from a remote location above the housing. (U.S.)

  19. Method and apparatus for producing microspherical particles

    International Nuclear Information System (INIS)

    Egli, W.; Bailey, W.H.; Leary, D.F.; Lansley, R.J.

    1979-01-01

    This invention relates generally to a method and apparatus for producing microspherical particles and more particularly to a method and apparatus which are particularly useful in connection with the sol-gel process for the production of nuclear fuel kernels. (U.K.)

  20. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  1. Apparatus for producing laser targets

    International Nuclear Information System (INIS)

    Jarboe, T.R.; Baker, W.R.

    1975-01-01

    This patent relates to an apparatus and method for producing deuterium targets or pellets of 25u to 75u diameter. The pellets are sliced from a continuously spun solid deuterium thread at a rate of up to 10 pellets/second. The pellets after being sliced from the continuous thread of deuterium are collimated and directed to a point of use, such as a laser activated combustion or explosion chamber wherein the pellets are imploded by laser energy or laser produced target plasmas for neutral beam injection

  2. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  3. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  4. The Apparatus of Digital Archaeology

    Directory of Open Access Journals (Sweden)

    Jeremy Huggett

    2017-06-01

    Full Text Available Digital Archaeology is predicated upon an ever-changing set of apparatuses – technological, methodological, software, hardware, material, immaterial – which in their own ways and to varying degrees shape the nature of Digital Archaeology. Our attention, however, is perhaps inevitably more closely focused on research questions, choice of data, and the kinds of analyses and outputs. In the process we tend to overlook the effects the tools themselves have on the archaeology we do beyond the immediate consequences of the digital. This article introduces cognitive artefacts as a means of addressing the apparatus more directly within the context of the developing archaeological digital ecosystem. It argues that a critical appreciation of our computational cognitive artefacts is key to understanding their effects on both our own cognition and on the creation of archaeological knowledge. In the process, it defines a form of cognitive digital archaeology in terms of four distinct methods for extracting cognition from the digital apparatus layer by layer.

  5. Laser frequency modulation with electron plasma

    Science.gov (United States)

    Burgess, T. J.; Latorre, V. R.

    1972-01-01

    When laser beam passes through electron plasma its frequency shifts by amount proportional to plasma density. This density varies with modulating signal resulting in corresponding modulation of laser beam frequency. Necessary apparatus is relatively inexpensive since crystals are not required.

  6. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  7. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  8. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  9. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  10. Thermal treatment of starch slurry in Couette-Taylor flow apparatus

    Directory of Open Access Journals (Sweden)

    Hubacz Robert

    2017-09-01

    Full Text Available In this paper, thermal processing of starch slurry in a Couette-Taylor flow (CTF apparatus was investigated. Gelatinized starch dispersion, after treatment in the CTF apparatus, was characterized using such parameters like starch granule diameters (or average diameter, starch granule swelling degree (quantifying the amount of water absorbed by starch granules and concentration of dissolved starch. These parameters were affected mostly by the process temperature, although the impact of the axial flow or rotor rotation on them was also observed. Moreover, the analysis of results showed a relatively good correlation between these parameters, as well as, between those parameter and apparent viscosity of gelatinized starch dispersion. Meanwhile, the increase in the value of the apparent viscosity and in shear-tinning behaviour of dispersion was associated with the progress of starch processing in the CTF apparatus. Finally, the CTF apparatuses of different geometries were compared using numerical simulation of the process. The results of the simulation indicated that the apparatus scaling-up without increasing the width of the gap between cylinders results in higher mechanical energy consumption per unit of processed starch slurry.

  11. Apparatus and process for continuous measurement of moisture in moving coal by neutron thermalization

    International Nuclear Information System (INIS)

    Stewart, R.F.

    1967-01-01

    The invention relates to an apparatus and process for the measurement of moisture contents in solid materials. More particularly, the invention makes available a continuous moisture analysis of a moving mass of material, such as coal, by penetrating such material with neutrons emitted from a source of fast neutrons and detecting, counting, and recording slowed or thermalized neutrons reflected from the internal structure of the material. (U.S.)

  12. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  13. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  14. BETA (Bitter Electromagnet Testing Apparatus)

    Science.gov (United States)

    Bates, Evan M.; Birmingham, William J.; Rivera, William F.; Romero-Talamas, Carlos A.

    2017-10-01

    The Bitter Electromagnet Testing Apparatus (BETA) is a 1-Tesla (T) prototype of the 10-T Adjustable Long Pulse High-Field Apparatus (ALPHA). These water-cooled resistive magnets use high DC currents to produce strong uniform magnetic fields. Presented here is the successful completion of the BETA project and experimental results validating analytical magnet designing methods developed at the Dusty Plasma Laboratory (DPL). BETA's final design specifications will be highlighted which include electromagnetic, thermal and stress analyses. The magnet core design will be explained which include: Bitter Arcs, helix starters, and clamping annuli. The final version of the magnet's vessel and cooling system are also presented, as well as the electrical system of BETA, which is composed of a unique solid-state breaker circuit. Experimental results presented will show the operation of BETA at 1 T. The results are compared to both analytical design methods and finite element analysis calculations. We also explore the steady state maximums and theoretical limits of BETA's design. The completion of BETA validates the design and manufacturing techniques that will be used in the succeeding magnet, ALPHA.

  15. Radiographic scanner apparatus

    International Nuclear Information System (INIS)

    Wake, R.H.

    1980-01-01

    The preferred embodiment of this invention includes a hardware system, or processing means, which operates faster than software. Moreover the computer needed is less expensive and smaller. Radiographic scanner apparatus is described for measuring the intensity of radiation after passage through a planar region and for reconstructing a representation of the attenuation of radiation by the medium. There is a source which can be rotated, and detectors, the output from which forms a data line. The detectors are disposed opposite the planar region from the source to produce a succession of data lines corresponding to the succession of angular orientations of the source. There is a convolver means for convolving each of these data lines, with a filter function, and a means of processing the convolved data lines to create the representation of the radiation attenuation in the planar region. There is also apparatus to generate a succession of data lines indicating radiation attenuation along a determinable path with convolver means. (U.K.)

  16. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  17. Large area atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.; Henins, Ivars; Babayan, Steve E.; Hicks, Robert F.

    2001-01-01

    Large area atmospheric-pressure plasma jet. A plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two planar, parallel electrodes are employed to generate a plasma in the volume therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly spacing the rf-powered electrode. Because of the atmospheric pressure operation, there is a negligible density of ions surviving for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike the situation for low-pressure plasma sources and conventional plasma processing methods.

  18. Tomographic scanning apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    Details are given of a tomographic scanning apparatus, with particular reference to the means of adjusting the apparent gain of the signal processing means for receiving output signals from the detectors, to compensate for drift in the gain characteristics, including means for passing a reference signal. (U.K.)

  19. Sphingomyelin synthesis in rat liver occurs predominantly at the cis and medial cisternae of the Golgi apparatus

    International Nuclear Information System (INIS)

    Futerman, A.H.; Stieger, B.; Hubbard, A.L.; Pagano, R.E.

    1990-01-01

    The intracellular site of sphingomyelin (SM) synthesis was examined in subcellular fractions from rat liver using a radioactive ceramide analog N-([1-14C]hexanoyl)-D-erythro-sphingosine. This lipid readily transferred from a complex with bovine serum albumin to liver fractions without disrupting the membranes, and was metabolized to radioactive SM. To prevent degradation of the newly synthesized SM to ceramide, all experiments were performed in the presence of EDTA to minimize neutral sphingomyelinase activity and at neutral pH to minimize acid sphingomyelinase activity. An intact Golgi apparatus fraction gave an 85-98-fold enrichment of SM synthesis and a 58-83-fold enrichment of galactosyltransferase activity. Controlled trypsin digestion demonstrated that SM synthesis was localized to the lumen of intact Golgi apparatus vesicles. Although small amounts of SM synthesis were detected in plasma membrane and rough microsome fractions, after accounting for contamination by Golgi apparatus membranes, their combined activity contributed less than 13% of the total SM synthesis in rat liver. Subfractions of the Golgi apparatus were obtained and characterized by immunoblotting and biochemical assays using cis/medial (mannosidase II) and trans (sialyltransferase and galactosyltransferase) Golgi apparatus markers. The specific activity of SM synthesis was highest in enriched cis and medial fractions but far lower in a trans fraction. We conclude that SM synthesis in rat liver occurs predominantly in the cis and medial cisternae of the Golgi apparatus and not at the plasma membrane or endoplasmic reticulum as has been previously suggested

  20. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  1. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  2. Uranium dioxide calcining apparatus

    International Nuclear Information System (INIS)

    Cole, E.A.; Peterson, R.S.

    1978-01-01

    This invention relates to an improved continuous calcining apparatus for consistently and controllably producing from calcinable reactive solid compounds of uranium, such as ammonium diuranate, uranium dioxide (UO 2 ) having an oxygen to uranium ratio of less than 2.2. The apparatus comprises means at the outlet end of a calciner kiln for receiving hot UO 2 , means for cooling the UO 2 to a temperature of below 100 deg C and conveying the cooled UO 2 to storage or to subsequent UO 2 processing apparatus where it finally comes into contact with air, the means for receiving cooling and conveying being sealed to the outlet end of the calciner and being maintained full of UO 2 and so operable as to exclude atmospheric oxygen from coming into contact with any UO 2 which is at elevated temperatures where it would readily oxidize, without the use of extra hydrogen gas in said means. (author)

  3. Apparatus and process for handling dangerous fluent material

    International Nuclear Information System (INIS)

    Stock, A.J.; Christofer, D.E.; Brinza, J.E.

    1976-01-01

    Systems, apparatus and methods are disclosed for disposing of radioactive waste materials by placing them into a container such as a steel drum, together with cement or other solidifying agent and water or other suitable liquid in amounts sufficient to provide eventually a solidified mixture of predetermined amounts of cement or other solidifying agent and radioactive material, closing the drum, agitating the mixture in the drum for mixing the contents, and then storing the drum for at least a period of time sufficient to permit partial decay of radioactive materials or to await available time for shipment. Also disclosed are remotely controlled apparatus for handling both empty and filled drums, for placing the drums in and removing drums from enclosed drumming equipment where they have been filled and agitated, for accurately placing the drums containing radioactive material in storage, and for removing the drums from storage and loading them on a vehicle for transportation. All of these operations are done by remote control with a high degree of safety to the operators and maintenance personnel from radiation and freedom of the ambient from radiation pollution

  4. 1-MW klystron for fusion plasma heating

    International Nuclear Information System (INIS)

    Okamoto, Tadashi; Miyake, Setsuo; Ohno, Hiroaki

    1985-01-01

    A plasma test apparatus to bring about the critical plasma conditions for nuclear fusion is now under construction in Japan Atomic Energy Research Institute. Among various means of plasma heating, the most promising is the lower hybrid resonance heating (LHRF) in the 2-GHz region. Although it has so far requied 7 to 8 MW of microwave power for the plasma test apparatus, the new klystron, E3778, now constructed by Toshiba has the world's highest output power of 1 MW in the 2-GHz region. In addition to the excellent high-power operation for 10 seconds, the wide operating frequency range of 1.7 to 2.26 GHz by dint of sophisticated high-speed tuning mechanism, and the high durability to reflected power of up to 2.0 of VSWR are the high-lighted features of this klystron, which have never been achieved by conventional klystrons. (author)

  5. Grinding assembly, grinding apparatus, weld joint defect repair system, and methods

    Science.gov (United States)

    Larsen, Eric D.; Watkins, Arthur D.; Bitsoi, Rodney J.; Pace, David P.

    2005-09-27

    A grinding assembly for grinding a weld joint of a workpiece includes a grinder apparatus, a grinder apparatus includes a grinding wheel configured to grind the weld joint, a member configured to receive the grinding wheel, the member being configured to be removably attached to the grinder apparatus, and a sensor assembly configured to detect a contact between the grinding wheel and the workpiece. The grinding assembly also includes a processing circuitry in communication with the grinder apparatus and configured to control operations of the grinder apparatus, the processing circuitry configured to receive weld defect information of the weld joint from an inspection assembly to create a contour grinding profile to grind the weld joint in a predetermined shape based on the received weld defect information, and a manipulator having an end configured to carry the grinder apparatus, the manipulator further configured to operate in multiple dimensions.

  6. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  7. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  8. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  9. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  10. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  11. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  12. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  13. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  14. Method for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1992-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  15. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  16. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  17. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  18. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  19. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  20. Apparatus and Process for Controlled Nanomanufacturing Using Catalyst Retaining Structures

    Science.gov (United States)

    Nguyen, Cattien (Inventor)

    2013-01-01

    An apparatus and method for the controlled fabrication of nanostructures using catalyst retaining structures is disclosed. The apparatus includes one or more modified force microscopes having a nanotube attached to the tip portion of the microscopes. An electric current is passed from the nanotube to a catalyst layer of a substrate, thereby causing a localized chemical reaction to occur in a resist layer adjacent the catalyst layer. The region of the resist layer where the chemical reaction occurred is etched, thereby exposing a catalyst particle or particles in the catalyst layer surrounded by a wall of unetched resist material. Subsequent chemical vapor deposition causes growth of a nanostructure to occur upward through the wall of unetched resist material having controlled characteristics of height and diameter and, for parallel systems, number density.

  1. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  2. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  3. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  4. Final technical report on studies of plasma transport

    International Nuclear Information System (INIS)

    O'Neil, T.M.; Driscoll, C.F.; Malmberg, J.H.

    1997-01-01

    This document gives an overview of the scientific results obtained under the DOE grant, and references the journal articles which give more complete descriptions of the various topics. Recently, the research has been focused on 2-dimensional vortices and turbulence: experiments using a new camera-diagnosed electron plasma apparatus have given surprising results which both clarify and challenge theories. Here, the crossfield E x B flow of the electron plasma is directly analogous to the 2-d flow of an ideal fluid such as water, and may also give insight into more complicated poloidal flows exhibited in toroidal plasmas. The shear-flow instabilities, turbulence, and vortices can be accurately observed, and the free relaxation of this turbulence has been characterized. The physical processes underlying the complicated turbulent evolution can also be studied in more controlled near-linear regimes. The original experimental focus of this program was on radial particle transport from applied external field asymmetries. Here, this research program clearly identified the importance of the collective response of the plasma, giving smaller fields from shielding, or enhanced fields from resonant modes. Experiments and theory work have also elucidated the flow of a plasma along the magnetic field. Finally, some theory was pursued for direct application to fusion plasmas, and to gravitating gas clouds in astrophysics. This program was highly successful in clarifying basic plasma transport processes

  5. EFFICIENCY INCREASE OF MASTERING PROCESS OF PHYSICS CONCEPTUAL APPARATUS BY STUDENTS THOUGHT THE INSTRUMENTALITY OF MULTIMEDIA FACILITIES

    Directory of Open Access Journals (Sweden)

    Olga P. Pinchuk

    2010-09-01

    Full Text Available The relevance of the article material specified the change of accent in school subjects teaching from the transmission of knowledge to forming of students’ ability and willingness to use this knowledge in the real vital situations. The elements of forming method of students’ conceptual apparatus on the lessons of physics are offered. The process of mastering of scientific knowledge system by students with the use of different working methods with conceptual apparatus of physics is described. Use of multimedia technologies on the different stages of teacher’s activity is studied. The author considers research of possibilities of combination of the free communication with an audience with the use of computer device and co-operation of means of network technologies and telecommunications with an educational purpose to be perspective.

  6. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  7. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  8. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  9. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  10. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  11. Microwave Production of Steady State Large Volume Air Plasmas

    National Research Council Canada - National Science Library

    Brandenburg, John

    1999-01-01

    ...) and these plasmas persist for hundreds of milliseconds after power is turned off. These plasmas can be made in an inexpensive and easy to build apparatus based around a microwave oven operating at approximately 1kW and 2.45GHz...

  12. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  13. Atmospheric-pressure plasma decontamination/sterilization chamber

    Science.gov (United States)

    Herrmann, Hans W.; Selwyn, Gary S.

    2001-01-01

    An atmospheric-pressure plasma decontamination/sterilization chamber is described. The apparatus is useful for decontaminating sensitive equipment and materials, such as electronics, optics and national treasures, which have been contaminated with chemical and/or biological warfare agents, such as anthrax, mustard blistering agent, VX nerve gas, and the like. There is currently no acceptable procedure for decontaminating such equipment. The apparatus may also be used for sterilization in the medical and food industries. Items to be decontaminated or sterilized are supported inside the chamber. Reactive gases containing atomic and metastable oxygen species are generated by an atmospheric-pressure plasma discharge in a He/O.sub.2 mixture and directed into the region of these items resulting in chemical reaction between the reactive species and organic substances. This reaction typically kills and/or neutralizes the contamination without damaging most equipment and materials. The plasma gases are recirculated through a closed-loop system to minimize the loss of helium and the possibility of escape of aerosolized harmful substances.

  14. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  15. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  16. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  17. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  18. Radiography apparatus

    International Nuclear Information System (INIS)

    Redmayne, I.G.B.

    1985-01-01

    Apparatus for the inspection of pipe welds comprises a radiation source for transmitting radiation, say as X-rays, through a pipe weld and a detector in a box arranged diametrically opposite the source, with respect to the pipe, for detecting the transmitted radiation and providing electrical signals which are processed to produce an image of the weld. The source and detector are mounted on a frame which is rotatable about an inner frame clamped to the pipe. (author)

  19. ROLLER FILTRATION APPARATUS

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates to the field of filtering, more precisely the present invention concerns an apparatus and a method for the separation of dry matter from a medium and the use of said apparatus. One embodiment discloses an apparatus for the separation of dry matter and liquid from a m...

  20. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  1. Multipactor discharge apparatus

    International Nuclear Information System (INIS)

    1976-01-01

    The invention deals with a multipactor discharge apparatus which can be used for tuning microwave organs such as magnetron oscillators and other cavity resonators. This apparatus is suitable for delivering an improved tuning effect in a resonation organ wherefrom the working frequency must be set. This apparatus is equipped with two multipactor discharge electrodes set in a configuration such to that a net current flows from one electrode to another. These electrodes are parallel and flat. The apparatus can be used in magnetron devices as well for continuous waves as for impulses

  2. Gamma tomography apparatus

    International Nuclear Information System (INIS)

    Span, F.J.

    1988-01-01

    The patent concerns a gamma tomography apparatus for medical diagnosis. The apparatus comprises a gamma scintillation camera head and a suspension system for supporting and positioning the camera head with respect for the patient. Both total body scanning and single photon emission tomography can be carried out with the apparatus. (U.K.)

  3. Model of Coupled Drives Apparatus – Static and Dynamic Characteristics

    Directory of Open Access Journals (Sweden)

    Chalupa Petr

    2016-01-01

    Full Text Available The paper presents an initial part of a modelling the Coupled Drives Apparatus CE108 developed by TecQuipment Ltd. The final model should be used in the process of control design for the apparatus. The model derived by the developers of the apparatus using first-principle modelling is used as a starting point for comparison of its properties with measured characteristics. The static and dynamic characteristics of the real-time apparatus are presented and discussed. Special attention is paid to principal differences between the model and the real-time apparatus. Real-time measurements are obtained using MATLAB / Simulink environment in connection with a Real-time Toolbox. Evaluation of the experiments is also performed in the MATLAB environment

  4. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  5. Process and apparatus for removing layers of liquids floating on the surface of water

    Energy Technology Data Exchange (ETDEWEB)

    1968-11-12

    This apparatus is towed or pushed by suitable means and collects a suitable thickness of the floating liquid and of water. The 2 liquids are then separated, the purified water is rejected outboard, and the polluting liquid is collected in a reservoir of the apparatus, from which it can easily be pumped and recovered in tanks.

  6. Apparatus for control of mercury

    Science.gov (United States)

    Downs, William; Bailey, Ralph T.

    2001-01-01

    A method and apparatus for reducing mercury in industrial gases such as the flue gas produced by the combustion of fossil fuels such as coal adds hydrogen sulfide to the flue gas in or just before a scrubber of the industrial process which contains the wet scrubber. The method and apparatus of the present invention is applicable to installations employing either wet or dry scrubber flue gas desulfurization systems. The present invention uses kraft green liquor as a source for hydrogen sulfide and/or the injection of mineral acids into the green liquor to release vaporous hydrogen sulfide in order to form mercury sulfide solids.

  7. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  8. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  9. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  10. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  11. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  12. Apparatuses, Systems and Methods for Cleaning Photovoltaic Devices

    KAUST Repository

    Eitelhuber, Georg

    2013-02-14

    Embodiments of solar panel cleaning apparatuses, solar panel cleaning systems, and solar panel cleaning methods are disclosed. In certain embodiments, the disclosed solar panel cleaning apparatuses, systems and methods do may not require any water or other cleaning liquids in the whole cleaning process, which makes them prominent well suited in for water-deficit environments such as deserts. In one embodiment, the solar panel cleaning apparatus comprises one or more rotatable brushes each having a rotational axis and a drive configured to move each of the one or more rotatable brushes in a direction that is not perpendicular to the rotational axis. The solar panel cleaning apparatus is may be configured such that the angle of the rotational axis of at least one of the one or more rotatable brushes is adjustable relative to the direction of travel.

  13. Ion mobility: its role in plasma chromatography

    International Nuclear Information System (INIS)

    Mason, E.A.

    1984-01-01

    This paper is a review of the basic physical theory underlying plasma chromatography. Essentially, plasma chromatography simply measures ion mobility. The new feature of plasma chromatography, as compared to aqueous electrophoresis, is the existence of a highly-developed and accurate body of theory that connects gaseous ion mobility and diffusion to the ion molecule interactions in the drift tube. Attention is restricted to phenomena occurring in the drift tube portion of the apparatus

  14. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  15. Far-infrared fusion plasma diagnostics. Task IIIA. Final report

    International Nuclear Information System (INIS)

    Luhmann, N.C. Jr.

    1986-01-01

    The Task IIIA program at UCLA has been concerned with the development of innovative yet practical plasma diagnostic systems capable of providing detailed information essential to the success of the fusion program but not presently available within the fusion community. Historically, this has involved an initial development in the laboratory, followed by a test of feasibility on the Microtor tokamak prior to transfer of the technique/instrument to main line fusion devices. Strong emphasis has been placed upon the far-infrared (FIR) spectral region where novel diagnostic systems and technology have been developed and then distributed throughout the fusion program. The major diagnostics under development have been the measurement of plasma microturbulence and coherent modes via multichannel cw collective Thomson scattering, and the application of phase/polarization imaging techniques to provide accurate and detailed (>20 channel) electron density and current profiles not presently available using conventional methods. The eventual transfer of the above techniques to main line fusion devices is, of course, a major goal of the UCLA development program. The multichannel scattering development at UCLA was efficiently transferred to TEXT a few years ago. The apparatus has been employed to investigate the strong spectral and spatial asymmetries in the microturbulence uncovered through the unique multichannel and spatial scanning capabilities of the system. The scattering apparatus has also produced evidence for the ion pressure gradient driven eta/sub i/ modes thought responsible for anomalous transport in the edge regions of tokamak plasmas, as well as providing insight into the wave-wave coupling processes between various plasma modes

  16. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  17. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  18. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  19. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  20. On-line data processing apparatus for spectroscopic measurements of atomic uranium

    International Nuclear Information System (INIS)

    Miron, E.; Levin, L.A.; Erez, G; Baumatz, D; Goren, I.; Shpancer, I.

    1977-01-01

    A computer-based apparatus for on-line spectroscopic measurements of atomic uranium is described. The system is capable of enhancing the signal-to-noise ratio by averaging, and performing calculations. Computation flow charts and programs are included

  1. Plasma driven neutron/gamma generator

    Science.gov (United States)

    Leung, Ka-Ngo; Antolak, Arlyn

    2015-03-03

    An apparatus for the generation of neutron/gamma rays is described including a chamber which defines an ion source, said apparatus including an RF antenna positioned outside of or within the chamber. Positioned within the chamber is a target material. One or more sets of confining magnets are also provided to create a cross B magnetic field directly above the target. To generate neutrons/gamma rays, the appropriate source gas is first introduced into the chamber, the RF antenna energized and a plasma formed. A series of high voltage pulses are then applied to the target. A plasma sheath, which serves as an accelerating gap, is formed upon application of the high voltage pulse to the target. Depending upon the selected combination of source gas and target material, either neutrons or gamma rays are generated, which may be used for cargo inspection, and the like.

  2. Collodion-reinforcement and plasma-cleaning of target foils

    Science.gov (United States)

    Stoner, John O.

    2002-03-01

    The preparation of evaporated target foils can often be facilitated by use of collodion coatings either on the substrate sides or on the exterior surfaces of the foils. Later, such coatings must usually be removed. Cleaning of a foil is necessary if thin layers of adhesives have crept onto the foil. Removal and/or cleaning can often be done satisfactorily with an oxygen plasma. Apparatus and procedures used for this are described. Foils that were cleaned successfully, and some that were incompatible with the cleaning process are listed.

  3. Collodion-reinforcement and plasma-cleaning of target foils

    International Nuclear Information System (INIS)

    Stoner, John O.

    2002-01-01

    The preparation of evaporated target foils can often be facilitated by use of collodion coatings either on the substrate sides or on the exterior surfaces of the foils. Later, such coatings must usually be removed. Cleaning of a foil is necessary if thin layers of adhesives have crept onto the foil. Removal and/or cleaning can often be done satisfactorily with an oxygen plasma. Apparatus and procedures used for this are described. Foils that were cleaned successfully, and some that were incompatible with the cleaning process are listed

  4. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  5. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  6. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  7. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  8. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  9. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  10. Borehole sealing method and apparatus

    International Nuclear Information System (INIS)

    Hartley, J.N.; Jansen, G. Jr.

    1977-01-01

    A method and apparatus is described for sealing boreholes in the earth. The borehole is blocked at the sealing level, and a sealing apparatus capable of melting rock and earth is positioned in the borehole just above seal level. The apparatus is heated to rock-melting temperature and powdered rock or other sealing material is transported down the borehole to the apparatus where it is melted, pooling on the mechanical block and allowed to cool and solidify, sealing the hole. Any length of the borehole can be sealed by slowly raising the apparatus in the borehole while continuously supplying powdered rock to the apparatus to be melted and added to the top of the column of molten and cooling rock, forming a continuous borehole seal. The sealing apparatus consists of a heater capable of melting rock, including means for supplying power to the heater, means for transporting powdered rock down the borehole to the heater, means for cooling the apparatus and means for positioning the apparatus in the borehole. 5 claims, 1 figure

  11. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  12. CVD apparatus and process for the preparation of fiber-reinforced ceramic composites

    Science.gov (United States)

    Caputo, A.J.; Devore, C.E.; Lowden, R.A.; Moeller, H.H.

    1990-01-23

    An apparatus and process for the chemical vapor deposition of a matrix into a preform having circumferentially wound ceramic fibers, comprises heating one surface of the preform while cooling the other surface thereof. The resulting product may have fibers that are wound on radial planes or at an angle from the radial planes. The fibers can also be precoated with pyrolytic carbon before application of the matrix. The matrix is applied by passing reactant gas through the preform thereof to the other side thereof for the initial deposition of matrix near such other surface of the preform. The matrix fills in the preform from the other side surface thereof to the surface of the side of application thereof until a desired amount of matrix has been deposited. 6 figs.

  13. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  14. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  15. Photographic inspection apparatus and process to know the shape and the dimensions of the end parts of steam generator tubes

    International Nuclear Information System (INIS)

    Martin, A.

    1986-01-01

    Before any inspection or repair operation of the tubes of a steam generator, one needs to know the shape and the dimension of the hole of the tube in the near the primary face of the tube plate. The photographic inspection apparatus is moved parallel with the tube plate, inside the water box, such as its optical axis keeps parallel to a determined direction during its displacement. One takes successively photographs of the primary face of the tube plate with the photographic apparatus in different positions, to obtain at least two photographs of each tube to be inspected, under different angles. Photographs are developed at a determined scale of the primary face of the tube plate and of the tube ends. The photographs are oriented two by two to obtain a stereophotogrammetric view of the end parts of each tube. Measurements and examinations are done from the stereophotogrammetric view obtained for each tube, outside the steam generator zone. The invention concerns the process and also the photographic apparatus described in the present patent [fr

  16. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  17. Portable pulse X-ray micro and nanosecond range apparatus for studying fast-going processes in opaque media

    International Nuclear Information System (INIS)

    Goganov, D.A.; Komyak, N.I.; Pelix, E.A.

    Pulse X-radiography (X-ray flash duration in the order of 10 -6 -10 -9 sec) is the principal method for studying fast-going processes in opaque media by serial and parallel radiographic imaging. Description is given and main features are outlined of pulse X-ray apparatus IRA-4b, 5b, 6b producing X-radiation flashes from 0.3 μsec to 10-20 nsec in duration

  18. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  19. Apparatus for drying sugar cubes

    NARCIS (Netherlands)

    Derckx, H.A.J.; Torringa, H.M.

    1999-01-01

    Device for drying sugar cubes containing a heating apparatus for heating and dehumidifying the sugar cubes, a conditioning apparatus for cooling off and possibly further dehumidifying the sugar cubes and a conveying apparatus for conveying the sugar cubes through the heating apparatus and the

  20. Multichannel Thomson scattering apparatus

    International Nuclear Information System (INIS)

    Bretz, N.; Dimock, D.; Foote, V.; Johnson, D.; Long, D.; Tolnas, E.

    1977-07-01

    A Thomson scattering apparatus for measuring the electron temperature and density along a 90 cm diameter of the PLT plasma has been built. A wide angle objective images the 3 mm x 900 mm ruby laser beam onto an image dissector which rearranges the 300 : 1 image to 20 : 1 forming the input slit of a spectrometer. The stigmatic spectrometer provides 20 wavelength elements of approximately 70 A each. A micro-channel-plate image intensifier optically coupled to a cooled SIT tube provides detection with single frame linearity and 1000 : 1 dynamic range. Spatial profiles of N/sub e/ and T/sub e/ in the range 10 13 - 10 14 cm -3 and 0.05 - 3 keV have an accuracy of 30 √10 13 /N/sub e/ (cm -3 ) percent per 1.2 cm element

  1. Uranium dioxide calcining apparatus and method

    International Nuclear Information System (INIS)

    Cole, E.A.; Peterson, R.S.

    1978-01-01

    This invention relates to an improved continuous calcining apparatus for consistently and controllably producing from calcinable reactive solid compounds of uranium, such as ammonium diuranate, uranium dioxide (UO 2 ) having an oxygen to uranium ratio of less than 2.2. The apparatus comprises means at the outlet end of a calciner kiln for receiving hot UO 2 , means for cooling the UO 2 to a temperature of below 100 0 C and conveying the cooled UO 2 to storage or to subsequent UO 2 processing apparatus where it finally comes into contact with air, the means for receiving, cooling and conveying being sealed to the outlet end of the calciner and being maintained full of UO 2 and so operable as to exclude atmospheric oxygen from coming into contact with any UO 2 which is at elevated temperatures where it would readily oxidize, without the use of extra hydrogen gas in said means

  2. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  3. Training apparatus

    International Nuclear Information System (INIS)

    Monteith, W.D.

    1983-01-01

    Training apparatus for use in contamination surveillance uses a mathematical model of a hypothetical contamination source (e.g. nuclear, bacteriological or chemical explosion or leak) to determine from input data defining the contamination source, the contamination level at any location within a defined exercise area. The contamination level to be displayed by the apparatus is corrected to real time from a real time clock or may be displayed in response to a time input from a keyboard. In a preferred embodiment the location is defined by entering UTM grid reference coordinates using the keyboard. The mathematical model used by a microprocessor of the apparatus for simulation of contamination levels in the event of a nuclear explosion is described. (author)

  4. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  5. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  6. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  7. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  8. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  9. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  10. Pore roller filtration apparatus

    DEFF Research Database (Denmark)

    2014-01-01

    The present invention relates to the field of filtering, more precisely the present invention concerns an apparatus and a method for the separation of dry matter from a medium and the use of said apparatus. One embodiment discloses an apparatus for the separation of dry matter from a medium, comp...

  11. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  12. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  13. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  14. Status on underwater plasma arc cutting in KHI, 3

    International Nuclear Information System (INIS)

    Abe, Tadashi; Aota, Toshiichi; Nishizaki, Tadashi; Nakayama, Shigeru; Yamashita, Seiji

    1983-01-01

    In Kawasaki Heavy Industries, Ltd., the development of a remote dismantling system by underwater plasma arc cutting process has been advanced, expecting its application to the dismantling and removal of nuclear reactor facilities. In the previous two reports, the fundamental experimental results such as the comparison of the cutting capability in air and in water were shown, but this time, the remote automatic cutting of wedge-shaped specimens was carried out, using a newly installed manipulator for underwater works, therefore its outline is reported. Also the cutting experiment by overhead position and vertical position was performed by using the same equipment, and comparison was made with the cutting capability by downhand and horizontal positions. It is important to grasp the cutting characteristics in the case of upward advancing and downward advancing cuttings by overhead and vertical positions when the cutting of pressure vessels and horizontal pipes into rings is supposed. The experimental apparatus, the cutting conditions, the testing method and the test results of the cutting capability test, the test of changing direction during cutting, and the remote cutting of pipes into rings are described. The underwater plasma arc cutting can cut all metals, the cutting speed is relatively high, and the apparatus is simple and compact. (Kako, I.)

  15. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  16. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  17. Apparatus and method for the electrolysis of water

    Science.gov (United States)

    Greenbaum, Elias

    2015-04-21

    An apparatus for the electrolytic splitting of water into hydrogen and/or oxygen, the apparatus comprising: (i) at least one lithographically-patternable substrate having a surface; (ii) a plurality of microscaled catalytic electrodes embedded in said surface; (iii) at least one counter electrode in proximity to but not on said surface; (iv) means for collecting evolved hydrogen and/or oxygen gas; (v) electrical powering means for applying a voltage across said plurality of microscaled catalytic electrodes and said at least one counter electrode; and (vi) a container for holding an aqueous electrolyte and housing said plurality of microscaled catalytic electrodes and said at least one counter electrode. Electrolytic processes using the above electrolytic apparatus or functional mimics thereof are also described.

  18. Plasma exhaust purification by thermal swing adsorption: Experimental results and modeling

    International Nuclear Information System (INIS)

    Ricapito, I.; Malara, R.C.

    1996-01-01

    For several years at the Joint Research Centre-Ispra laboratories, cyclic adsorption processes have been developed for the purification of the plasma exhaust stream of a deuterium-tritium fusion reactor. A purification process consisting of two coupled thermal swing adsorption systems seemed to be the most convenient process. In this context, a screening study was carried out to select the most suitable adsorbent materials and appropriate working temperatures. This was mainly done by experimental measurements of adsorption isotherms of the single components of the plasma exhaust stream and by a careful evaluation of the multicomponent adsorption equilibria. Experiments on adsorption dynamics were carried out in a pilot plant to demonstrate the feasibility and to evaluate the performance of the process. The experimental apparatus was designed to treat gas mixture flow rates up to 20 to 30 standard temperature and pressure l/h. A mathematical model was developed and tested against the experimental results to describe the adsorption process and, in particular, to evaluate and to optimize the process cycle time. 27 refs., 4 figs., 9 tabs

  19. Microelectromechanical acceleration-sensing apparatus

    Science.gov (United States)

    Lee, Robb M [Albuquerque, NM; Shul, Randy J [Albuquerque, NM; Polosky, Marc A [Albuquerque, NM; Hoke, Darren A [Albuquerque, NM; Vernon, George E [Rio Rancho, NM

    2006-12-12

    An acceleration-sensing apparatus is disclosed which includes a moveable shuttle (i.e. a suspended mass) and a latch for capturing and holding the shuttle when an acceleration event is sensed above a predetermined threshold level. The acceleration-sensing apparatus provides a switch closure upon sensing the acceleration event and remains latched in place thereafter. Examples of the acceleration-sensing apparatus are provided which are responsive to an acceleration component in a single direction (i.e. a single-sided device) or to two oppositely-directed acceleration components (i.e. a dual-sided device). A two-stage acceleration-sensing apparatus is also disclosed which can sense two acceleration events separated in time. The acceleration-sensing apparatus of the present invention has applications, for example, in an automotive airbag deployment system.

  20. Apparatus for gamma ray radiography

    International Nuclear Information System (INIS)

    Kobayashi, Masatoshi; Enomoto, Shigemasa; Oga, Hiroshi

    1979-01-01

    This is the standard of Japan Non-Destructive Inspection Society, NDIS 1101-79, which stipulates on the design, construction and testing method of the apparatuses for gamma ray radiography used for taking industrial radiograms. The gamma ray apparatuses stipulated in this standard are those containing sealed radioactive isotopes exceeding 100 μCi, which emit gamma ray. The gamma ray apparatuses are classified into three groups according to their movability. The general design conditions, the irradiation dose rate and the sealed radiation sources for the gamma ray apparatuses are stipulated. The construction of the gamma ray apparatuses must be in accordance with the notification No. 52 of the Ministry of Labor, and safety devices and collimators must be equipped. The main bodies of the gamma ray apparatuses must pass the vibration test, penetration test, impact test and shielding efficiency test. The method of each test is described. The attached equipments must be also tested. The tests according to this standard are carried out by the makers of the apparatuses. The test records must be made when the apparatuses have passed the tests, and the test certificates are attached. The limit of guarantee by the endurance test must be clearly shown. The items to be shown on the apparatuses are stipulated. (Kako, I.)

  1. Water intake fish diversion apparatus

    International Nuclear Information System (INIS)

    Taft, E.P. III; Cook, T.C.

    1995-01-01

    A fish diversion apparatus uses a plane screen to divert fish for variety of types of water intakes in order to protect fish from injury and death. The apparatus permits selection of a relatively small screen angle, for example ten degrees, to minimize fish injury. The apparatus permits selection of a high water velocity, for example ten feet per second, to maximize power generation efficiency. The apparatus is especially suitable retrofit to existing water intakes. The apparatus is modular to allow use plural modules in parallel to adjust for water flow conditions. The apparatus has a floor, two opposite side walls, and a roof which define a water flow passage and a plane screen within the passage. The screen is oriented to divert fish into a fish bypass which carries fish to a safe discharge location. The dimensions of the floor, walls, and roof are selected to define the dimensions of the passage and to permit selection of the screen angle. The floor is bi-level with a level upstream of the screen and a level beneath screen selected to provide a uniform flow distribution through the screen. The apparatus may include separation walls to provide a water flow channel between the apparatus and the water intake. Lead walls may be used to adjust water flow conditions into the apparatus. The apparatus features stoplog guides near its upstream and downstream ends to permit the water flow passage to be dewatered. 3 figs

  2. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  3. Mass transfer apparatus and method for separation of gases

    Energy Technology Data Exchange (ETDEWEB)

    Blount, Gerald C.; Gorensek, Maximilian Boris; Hamm, Luther L.

    2018-01-16

    A process and apparatus for separating components of a source gas is provided in which more soluble components of the source gas are dissolved in an aqueous solvent at high pressure. The system can utilize hydrostatic pressure to increase solubility of the components of the source gas. The apparatus includes gas recycle throughout multiple mass transfer stages to improve mass transfer of the targeted components from the liquid to gas phase. Separated components can be recovered for use in a value added application or can be processed for long-term storage, for instance in an underwater reservoir.

  4. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  5. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  6. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  7. Light shielding apparatus

    Science.gov (United States)

    Miller, Richard Dean; Thom, Robert Anthony

    2017-10-10

    A light shielding apparatus for blocking light from reaching an electronic device, the light shielding apparatus including left and right support assemblies, a cross member, and an opaque shroud. The support assemblies each include primary support structure, a mounting element for removably connecting the apparatus to the electronic device, and a support member depending from the primary support structure for retaining the apparatus in an upright orientation. The cross member couples the left and right support assemblies together and spaces them apart according to the size and shape of the electronic device. The shroud may be removably and adjustably connectable to the left and right support assemblies and configured to take a cylindrical dome shape so as to form a central space covered from above. The opaque shroud prevents light from entering the central space and contacting sensitive elements of the electronic device.

  8. NIRS report of investigations for the development of the next generation PET apparatus. FY 2002

    International Nuclear Information System (INIS)

    2003-03-01

    The present status of studies conducted by representative technology fields for the development of the next generation PET apparatus, and the summary of opinions given by investigators of nuclear medicine are reported. The former involves chapters of: Summary of representative technologies for the development of the next generation PET apparatus; Count rate analysis of PET apparatuses for the whole body and small animals by PET simulator; Scintillator; DOI (depth of interaction) detector-evaluation of the detector with 256-ch fluorescence polarization-photomultiplier tubes (FP-PMT) trial apparatus etc; Examination of multi-slice DOI-MR compatible detector for PET; Development of application specific integrated circuit (ASIC) for processing the front-end signals; Detector simulation; Circuit for processing PET detector signals; Signal processing-coincidence circuit; Data collection system; Signal processing technology for the next generation PET; Reconstruction of statistical PET image using DOI signals; Monte Carlo simulation and Unique directions-PET for infants and for the whole body autonomic nervous systems and mental activity; and Actual design and evaluation of image reconstruction by statistical means. Opinions are: Progress of clinical PET apparatus; Desirable PET drugs and apparatuses; From clinical practice for the development of the next generation PET apparatus; From clinical psychiatric studies for the development; From application of drug development and basic researches; From brain PET practice; From clinical PET practice; and The role of National Institute of Radiological Sciences (NIRS) in PET development. Also involved is the publication list. (N.I.)

  9. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  10. Gamma apparatuses for radiotherapy

    International Nuclear Information System (INIS)

    Sul'kin, A.G.

    1986-01-01

    Scientific and technical achievements in development and application of gamma therapeutic apparatuses for external and intracavity irradiations are generalized. Radiation-physical parameters of apparatuses providing usability of progressive methods in radiotherapy of onclogical patients are given. Optimization of main apparatus elements, ensurance of its operation reliability, reduction of errors of irradiation plan reproduction are considered. Attention is paid to radiation safety

  11. Apparatus and method for extracting power from energetic ions produced in nuclear fusion

    Science.gov (United States)

    Fisch, Nathaniel J.; Rax, Jean M.

    1994-01-01

    An apparatus and method of extracting power from energetic ions produced by nuclear fusion in a toroidal plasma to enhance respectively the toroidal plasma current and fusion reactivity. By injecting waves of predetermined frequency and phase traveling substantially in a selected poloidal direction within the plasma, the energetic ions become diffused in energy and space such that the energetic ions lose energy and amplify the waves. The amplified waves are further adapted to travel substantially in a selected toroidal direction to increase preferentially the energy of electrons traveling in one toroidal direction which, in turn, enhances or generates a toroidal plasma current. In an further adaptation, the amplified waves can be made to preferentially increase the energy of fuel ions within the plasma to enhance the fusion reactivity of the fuel ions. The described direct, or in situ, conversion of the energetic ion energy provides an efficient and economical means of delivering power to a fusion reactor.

  12. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  13. Methods and apparatus for controlling rotary machines

    Science.gov (United States)

    Bagepalli, Bharat Sampathkumaran [Niskayuna, NY; Jansen, Patrick Lee [Scotia, NY; Barnes, Gary R [Delanson, NY; Fric, Thomas Frank [Greer, SC; Lyons, James Patrick Francis [Niskayuna, NY; Pierce, Kirk Gee [Simpsonville, SC; Holley, William Edwin [Greer, SC; Barbu, Corneliu [Guilderland, NY

    2009-09-01

    A control system for a rotary machine is provided. The rotary machine has at least one rotating member and at least one substantially stationary member positioned such that a clearance gap is defined between a portion of the rotating member and a portion of the substantially stationary member. The control system includes at least one clearance gap dimension measurement apparatus and at least one clearance gap adjustment assembly. The adjustment assembly is coupled in electronic data communication with the measurement apparatus. The control system is configured to process a clearance gap dimension signal and modulate the clearance gap dimension.

  14. THE CONTROL ALGORITHM OF THE DRYING PROCESS PARTICULATE MATERIALS IN THE APPARATUS WITH THE SWIRLING FLOW OF COOLANT AND MICROWAVE ENERGY SUPPLY

    Directory of Open Access Journals (Sweden)

    S. T. Antipov

    2015-01-01

    Full Text Available The technical task of the process is to improve the drying quality of the final product, increasing the precision and reliability of control, the reduction of specific energy consumption. One of the ways to improve the process is complex and i ts local automation. This paper deals with the problems of development and creation of a new control algorithm drying process of the particulate material. Identified a number of shortcomings of the existing methods of automatic control of the process. As a result, the authors proposed a method for drying particulate materials in the device with swirling flow and the microwave energy supply and its automatic control algorithm. The description of the operating principle of the drying apparatus consists in that the particulate material is wet by using a tangential flow of coolant supplied to the cylinder-drying apparatus which also serves the axial coolant flow, whereby the heat transfer fluid with the particulate material begins to undergo a complex circular movement along the circumference apparatus, thereby increasing its speed and its operation control algorithm. The work of this scheme is carried out at three levels of regulation on the basis of determining the coefficient of efficiency of the dryer, which makes it possible to determine the optimal value of the power equipment and to forecast the cost of electricity. All of the above allows you to get ready for a high quality product while minimizing thermal energy and material costs by optimizing the operating parameters of the drying of the particulate material in the dryer with a combined microwave energy supply and ensure the rational use of heat energy by varying their quantity depending on the characteristics to be dried particulate material and the course of the process.

  15. Design and experimental results of the 1-T Bitter Electromagnet Testing Apparatus (BETA)

    Science.gov (United States)

    Bates, E. M.; Birmingham, W. J.; Romero-Talamás, C. A.

    2018-05-01

    The Bitter Electromagnet Testing Apparatus (BETA) is a 1-Tesla (T) technical prototype of the 10 T Adjustable Long Pulsed High-Field Apparatus. BETA's final design specifications are highlighted in this paper which include electromagnetic, thermal, and stress analyses. We discuss here the design and fabrication of BETA's core, vessel, cooling, and electrical subsystems. The electrical system of BETA is composed of a scalable solid-state DC breaker circuit. Experimental results display the stable operation of BETA at 1 T. These results are compared to both analytical design and finite element calculations. Experimental results validate analytical magnet designing methods developed at the Dusty Plasma Laboratory. The theoretical steady state maxima and the limits of BETA's design are explored in this paper.

  16. RFP plasma experiment at INPE

    International Nuclear Information System (INIS)

    Ueda, M.; Aso, Y.

    1988-01-01

    Plasma experiments in CECI, a small Reversed Field Pinch (RFP) apparatus, are described. Preliminary measurements in this device shown the production of a plasma with peak current of 1.3kA and discharge duration of nearly 80μs, when a toroidal DC field of 100G was used. A loop voltage of 40V was measured and a maximum electron temperature of 3eV was estimated for these discharges. Experimental points in the F-θ diagram for CECI indicate that its plasma is approaching the RFP configuration when the discharge is optimize. The probe data also show that the plasma column expands outward. Numerical results indicate that leakage fields have to be reduced below 5G to form appropriate magnetic surfaces. (author) [pt

  17. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  18. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  19. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  20. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  1. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  2. Apparatus and process for removing a predetermined portion of reflective material from mirror

    Science.gov (United States)

    Perry, Stephen J.; Steinmetz, Lloyd L.

    1994-01-01

    An apparatus and process are disclosed for removal of a stripe of soft reflective material of uniform width from the surface of a mirror by using a blade having a large included angle to inhibit curling of the blade during the cutting operation which could result in damage to the glass substrate of the mirror. The cutting blade is maintained at a low blade angle with respect to the mirror surface to produce minimal chipping along the cut edge and to minimize the force exerted on the coating normal to the glass surface which could deform the flat mirror. The mirror is mounted in a cutting mechanism containing a movable carriage on which the blade is mounted to provide very accurate straightness of the travel of the blade along the mirror.

  3. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  4. Waste Water Treatment Apparatus and Methods

    Science.gov (United States)

    Littman, Howard (Inventor); Plawsky, Joel L. (Inventor); Paccione, John D. (Inventor)

    2014-01-01

    An improved draft tube spout fluid bed (DTSFB) mixing, handling, conveying, and treating apparatus and systems, and methods for operating are provided. The apparatus and systems can accept particulate material and pneumatically or hydraulically conveying the material to mix and/or treat the material. In addition to conveying apparatus, a collection and separation apparatus adapted to receive the conveyed particulate material is also provided. The collection apparatus may include an impaction plate against which the conveyed material is directed to improve mixing and/or treatment. The improved apparatus are characterized by means of controlling the operation of the pneumatic or hydraulic transfer to enhance the mixing and/or reacting by controlling the flow of fluids, for example, air, into and out of the apparatus. The disclosed apparatus may be used to mix particulate material, for example, mortar; react fluids with particulate material; coat particulate material, or simply convey particulate material.

  5. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  6. Construction of shallow land simulation apparatuses

    International Nuclear Information System (INIS)

    Yamamoto, Tadatoshi; Ohtsuka, Yoshiro; Takebe, Shinichi; Ohnuki, Toshihiko; Ogawa, Hiromichi; Harada, Yoshikane; Saitoh, Kazuaki; Wadachi, Yoshiki

    1984-07-01

    Shallow land simulation apparatuses in which natural soil can be used as testing soil have been constructed to investigate the migration characteristics of radionuclides in a disposal site. These apparatuses consist of aerated zone apparatus and aquifer zone one. In the aerated zone apparatus, aerated soil upon ground water level is contained in the soil column (d: 30cm x h: 120cm). In the aquifer zone apparatus, aquifer soil laying ground water level is contained in the soil vessel (b: 90cm x l: 270cm x h: 45cm). This report describes the outline of shallow land simulation apparatuses : function of apparatuses and specification of devices, analysis of obstructions, safety rules, analysis of accidents and operation manual. (author)

  7. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  8. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  9. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  10. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  11. High Speed Pump-Probe Apparatus for Observation of Transitional Effects in Ultrafast Laser Micromachining Processes

    Directory of Open Access Journals (Sweden)

    Ilya Alexeev

    2015-12-01

    Full Text Available A pump-probe experimental approach has been shown to be a very efficient tool for the observation and analysis of various laser matter interaction effects. In those setups, synchronized laser pulses are used to create an event (pump and to simultaneously observe it (probe. In general, the physical effects that can be investigated with such an apparatus are restricted by the temporal resolution of the probe pulse and the observation window. The latter can be greatly extended by adjusting the pump-probe time delay under the assumption that the interaction process remains fairly reproducible. Unfortunately, this assumption becomes invalid in the case of high-repetition-rate ultrafast laser material processing, where the irradiation history strongly affects the ongoing interaction process. In this contribution, the authors present an extension of the pump-probe setup that allows to investigate transitional and dynamic effects present during ultrafast laser machining performed at high pulse repetition frequencies.

  12. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  13. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  14. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  15. Large Rotor Test Apparatus

    Data.gov (United States)

    Federal Laboratory Consortium — This test apparatus, when combined with the National Full-Scale Aerodynamics Complex, produces a thorough, full-scale test capability. The Large Rotor Test Apparatus...

  16. Conduit grinding apparatus

    Science.gov (United States)

    Nachbar, Henry D.; Korytkowski, Alfred S.

    1991-01-01

    A grinding apparatus for grinding the interior portion of a valve stem receiving area of a valve. The apparatus comprises a faceplate, a plurality of cams mounted to an interior face of the faceplate, a locking bolt to lock the faceplate at a predetermined position on the valve, a movable grinder and a guide tube for positioning an optical viewer proximate the area to be grinded. The apparatus can either be rotated about the valve for grinding an area of the inner diameter of a valve stem receiving area or locked at a predetermined position to grind a specific point in the receiving area.

  17. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  18. Thermal stir welding apparatus

    Science.gov (United States)

    Ding, R. Jeffrey (Inventor)

    2011-01-01

    A welding method and apparatus are provided for forming a weld joint between first and second elements of a workpiece. The method includes heating the first and second elements to form an interface of material in a plasticized or melted state interface between the elements. The interface material is then allowed to cool to a plasticized state if previously in a melted state. The interface material, while in the plasticized state, is then mixed, for example, using a grinding/extruding process, to remove any dendritic-type weld microstructures introduced into the interface material during the heating process.

  19. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  20. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  1. What makes a thermal plasma suitable for hazardous waste disposal

    International Nuclear Information System (INIS)

    Benocci, R.; Florio, R.; Galassi, A.; Paolicchio, M.; Sindoni, E.

    1997-01-01

    The basic transport and thermodynamic characteristic of a thermal plasma are analysed in order to emphasize those properties that make a high-temperature source profitable and suitable over the conventional devices for hazardous waste treatment. In addition a survey of the basic reaction sequence and apparatus units is made together with the different approaches to thermal plasma waste treatments

  2. Radioactive waste treatment apparatus

    International Nuclear Information System (INIS)

    Abrams, R.F.; Chellis, J.G.

    1983-01-01

    Radioactive waste treatment apparatus is disclosed in which the waste is burned in a controlled combustion process, the ash residue from the combustion process is removed and buried, the gaseous effluent is treated in a scrubbing solution the pH of which is maintained constant by adding an alkaline compound to the solution while concurrently extracting a portion of the scrubbing solution, called the blowdown stream. The blowdown stream is fed to the incinerator where it is evaporated and the combustibles in the blowdown stream burned and the gaseous residue sent to the scrubbing solution. Gases left after the scrubbing process are treated to remove iodides and are filtered and passed into the atmosphere

  3. Pipework inspection apparatus

    International Nuclear Information System (INIS)

    Wrigglesworth, K.J.; Knowles, J.F.

    1987-01-01

    The patent concerns a pipework inspection apparatus, which is capable of negotiating bends in pipework. The apparatus comprises a TV camera system, which contains an optical section and an electronics section, which are connected by a flexible coupling. The system can be pulled or pushed along the bore of the pipework. (U.K.)

  4. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  5. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  6. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  7. Characterizing Suspension Plasma Spray Coating Formation Dynamics through Curvature Measurements

    Science.gov (United States)

    Chidambaram Seshadri, Ramachandran; Dwivedi, Gopal; Viswanathan, Vaishak; Sampath, Sanjay

    2016-12-01

    Suspension plasma spraying (SPS) enables the production of variety of microstructures with unique mechanical and thermal properties. In SPS, a liquid carrier (ethanol/water) is used to transport the sub-micrometric feedstock into the plasma jet. Considering complex deposition dynamics of SPS technique, there is a need to better understand the relationships among spray conditions, ensuing particle behavior, deposition stress evolution and resultant properties. In this study, submicron yttria-stabilized zirconia particles suspended in ethanol were sprayed using a cascaded arc plasma torch. The stresses generated during the deposition of the layers (termed evolving stress) were monitored via the change in curvature of the substrate measured using an in situ measurement apparatus. Depending on the deposition conditions, coating microstructures ranged from feathery porous to dense/cracked deposits. The evolving stresses and modulus were correlated with the observed microstructures and visualized via process maps. Post-deposition bi-layer curvature measurement via low temperature thermal cycling was carried out to quantify the thermo-elastic response of different coatings. Lastly, preliminary data on furnace cycle durability of different coating microstructures were evaluated. This integrated study involving in situ diagnostics and ex situ characterization along with process maps provides a framework to describe coating formation mechanisms, process parametrics and microstructure description.

  8. An automatic refolding apparatus for preparative-scale protein production.

    Directory of Open Access Journals (Sweden)

    Yanye Feng

    Full Text Available Protein refolding is an important process to recover active recombinant proteins from inclusion bodies. Refolding by simple dilution, dialysis and on-column refolding methods are the most common techniques reported in the literature. However, the refolding process is time-consuming and laborious due to the variability of the behavior of each protein and requires a great deal of trial-and-error to achieve success. Hence, there is a need for automation to make the whole process as convenient as possible. In this study, we invented an automatic apparatus that integrated three refolding techniques: varying dilution, dialysis and on-column refolding. We demonstrated the effectiveness of this technology by varying the flow rates of the dilution buffer into the denatured protein and testing different refolding methods. We carried out different refolding methods on this apparatus: a combination of dilution and dialysis for human stromal cell-derived factor 1 (SDF-1/CXCL12 and thioredoxin fused-human artemin protein (Trx-ARTN; dilution refolding for thioredoxin fused-human insulin-like growth factor I protein (Trx-IGF1 and enhanced fluorescent protein (EGFP; and on-column refolding for bovine serum albumin (BSA. The protein refolding processes of these five proteins were preliminarily optimized using the slowly descending denaturants (or additives method. Using this strategy of decreasing denaturants concentration, the efficiency of protein refolding was found to produce higher quantities of native protein. The standard refolding apparatus configuration can support different operations for different applications; it is not limited to simple dilution, dialysis and on-column refolding techniques. Refolding by slowly decreasing denaturants concentration, followed by concentration or purification on-column, may be a useful strategy for rapid and efficient recovery of active proteins from inclusion bodies. An automatic refolding apparatus employing this

  9. Plasma thermal conversion of bio-oil for hydrogen production

    International Nuclear Information System (INIS)

    Guenadou, David; Lorcet, Helene; Peybernes, Jean; Catoire, Laurent; Osmont, Antoine; Gokalp, Iskender

    2012-01-01

    Numerous processes exist or are proposed for the energetic conversion of biomass. The use of thermal plasma is proposed in the frame of the GALACSY project for the conversion of bio-oil to hydrogen and carbon monoxide. For this purpose, an experimental apparatus has been built. The feasibility of this conversion at very high temperature, as encountered in thermal plasma, is examined both experimentally and numerically. This zero dimensional study tends to show that a high temperature (around 2500 K or above) is needed to ensure a high yield of hydrogen (about 50 mol%) and about 95 mol% of CO+H 2 . Predicted CO+H 2 yield and CO/H 2 ratio are consistent with measurements. It is also expected that the formation of particles and tars is hampered. Thermodynamic data of selected bio-oil components are provided in the CHEMKINNASA format. (authors)

  10. Post-treatment of Plasma-Sprayed Amorphous Ceramic Coatings by Spark Plasma Sintering

    Science.gov (United States)

    Chraska, T.; Pala, Z.; Mušálek, R.; Medřický, J.; Vilémová, M.

    2015-04-01

    Alumina-zirconia ceramic material has been plasma sprayed using a water-stabilized plasma torch to produce free standing coatings. The as-sprayed coatings have very low porosity and are mostly amorphous. The amorphous material crystallizes at temperatures above 900 °C. A spark plasma sintering apparatus has been used to heat the as-sprayed samples to temperatures above 900 °C to induce crystallization, while at the same time, a uniaxial pressure of 80 MPa has been applied to their surface. After such post-treatment, the ceramic samples are crystalline and have very low open porosity. The post-treated material exhibits high hardness and significantly increased flexural strength. The post-treated samples have a microstructure that is best described as nanocomposite with the very small crystallites embedded in an amorphous matrix.

  11. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  12. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  13. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  14. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  15. A mathematical analysis of drug dissolution in the USP flow through apparatus

    Science.gov (United States)

    McDonnell, David; D'Arcy, D. M.; Crane, L. J.; Redmond, Brendan

    2018-03-01

    This paper applies boundary layer theory to the process of drug dissolution in the USP (United States Pharmacopeia) Flow Through Apparatus. The mass transfer rate from the vertical planar surface of a compact within the device is examined. The theoretical results obtained are then compared with those of experiment. The paper also examines the effect on the dissolution process caused by the interaction between natural and forced convection within the apparatus and the introduction of additional boundaries.

  16. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  17. Method and apparatus for preventing agglomeration within fluid hydrocarbons

    International Nuclear Information System (INIS)

    Woodbridge, D.D.

    1979-01-01

    This invention relates to a process for treating a fluid hydrocarbon fuel for retarding the agglomeration between particles thereof and for retarding the growth of bacteria and fungi therein. The process includes that steps of transporting a plurality of unit volumes of said fluid hydrocarbon fuel through an irradiating location and irradiating each unit of the plurality of unit volumes at the irradiating location with either neutron or gamma radiation. An apparatus for treating the fluid hydrocarbon fuels with the nuclear radiation also is provided. The apparatus includes a generally conical central irradiating cavity which is surrounded by a spiral outer irradiating cavity. The fluid hydrocarbon fuel is transported through the cavities while being irradiated by the nuclear radiation

  18. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  19. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  20. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  1. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  2. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  3. Apparatus for feeding nuclear fuel pellets to a loading tray

    International Nuclear Information System (INIS)

    Huggins, T.B.

    1979-01-01

    Apparatus for feeding nuclear fuel pellets at a uniform predetermined rate between pellet centering and grinding apparatus and a tray for loading pellets into nuclear fuel rod. Pellets discharged from the grinding apparatus are conveyed by a belt to a drive wheel forcing the pellets in engagement with the belt. The pellets under the drive wheel are capable of pushing a line of about 36 pellets onto a pellet dumping mechanism. As the dumping mechanism is actuated to dump the pellets on to a loading tray, the pellets moving toward the mechanism are stopped and the drive wheel is simultaneously lifted off the pellets until the pellet dumping process is completed. (U.K.)

  4. Apparatus for real-time size and speed measurements of blow-off particles from pulsed irradiation experiments

    International Nuclear Information System (INIS)

    Von Benken, C.; Johnson, E.A.; Nordberg, M.

    1989-01-01

    The authors present an apparatus capable of detecting micron sized particles traveling at speeds up to 10 6 cm/sec. The apparatus uses light scattering methods with automated data processing. Data generated by this apparatus should be extremely useful in radiation damage studies of components in contamination sensitive optical systems

  5. Tomogram forming process and apparatus using radioactive isotopes

    International Nuclear Information System (INIS)

    Stoddart, H.F.

    1980-01-01

    This invention relates to nuclear medicine and particularly to a tomogram forming apparatus which permits, with great efficiency, the very sensitive quantitative determination and the accurate spatial localization of the radioactivity of a body section of a patient to whom a substance labelled with radioactive isotopes has been administered. This scanner is characterized in that it includes several highly focused collimators placed one after the other, according to an arrangement which surrounds a scanning field. Each collimator is mobile with respect to the adjacent one and a system enables the arrangement to be rotated about the scanning field from one scanning position to another. Another device enables the collimators to be moved so that, for each scanning position, the focus of each collimator uniformly samples at least half the scanning field [fr

  6. III. Penning ionization, associative ionization and chemi-ionization processes

    International Nuclear Information System (INIS)

    Cermak, V.

    1975-01-01

    Physical mechanisms of three important ionization processes in a cold plasma and the methods of their experimental study are discussed. An apparatus for the investigation of the Penning ionization using ionization processes of long lived metastable rare gas atoms is described. Methods of determining interaction energies and ionization rates from the measured energy spectra of the originating electrons are described and illustrated by several examples. Typical associative ionization processes are listed and the ionization rates are compared with those of the Penning ionization. Interactions with short-lived excited particles and the transfer of excitation without ionization are discussed. (J.U.)

  7. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  8. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  9. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  10. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  11. THE DEVELOPMENT OF REGIONAL EXPANSION APPARATUS RESOURCE PLACEMENT IN INDONESIA

    Directory of Open Access Journals (Sweden)

    Suraji

    2018-02-01

    Full Text Available This study aimed to describe, analyze and deeply assess the implementation of regional apparatus resource development into new areas of regional expansion in Indonesia. The research was conducted by using qualitative approach, specifically, case study by analyzing descriptive data in the form of interviews, as well as analyzing valid data documentation to support the research problem. This research was conducted in the new area of Pangandaran Regency of West Java, Indonesia. The results of the research could map that there were still very few development programs of regional and apparatus expansion and it had not become the priority program after the expansion; the target of improving the quality of the apparatus resource appeared not to be the main measure, but rather, the main measure was the structuring of the apparatus and the issue of the regional office infrastructure; the instruments used in the development and training process were also less supportive, it caused many stagnant employees did not understand about new issues in the dynamics of work; apparatus development through training activities and scientific forums (seminars, scientific discussions, workshops and improvements was obstructed due to budget constraints.

  12. Gravity Responsive NADH Oxidase of the Plasma Membrane

    Science.gov (United States)

    Morre, D. James (Inventor)

    2002-01-01

    A method and apparatus for sensing gravity using an NADH oxidase of the plasma membrane which has been found to respond to unit gravity and low centrifugal g forces. The oxidation rate of NADH supplied to the NADH oxidase is measured and translated to represent the relative gravitational force exerted on the protein. The NADH oxidase of the plasma membrane may be obtained from plant or animal sources or may be produced recombinantly.

  13. Using the Tritium Plasma Experiment to evaluate ITER PFC safety

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Bartlit, J.R.; Causey, R.A.; Haines, J.R.

    1993-01-01

    The Tritium Plasma Experiment was assembled at Sandia National Laboratories, Livermore to investigate interactions between dense plasmas at low energies and plasma-facing component materials. This apparatus has the unique capability of replicating plasma conditions in a tokamak divertor with particle flux densities of 2 x 10 19 ions/cm 2 · s and a plasma temperature of about 15 eV using a plasma that includes tritium. With the closure of the Tritium Research Laboratory at Livermore, the experiment was moved to the Tritium Systems Test Assembly facility at Los Alamos National Laboratory. An experimental program has been initiated there using the Tritium Plasma Experiment to examine safety issues related to tritium in plasma-facing components, particularly the ITER divertor. Those issues include tritium retention and release characteristics, tritium permeation rates and transient times to coolant streams, surface modification and erosion by the plasma, the effects of thermal loads and cycling, and particulate production. A considerable lack of data exists in these areas for many of the materials, especially beryllium, being considered for use in ITER. Not only will basic material behavior with respect to safety issues in the divertor environment be examined, but innovative techniques for optimizing performance with respect to tritium safety by material modification and process control will be investigated. Supplementary experiments will be carried out at the Idaho National Engineering Laboratory and Sandia National Laboratory to expand and clarify results obtained on the Tritium Plasma Experiment

  14. Surface martensitization of Carbon steel using Arc Plasma Sintering

    Science.gov (United States)

    Wahyudi, Haris; Dimyati, Arbi; Sebayang, Darwin

    2018-03-01

    In this paper new technology of surface structure modification of steel by short plasma exposure in Arc Plasma Sintering (APS) device is presented. APS is an apparatus working based on plasma generated by DC pulsed current originally used for synthesizing materials via sintering and melting. Plasma exposure in APS was applied into the specimens for 1 and 3 seconds which generate temperature approximately about 1300-1500°C. The SUP9, pearlitic carbon steel samples were used. The hardness, hardening depth and microstructure of the specimens have been investigated by Vickers micro hardness test and Scanning Electron Microscopy (SEM) supported by Energy Dispersive X-Ray Spectroscopy (EDX). The results have showed that the mechanical property was significantly improved due to the formation of single martensitic structures as identified by SEM. The hardness of treated surface evaluated by Vickers hardness test showed significant improvement nearly three time from 190 VHN before to 524 VHN after treatment. Furthermore, EDX confirmed that the formation of martensite layer occurred without altering its composition. The APS also produced uniform hardened layer up to 250 μm. The experiment has demonstrated that arc plasma process was successfully improved the mechanical properties of steel in relatively very short time.

  15. Radioimmunoassay apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    Apparatus for performing a quantitative radioimmunoassay comprising: a substantially spherical bead for carrying an antibody and a gripper for gripping said bead, said gripper comprising an integrally formed unit having a single elongate handle portion and a plurality of resilient fingers arranged at the base of the handle so that when said bead is secured within said fingers, said bead may be freely rotated about any diametric axis of the bead. In particular the invention relates to an apparatus for a two site immunoradiometric assay for serum ferritin in human blood samples. (author)

  16. TRANSFORMER APPARATUS

    Science.gov (United States)

    Wolfgang, F.; Nicol, J.

    1962-11-01

    Transformer apparatus is designed for measuring the amount of a paramagnetic substance dissolved or suspended in a diamagnetic liquid. The apparatus consists of a cluster of tubes, some of which are closed and have sealed within the diamagnetic substance without any of the paramagnetic material. The remaining tubes are open to flow of the mix- ture. Primary and secondary conductors are wrapped around the tubes in such a way as to cancel noise components and also to produce a differential signal on the secondaries based upon variations of the content of the paramagnetic material. (AEC)

  17. Radiotherapy apparatus

    International Nuclear Information System (INIS)

    Leung, P.M.; Webb, H.P.J.

    1985-01-01

    This invention relates to apparatus for applying intracavitary radiotherapy. In previously-known systems radioactive material is conveyed to a desired location within a patient by transporting a chain of balls pneumatically to and from an appropriately inserted applicator. According to this invention a ball chain for such a purpose comprises several radioactive balls separated by non-radioactive tracer balls of radiographically transparent material of lower density and surface hardness than the radioactive balls. The invention also extends to radiotherapy treatment apparatus comprising a storage, sorting and assembly system

  18. Apparatus for measuring a concentration of radioactivity

    International Nuclear Information System (INIS)

    Tabuchi, H.; Ogushi, A.

    1978-01-01

    Disclosed is an apparatus for measuring concentration of radioactivity in a fluid circulating in a cooling system or a disposal system, etc., of a nuclear power plant (e.g. coolant), the apparatus having a plurality of sampling tubes with different diameters depending on the intensities of radioactivity, and the sampling tubes having valves for switching from one fluid to another fluid. The sampling tubes are connected to the system to a discharge pipe, and are disposed in the proximity of a radiation detector adapted to issue a signal representative of radiation. The issued signal is supplied to a multichannel pulse height analyzer and a data processing system providing an indication of the concentrations of radioactivities for respective radionuclides

  19. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  20. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  1. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  2. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  3. Apparatus for Teaching Physics.

    Science.gov (United States)

    Gottlieb, Herbert H., Ed.

    1981-01-01

    Describes: (1) a variable inductor suitable for an inductance-capacitance bridge consisting of a fixed cylindrical solenoid and a moveable solenoid; (2) long-range apparatus for demonstrating falling bodies; and (3) an apparatus using two lasers to demonstrate ray optics. (SK)

  4. Method and an apparatus to control the lateral motion of a long metal bar being formed by a mechanical process such as rolling or drawing

    Science.gov (United States)

    Chang, Tzyy-Shuh; Huang, Hsun-Hau; Lin, Chang-Hung

    2007-10-02

    An adjustable guide, includes two or more mechanisms each having a rotatable retaining element containing a retaining groove with a variable radius in its perimeter surface. The grooves form a guidance path to control the lateral, i.e. non-axial, motion of a long bar moving along a longitudinal axis during a production process.The diameter of the guidance path varies according to the variable radii of the grooves. The guidance path increases in size at a predetermined rate, from a point of origin to an end point on the retaining groove. Rotating the retaining elements causes the diameter of the retaining grooves to change so that the size of the guidance path can be changed to match the diameter of the bar being rolled, size of the guidance path can be changed to fit the diameter of a new bar rolled without having to exchange the guide for a different sized guide, reduce fiction between the bar and the guide, a media, such as compressed air, can be injected between the retaining elements via orifices.Each retaining element is attached to a mounting apparatus. The mounting apparatus can be fixed or flexible. The flexible mounting apparatus includes one or more springs and one or more shock absorbers. A force neutral position of the flexible mounting apparatus is designed to be located on the predetermined ideal bar path line. The flexible mounting apparatus dissipates kinetic energy from the bar thereby reducing the bar's lateral motion relative to the ideal bar path line.The damping ratio of the mounting apparatus can be adjustable to alter the product's vibration mode to enable better control of the bar's lateral motion.

  5. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  6. The Prenylated Rab GTPase Receptor PRA1.F4 Contributes to Protein Exit from the Golgi Apparatus.

    Science.gov (United States)

    Lee, Myoung Hui; Yoo, Yun-Joo; Kim, Dae Heon; Hanh, Nguyen Hong; Kwon, Yun; Hwang, Inhwan

    2017-07-01

    Prenylated Rab acceptor1 (PRA1) functions in the recruitment of prenylated Rab proteins to their cognate organelles. Arabidopsis ( Arabidopsis thaliana ) contains a large number of proteins belonging to the AtPRA1 family. However, their physiological roles remain largely unknown. Here, we investigated the physiological role of AtPRA1.F4, a member of the AtPRA1 family. A T-DNA insertion knockdown mutant of AtPRA1.F4 , atpra1.f4 , was smaller in stature than parent plants and possessed shorter roots, whereas transgenic plants overexpressing HA:AtPRA1.F4 showed enhanced development of secondary roots and root hairs. However, both overexpression and knockdown plants exhibited increased sensitivity to high-salt stress, lower vacuolar Na + /K + -ATPase and plasma membrane ATPase activities, lower and higher pH in the vacuole and apoplast, respectively, and highly vesiculated Golgi apparatus. HA:AtPRA1.F4 localized to the Golgi apparatus and assembled into high-molecular-weight complexes. atpra1.f4 plants displayed a defect in vacuolar trafficking, which was complemented by low but not high levels of HA : AtPRA1.F4 Overexpression of HA:AtPRA1.F4 also inhibited protein trafficking at the Golgi apparatus, albeit differentially depending on the final destination or type of protein: trafficking of vacuolar proteins, plasma membrane proteins, and trans-Golgi network (TGN)-localized SYP61 was strongly inhibited; trafficking of TGN-localized SYP51 was slightly inhibited; and trafficking of secretory proteins and TGN-localized SYP41 was negligibly or not significantly inhibited. Based on these results, we propose that Golgi-localized AtPRA1.F4 is involved in the exit of many but not all types of post-Golgi proteins from the Golgi apparatus. Additionally, an appropriate level of AtPRA1.F4 is crucial for its function at the Golgi apparatus. © 2017 American Society of Plant Biologists. All Rights Reserved.

  7. Method and apparatus of prefetching streams of varying prefetch depth

    Science.gov (United States)

    Gara, Alan [Mount Kisco, NY; Ohmacht, Martin [Yorktown Heights, NY; Salapura, Valentina [Chappaqua, NY; Sugavanam, Krishnan [Mahopac, NY; Hoenicke, Dirk [Seebruck-Seeon, DE

    2012-01-24

    Method and apparatus of prefetching streams of varying prefetch depth dynamically changes the depth of prefetching so that the number of multiple streams as well as the hit rate of a single stream are optimized. The method and apparatus in one aspect monitor a plurality of load requests from a processing unit for data in a prefetch buffer, determine an access pattern associated with the plurality of load requests and adjust a prefetch depth according to the access pattern.

  8. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  9. Radiation imaging apparatus

    International Nuclear Information System (INIS)

    1979-01-01

    This invention relates to a radiation imaging apparatus. It relates more particularly to apparatus of this general type which employs stationary X-ray source and detector arrays capable of acquiring multiple ultrafast scans per second to facilitate the dynamic study of moving human organs such as the beating heart. While the invention has many applications, it has particular utility in connection with computerized tomographic (CT) scanners. (Auth.)

  10. Isotopic enrichment in a plasma centrifuge

    International Nuclear Information System (INIS)

    Del Bosco, E.; Dallaqua, R.S.; Ludwig, G.O.; Bittencourt, J.A.

    1986-05-01

    A rotating fully ionized plasma column was produced in a vacuum-arc centrifuge. The apparatus is described and new results for the rotational velocity and isotope enrichment of carbon and metal plasmas are shown. The ion rotation velocity is derived from electrostatic probes measurents and from the azimuthal displacement of the material deposited behind of a narrow slit. The isotope enrichment is measured with a modified quadrupole mass spectrometer, which determines, in situ, the relative abundance of the isotopes at the end of the plasm column at various radil positions. (Author) [pt

  11. Apparatus for controlled mixing in a high intensity mixer

    International Nuclear Information System (INIS)

    Crocker, Z.; Gupta, V.P.

    1982-01-01

    An apparatus and a process is disclosed for controlled mixing of a mixable material in a high intensity mixer. The system enables instantaneous, precise and continual monitoring of a batch in a high intensity mixer which heretofore could not be achieved. The process comprises the steps of feeding a batch of material into a high intensity mixer, agitating the batch in the mixer, monitoring batch temperature separately from mixer temperature and discharging the batch from the mixer when the batch temperature reaches a final predetermined level. The apparatus includes means for monitoring batch temperature in a high intensity mixer separately from mixer temperature, and means responsive to the batch temperature to discharge the batch when the batch temperature reaches a final predetermined level

  12. An apparatus to search for free neutron-antineutron oscillations

    International Nuclear Information System (INIS)

    Bressi, G.; Calligarich, E.; Cambiaghi, M.; Dolfini, R.; Gigli Berzolari, A.; Lanza, A.; Liguori, G.; Mauri, F.; Piazzoli, A.; Ratti, S.P.; Scannicchio, D.; Torre, P.; Conversi, M.; De Zorzi, G.; Massa, F.; Zanello, D.; Cardarelli, R.; Santonico, R.; Terrani, M.

    1987-01-01

    After recalling the phenomenology of neutron-antineutron oscillations expected to occur if the baryon number is not rigorously conserved, the apparatus developed and used in a search for such a process, currently being carried out at the Pavia nuclear reactor, is described in some detail. The apparatus involves a large volume neutron channel (≅ 20 m 3 ) in which the earth magnetic field has been reduced by a factor 50, and large area detectors (scintillators, flash chambers and 'resistive plate counters') operating under conditions of extremely severe background from the reactor. (orig.)

  13. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  14. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  15. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  16. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  17. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  18. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  19. Apparatus and process for separating end of nuclear fuel assembly

    International Nuclear Information System (INIS)

    Beneck, J.A.; Quayre, C.; Moreau, J.R.M.; Vermeille, D.E.A.

    1989-01-01

    The apparatus for cutting the guide tubes of a nuclear fuel assembly below the bottom nozzle has a framework with removable fixation and centering means on the bottom nozzle. Cutting devices in the form of hollow pins are inserted simultaneously into all the guide tubes. Each pin contains a shaft that is moved axially inside it by the expansion system to deploy or retract a cutting edge near the lower end of the pin. A single motor using a gear wheel system, rotates all the cutting pins simultaneously [fr

  20. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  1. Sludge recovery apparatus

    International Nuclear Information System (INIS)

    Marmo, A.R.

    1979-01-01

    An improved design of a sludge recovery apparatus used in the fabrication of nuclear fuel is described. This apparatus provides for automatic separation of sludge from the grinder coolant, drying of the sludge into a flowable powder and transfer of the dry powder to a salvage container. It can be constructed to comply with criticality-safe-geometry requirements and to obviate need for operating personnel in its immediate vicinity. (UK)

  2. Informationization nuclear apparatus communication technique

    International Nuclear Information System (INIS)

    Yu Tiqi; Fang Zongliang; Wen Qilin

    2006-01-01

    The paper explains the request of communication ability in nuclear technique application area. Based on the actuality of nuclear apparatus communication ability, and mainly combining with the development of communication technique, the authors analyzes the application trend of communication technique applying in nuclear apparatus, for the apparatus and system needing communication ability, they need selecting suitable communication means to make them accomplish the task immediately and effectively. (authors)

  3. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  4. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  5. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  6. Surface modification of titanium by plasma nitriding

    Directory of Open Access Journals (Sweden)

    Kapczinski Myriam Pereira

    2003-01-01

    Full Text Available A systematic investigation was undertaken on commercially pure titanium submitted to plasma nitriding. Thirteen different sets of operational parameters (nitriding time, sample temperature and plasma atmosphere were used. Surface analyses were performed using X-ray diffraction, nuclear reaction and scanning electron microscopy. Wear tests were done with stainless steel Gracey scaler, sonic apparatus and pin-on-disc machine. The obtained results indicate that the tribological performance can be improved for samples treated with the following conditions: nitriding time of 3 h; plasma atmosphere consisting of 80%N2+20%H2 or 20%N2+80%H2; sample temperature during nitriding of 600 or 800 degreesC.

  7. Complex nuclear geophysical methods and apparatus to increase the efficiency of prospecting extracting and processing nonradioactive minerals as examplified by tin ores

    International Nuclear Information System (INIS)

    Baldin, S.A.; Voloshchuk, S.N.; Egiazarov, B.G.; Zernov, L.V.; Luchin, I.A.; Matveev, V.V.; Pukhal'skij, L.Ch.; Chesnokov, N.I.

    1979-01-01

    Described is the complex of nuclear geophysical methods and apparatus, with the help of which the problem of the industrial control at all stages of ore concentrating industry are being solved. γ resonance and X-ray radiometric methods and apparatus providing express and not less accurate determination of general tin and tin in the form of cassiterite are used in the complex. The devices developed on the base of semiconductor spectrometers and used both under industrial conditions and in production regimes are used for the first time in the practice of ore concentrating industry. The essential positive effect of the complex on technical economical indices of the industry is found out; it allows to use more effective methods of extracting and processing technology. The similar complexes may be developed for other kinds of nonradioactive minerals

  8. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  9. Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas

    Science.gov (United States)

    Baldwin, D.E.; Logan, B.G.

    The invention provides a method and apparatus for raising the potential of a magnetic mirror cell by pumping charged particles of the opposite sign of the potential desired out of the mirror cell through excitation, with the pumping being done by an externally imposed field at the bounce frequence of the above charged particles. These pumped simple mirror cells then provide end stoppering for a center mirror cell for the tandem mirror plasma confinement apparatus. For the substantially complete pumping case, the end plugs of a tandem mirror can be up to two orders of magnitude lower in density for confining a given center mirror cell plasma than in the case of end plugs without pumping. As a result the decrease in recirculating power required to keep the system going, the technical state of the art required, and the capital cost are all greatly lowered.

  10. Process and apparatus for separating and recovering krypton-85 from exhaust gas of nuclear reactor or the like

    International Nuclear Information System (INIS)

    Yusa, H.; Kamiya, K.; Murata, T.; Yamaki, H.; Hisatomi, S.

    1975-01-01

    An apparatus is described for separating and recovering radioactive krypton-85 contained in an exhaust gas of a nuclear reactor or the like, which comprises a plurality of adsorption beds connected in parallel with respect to a passageway for the exhaust gas, each being packed with activated carbon, wherein adsorption and desorption of krypton-85 in each of the beds are alternatively and repeatedly performed by operating valves disposed between each of the beds and means for reducing pressure in the beds to be desorbed in accordance with a predetermined time schedule. The adsorption and concentration efficiencies are markedly increased by combining the above adsorption apparatus and a distillation apparatus

  11. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  12. Data structures and apparatuses for representing knowledge

    Science.gov (United States)

    Hohimer, Ryan E; Thomson, Judi R; Harvey, William J; Paulson, Patrick R; Whiting, Mark A; Tratz, Stephen C; Chappell, Alan R; Butner, Robert S

    2014-02-18

    Data structures and apparatuses to represent knowledge are disclosed. The processes can comprise labeling elements in a knowledge signature according to concepts in an ontology and populating the elements with confidence values. The data structures can comprise knowledge signatures stored on computer-readable media. The knowledge signatures comprise a matrix structure having elements labeled according to concepts in an ontology, wherein the value of the element represents a confidence that the concept is present in an information space. The apparatus can comprise a knowledge representation unit having at least one ontology stored on a computer-readable medium, at least one data-receiving device, and a processor configured to generate knowledge signatures by comparing datasets obtained by the data-receiving devices to the ontologies.

  13. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  14. Methods and Apparatus for Pulsed-DC Dielectric Barrier Discharge Plasma Actuator and Circuit

    Science.gov (United States)

    Corke, Thomas C. (Inventor); Kaszeta, Richard (Inventor); Gold, Calman (Inventor)

    2017-01-01

    A plasma generating device intended to induce a flow in a fluid via plasma generation includes a dielectric separating two electrodes and a power supply. The first electrode is exposed to a fluid flow while the second electrode is positioned under the dielectric. The power supply is electrically coupled to a switch and the first and second electrodes. When the power supply is energized by repeated action of the switch, it causes a pulsed DC current between the electrodes which causes the fluid to ionize generating a plasma. The generation of the plasma induces a force with a velocity component in the fluid.

  15. Apparatuses And Systems For Embedded Thermoelectric Generators

    KAUST Repository

    Hussain, Muhammad M.; Inayat, Salman Bin; Smith, Casey Eben

    2013-01-01

    An apparatus and a system for embedded thermoelectric generators are disclosed. In one embodiment, the apparatus is embedded in an interface where the ambient temperatures on two sides of the interface are different. In one embodiment, the apparatus is fabricated with the interface in integrity as a unitary piece. In one embodiment, the apparatus includes a first thermoelectric material embedded through the interface. The apparatus further includes a second thermoelectric material embedded through the interface. The first thermoelectric material is electrically coupled to the second thermoelectric material. In one embodiment, the apparatus further includes an output structure coupled to the first thermoelectric material and the second thermoelectric material and configured to output a voltage.

  16. Apparatuses And Systems For Embedded Thermoelectric Generators

    KAUST Repository

    Hussain, Muhammad M.

    2013-08-08

    An apparatus and a system for embedded thermoelectric generators are disclosed. In one embodiment, the apparatus is embedded in an interface where the ambient temperatures on two sides of the interface are different. In one embodiment, the apparatus is fabricated with the interface in integrity as a unitary piece. In one embodiment, the apparatus includes a first thermoelectric material embedded through the interface. The apparatus further includes a second thermoelectric material embedded through the interface. The first thermoelectric material is electrically coupled to the second thermoelectric material. In one embodiment, the apparatus further includes an output structure coupled to the first thermoelectric material and the second thermoelectric material and configured to output a voltage.

  17. CASTING METHOD AND APPARATUS

    Science.gov (United States)

    Gray, C.F.; Thompson, R.H.

    1958-10-01

    An improved apparatus for the melting and casting of uranium is described. A vacuum chamber is positioned over the casting mold and connected thereto, and a rod to pierce the oxide skin of the molten uranium is fitted into the bottom of the melting chamber. The entire apparatus is surrounded by a jacket, and operations are conducted under a vacuum. The improvement in this apparatus lies in the fact that the top of the melting chamber is fitted with a plunger which allows squeezing of the oxide skin to force out any molten uranium remaining after the skin has been broken and the molten charge has been cast.

  18. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  19. Process and apparatus for fractionating close-boiling components of a multi-component system

    International Nuclear Information System (INIS)

    Tsao, U.

    1983-01-01

    A process and apparatus are described for the fractionation of close-boiling components of a multi-component system comprising at least two fractionation columns A, B in series having a plurality of equilibrium stages in which the vapor stream from a downstream fractionation column B is compressed by a compressor and passed into a lower portion of a preceding fractionation column A and a liquid bottom stream from any one of said columns except the last is expanded by an orifice sufficiently to convey the resulting liquid-vapor mixture to the upper portion of the next fractionation column B. In a particularly preferred embodiment, the compressed overhead vapor stream is passed in heat transfer relationship to a liquid stream withdrawn from the preceding fractionation column A prior to introduction into the lower portion of such preceding fractionation column A. In one of the claims, the multi-component close-boiling system is a deuterium oxide-water solution. (author)

  20. Tomographic apparatus for reconstructing planar slices from non-absorbed and non-scattered radiation

    International Nuclear Information System (INIS)

    1980-01-01

    After briefly reviewing the history of computerised tomography, the deficiencies inherent in the various methods that have been adopted are discussed, e.g. slow data collection time, blurring of images and poor spatial resolution. Tomographic apparatus and processing methods are then described which can overcome these problems. The apparatus consists of a fan-shaped source of X-rays and a detector array which both rotate around the patient being examined. The data reduction process is derived in great detail; it is claimed that digital processing using convolution techniques is much faster than conventional methods. (U.K.)

  1. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  2. Can the use of pulsed direct current induce oscillation in the applied pressure during spark plasma sintering?

    International Nuclear Information System (INIS)

    Salamon, David; Eriksson, Mirva; Nygren, Mats; Shen Zhijian

    2012-01-01

    The spark plasma sintering (SPS) process is known for its rapid densification of metals and ceramics. The mechanism behind this rapid densification has been discussed during the last few decades and is yet uncertain. During our SPS experiments we noticed oscillations in the applied pressure, related to a change in electric current. In this study, we investigated the effect of pulsed electrical current on the applied mechanical pressure and related changes in temperature. We eliminated the effect of sample shrinkage in the SPS setup and used a transparent quartz die allowing direct observation of the sample. We found that the use of pulsed direct electric current in our apparatus induces pressure oscillations with the amplitude depending on the current density. While sintering Ti samples we observed temperature oscillations resulting from pressure oscillations, which we attribute to magnetic forces generated within the SPS apparatus. The described current–pressure–temperature relations might increase understanding of the SPS process.

  3. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  4. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  5. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  7. Manufacturing of central control system of 'JT-60' a plasma feasibility experiment device

    International Nuclear Information System (INIS)

    Kondo, Ikuo; Kimura, Toyoaki; Murai, Katsuji; Iba, Daizo; Takemaru, Koichi.

    1984-01-01

    For constructing a critical-plasma-experiment apparatus JT-60, it was necessary to develop a new control system which enables to operate safely and smoothly a large scale nuclear fusion apparatus and to carry out efficient experiment. For the purpose, the total system control facility composed of such controllers as CAMAC system, timing system and protective interlock panel with multi-computer system as the core was developed. This system generalizes, keeps watch on and controls the total facilities as the key point of the control system of JT-60, and allows flexible operation control corresponding to the diversified experimental projects. At the same time, it carries out the fast real-time control of high temperature, high density plasma. In this paper, the system constitution, function and the main contents of development of the total system control facility are reported. JT-60 is constructed to attain the critical plasma condition as the premise of nuclear fusion reactors and to scientifically verify controlled nuclear fusion. Plasma expe riment will be started in April, 1985. The real-time control of plasma for carrying out high beta operation is planned, intending to develop future economical practical reactors. (Kako, I.)

  8. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  9. Self-propelled pulse X-ray apparatus Sirena-1

    International Nuclear Information System (INIS)

    Danil'chenko, N.T.; Ershov, L.S.; Il'chenko, A.V.; Krasil'nikov, S.B.; Kristalinskij, A.L.; Lozovoj, L.N.; Markov, S.N.; Morgovskij, L.Ya.

    1984-01-01

    The structure and specifications of a self-propelled pulse X-ray apparatus ''Sirena-1'' for testing oilt and gas pipelines welded joints are described. The apparatus is designed on the base of pulse X-ray apparatus MIRA. Apparatus control is realized by means of the 137 Cs source or manual control desk. The apparatus ensures perfect control sensitivity

  10. Encephalographic apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    An X-ray apparatus is described for determining the size and location of brain tumours by tomography during pneumoencephalography. The apparatus comprises an image recording device arranged opposite an X-ray source and a frame mounted on a tiltable patient table and rotatable with respect to the table. A patient support is arranged in the frame and is rotatable with respect to the frame. Air injected into the patients' spinal column travels up into the brain and displaces some of the cerebral fluid. Tomographic X-ray exposures are made of the air bubble which moves around in the brain cavities as the patient is rotated. (U.K.)

  11. Radiography apparatus

    International Nuclear Information System (INIS)

    Sashin, D.; Sternglass, E.J.

    1982-01-01

    The apparatus of the present invention provides radiography apparatus wherein the use of a flat, generally rectangular beam or a fan-shaped beam of radiation in combination with a collimator, scintillator and device for optically coupling a self-scanning array of photodiodes to the scintillator means will permit production of images or image data with high contrast sensitivity and detail. It is contemplated that the self-scanning array of photodiodes may contain from about 60 to 2048, and preferably about 256 to 2048, individual photodiode elements per inch of object width, thereby permitting maximum data collection to produce a complete image or complete collection of image data

  12. Positioning calibration apparatus for transducers employed in nuclear reactor vessel inspection apparatus

    International Nuclear Information System (INIS)

    Elsner, H.J.

    1979-01-01

    Calibration apparatus for verifying the position and orientation of transducers used in nuclear reactor vessel inspection apparatus is disclosed. A tank, filled with water, the operating inspection medium, is fitted with a movable mounting assembly adapted to securely accommodate a transducer and the mounting assembly in which it is normally secured during an inspection procedure. The tank is also provided with a slidably mounted target positioned therein at a predetermined distance from the target which is selected to avoid the distortion effects in the near field of the transducer response. The calibration apparatus can be used to check the normal transducer mounting for either perpendicularity or angular orientation by moving the tank's mounting assembly via a lead screw with which it is threadingly engaged. 6 claims

  13. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  14. Apparatus and process for ultrasonic seam welding stainless steel foils

    Science.gov (United States)

    Leigh, Richard W.

    1992-01-01

    An ultrasonic seam welding apparatus having a head which is rotated to form contact, preferably rolling contact, between a metallurgically inert coated surface of the head and an outside foil of a plurality of layered foils or work materials. The head is vibrated at an ultrasonic frequency, preferably along a longitudinal axis of the head. The head is constructed to transmit vibration through a contacting surface of the head into each of the layered foils. The contacting surface of the head is preferably coated with aluminum oxide to prevent the head from becoming welded to layered stainless steel foils.

  15. Schlieren Cinematography of Current Driven Plasma Jet Dynamics

    Science.gov (United States)

    Loebner, Keith; Underwood, Thomas; Cappelli, Mark

    2016-10-01

    Schlieren cinematography of a pulsed plasma deflagration jet is presented and analyzed. An ultra-high frame rate CMOS camera coupled to a Z-type laser Schlieren apparatus is used to obtain flow-field refractometry data for the continuous flow Z-pinch formed within the plasma deflagration jet. The 10 MHz frame rate for 256 consecutive frames provides high temporal resolution, enabling turbulent fluctuations and plasma instabilities to be visualized over the course of a single pulse (20 μs). The Schlieren signal is radiometrically calibrated to obtain a two dimensional mapping of the refraction angle of the axisymmetric pinch plasma, and this mapping is then Abel inverted to derive the plasma density distribution as a function radius, axial coordinate, and time. Analyses of previously unknown discharge characteristics and comparisons with prior work are discussed.

  16. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  17. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  18. Experimental apparatus with full optical access for combustion experiments with laminar flames from a single circular nozzle at elevated pressures.

    Science.gov (United States)

    Joo, Peter H; Gao, Jinlong; Li, Zhongshan; Aldén, Marcus

    2015-03-01

    The design and features of a high pressure chamber and burner that is suitable for combustion experiments at elevated pressures are presented. The high pressure combustion apparatus utilizes a high pressure burner that is comprised of a chamber burner module and an easily accessible interchangeable burner module to add to its flexibility. The burner is well suited to study both premixed and non-premixed flames. The optical access to the chamber is provided through four viewports for direct visual observations and optical-based diagnostic techniques. Auxiliary features include numerous access ports and electrical connections and as a result, the combustion apparatus is also suitable to work with plasmas and liquid fuels. Images of methane flames at elevated pressures up to 25 atm and preliminary results of optical-based measurements demonstrate the suitability of the high pressure experimental apparatus for combustion experiments.

  19. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  20. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  1. The design of high-temperature thermal conductivity measurements apparatus for thin sample size

    Directory of Open Access Journals (Sweden)

    Hadi Syamsul

    2017-01-01

    Full Text Available This study presents the designing, constructing and validating processes of thermal conductivity apparatus using steady-state heat-transfer techniques with the capability of testing a material at high temperatures. This design is an improvement from ASTM D5470 standard where meter-bars with the equal cross-sectional area were used to extrapolate surface temperature and measure heat transfer across a sample. There were two meter-bars in apparatus where each was placed three thermocouples. This Apparatus using a heater with a power of 1,000 watts, and cooling water to stable condition. The pressure applied was 3.4 MPa at the cross-sectional area of 113.09 mm2 meter-bar and thermal grease to minimized interfacial thermal contact resistance. To determine the performance, the validating process proceeded by comparing the results with thermal conductivity obtained by THB 500 made by LINSEIS. The tests showed the thermal conductivity of the stainless steel and bronze are 15.28 Wm-1K-1 and 38.01 Wm-1K-1 with a difference of test apparatus THB 500 are −2.55% and 2.49%. Furthermore, this apparatus has the capability to measure the thermal conductivity of the material to a temperature of 400°C where the results for the thermal conductivity of stainless steel is 19.21 Wm-1K-1 and the difference was 7.93%.

  2. X-ray apparatus

    International Nuclear Information System (INIS)

    Tomita, Chuji.

    1980-01-01

    A principal object of the present invention is to provide an X-ray apparatus which is such that the distance between the surface of the patient's table and the floor on which the apparatus is installed is sufficiently small in the horizontal position of the patient's table of the roentgenographical pedestal and that the rotation of the pedestal from the horizontal position to a tilted position and further to the vertical position of the table can be carried out smoothly. (auth)

  3. Nuclear core baffling apparatus

    International Nuclear Information System (INIS)

    Cooper, F.W. Jr.; Silverblatt, B.L.; Knight, C.B.; Berringer, R.T.

    1979-01-01

    An apparatus for baffling the flow of reactor coolant fluid into and about the core of a nuclear reactor is described. The apparatus includes a plurality of longitudinally aligned baffle plates with mating surfaces that allow longitudinal growth with temperature increases while alleviating both leakage through the aligned plates and stresses on the components supporting the plates

  4. Operation of a Langmuir Probe in a Photoelectron Plasma

    International Nuclear Information System (INIS)

    Dove, Adrienne; Robertson, Scott; Horanyi, Mihaly; Poppe, Andrew; Wang Xu

    2011-01-01

    Dust transport on the lunar surface is likely facilitated by the variable electric fields that are generated by changing plasma conditions. We have developed an experimental apparatus to study lunar photoelectric phenomena and gain a better understanding of the conditions controlling dust transport. As an initial step, Langmuir probe measurements are used to characterize the photoelectron plasma produced above a Zr surface, and these techniques will be extended to CeO 2 and lunar simulant surfaces.

  5. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  6. Improvements in or relating to apparatus for separating particulate solids from liquids

    International Nuclear Information System (INIS)

    Wace, P.F.; Stcokwell, C.L.; Alder, P.J.; Ellis, J.F.

    1977-01-01

    Apparatus is described for the separation of spheroidal nuclear fuel particles formed by gel precipitation from process liquids such as ammonia, which is used as a precipitating medium, and water, which is used for washing the particles after precipitation. It comprises a container having a number of inclined draining screens and weirs, together with means for vibrating the container. Arrangement of the apparatus is shown schematically. (U.K.)

  7. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  8. Light Emitting, Photovoltaic or Other Electronic Apparatus and System

    Science.gov (United States)

    Ray, William Johnstone (Inventor); Lowenthal, Mark D. (Inventor); Shotton, Neil O. (Inventor); Blanchard, Richard A. (Inventor); Lewandowski, Mark Allan (Inventor); Fuller, Kirk A. (Inventor); Frazier, Donald Odell (Inventor)

    2018-01-01

    The present invention provides an electronic apparatus, such as a lighting device comprised of light emitting diodes (LEDs) or a power generating apparatus comprising photovoltaic diodes, which may be created through a printing process, using a semiconductor or other substrate particle ink or suspension and using a lens particle ink or suspension. An exemplary apparatus comprises a base; at least one first conductor; a plurality of diodes coupled to the at least one first conductor; at least one second conductor coupled to the plurality of diodes; and a plurality of lenses suspended in a polymer deposited or attached over the diodes. The lenses and the suspending polymer have different indices of refraction. In some embodiments, the lenses and diodes are substantially spherical, and have a ratio of mean diameters or lengths between about 10:1 and 2:1. The diodes may be LEDs or photovoltaic diodes, and in some embodiments, have a junction formed at least partially as a hemispherical shell or cap.

  9. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  10. Apparatus for concentrating by dual temperature exchange

    International Nuclear Information System (INIS)

    Spevack, J.S.

    1975-01-01

    The dual temperature exchange apparatus, with a dual temperature stage having a hot processing tower and a cold processing tower, is provided with means for transferring heat from the hot processed gas to both liquid and gas being delivered to the hot processing tower. The heat exchange system provides means for effecting direct contact between the hot processed gas and the cold processed liquid being delivered to the hot tower, means for establishing a circulation of the resulting heated processed liquid, and means including an indirect contact exchanger for transferring heat from said circulation to condition the gas being supplied to the hot processing tower. The reactants in the example given are hydrogen sulfide gas and liquid water

  11. Process for the exchange of hydrogen isotopes between streams of liquid water and gaseous halohydrocarbon and an apparatus therefor

    International Nuclear Information System (INIS)

    Symons, E.A.; Rolston, J.H.; Clermont, M.J.; Paterson, L.M.

    1983-01-01

    This invention provides a process for the exchange of hydrogen isotopes between streams of liquid water and gaseous halohydrocarbons comprising: (a) bringing into contact a water stream, a halohydrocarbon stream, and a catalytic porous anion exchange resin so that the isotope-deficient halohydrocarbon stream is enriched; (b) decomposing the halohydrocarbon stream photolytically into two gaseous streams, one enriched and the other deficient; (c) removing as a product the first, enriched stream; and (d) recycling the second stream for enrichment. An apparatus is also provided

  12. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  13. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  15. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  16. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  17. Electroless plating apparatus for discrete microsized particles

    International Nuclear Information System (INIS)

    Mayer, A.

    1978-01-01

    Method and apparatus are disclosed for producing very uniform coatings of a desired material on discrete microsized particles by electroless techniques. Agglomeration or bridging of the particles during the deposition process is prevented by imparting a sufficiently random motion to the particles that they are not in contact with each other for a time sufficient for such to occur

  18. Fractionation and rectification apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Sauerwald, A

    1932-05-25

    Fractionation and rectifying apparatus with a distillation vessel and a stirring tube, drainage tubes leading from its coils to a central collecting tube, the drainage tubes being somewhat parallel and attached to the outer half of the stirring tube and partly on the inner half of the central collecting tube, whereby distillation and rectification can be effected in a single apparatus.

  19. 42 CFR 84.74 - Apparatus containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Apparatus containers; minimum requirements. 84.74...-Contained Breathing Apparatus § 84.74 Apparatus containers; minimum requirements. (a) Apparatus may be equipped with a substantial, durable container bearing markings which show the applicant's name, the type...

  20. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  1. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  2. Specifications for surface reaction analysis apparatus

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2001-03-01

    A surface reaction analysis apparatus was installed at the JAERI soft x-ray beamline in the SPring-8 as an experimental end-station for the study of surface chemistry. The apparatus is devoted to the study concerning the influence of translational kinetic energy of incident molecules to chemical reactions on solid surfaces with gas molecules. In order to achieve the research purpose, reactive molecular scattering experiments and photoemission spectroscopic measurements using synchrotron radiation are performed in that apparatus via a supersonic molecular beam generator, an electron energy analyzer and a quadrupole mass analyzer. The detail specifications for the apparatus are described in this report. (author)

  3. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  4. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  5. Continuous microwave regeneration apparatus for absorption media

    Science.gov (United States)

    Smith, Douglas D.

    1999-01-01

    A method and apparatus for continuously drying and regenerating ceramic beads for use in process gas moisture drying operations such as glove boxes. A microwave energy source is coupled to a process chamber to internally heat the ceramic beads and vaporize moisture contained therein. In a preferred embodiment, the moisture laden ceramic beads are conveyed toward the microwave source by a screw mechanism. The regenerated beads flow down outside of the screw mechanism and are available to absorb additional moisture.

  6. Method and apparatus for combination catalyst for reduction of NO.sub.x in combustion products

    Science.gov (United States)

    Socha, Richard F.; Vartuli, James C.; El-Malki, El-Mekki; Kalyanaraman, Mohan; Park, Paul W.

    2010-09-28

    A method and apparatus for catalytically processing a gas stream passing therethrough to reduce the presence of NO.sub.x therein, wherein the apparatus includes a first catalyst composed of a silver containing alumina that is adapted for catalytically processing the gas stream at a first temperature range, and a second catalyst composed of a copper containing zeolite located downstream from the first catalyst, wherein the second catalyst is adapted for catalytically processing the gas stream at a lower second temperature range relative to the first temperature range.

  7. Chloral hydrate alters the organization of the ciliary basal apparatus and cell organelles in sea urchin embryos

    Science.gov (United States)

    Chakrabarti, A.; Schatten, H.; Mitchell, K. D.; Crosser, M.; Taylor, M.

    1998-01-01

    The mitotic inhibitor, chloral hydrate, induces ciliary loss in the early embryo phase of Lytechinus pictus. It causes a breakdown of cilia at the junction of the cilium and the basal body known as the basal plate. This leaves the plasma membrane temporarily unsealed. The basal apparatus accessory structures, consisting of the basal body, basal foot, basal foot cap, striated side arm, and striated rootlet, are either misaligned or disintegrated by treatment with chloral hydrate. Furthermore, microtubules which are associated with the basal apparatus are disassembled. Mitochondria accumulate at the base of cilia - underneath the plasma membrane - and show alterations in their structural organization. The accumulation of mitochondria is observed in 40% of all electron micrograph sections while 60% show the areas mostly devoid of mitochondria. The microvilli surrounding a cilium and striated rootlet remain intact in the presence of chloral hydrate. These results suggest that deciliation in early sea urchin embryos by chloral hydrate is caused by combined effects on the ciliary membrane and on microtubules in the cilia. Furthermore, it is suggested that chloral hydrate can serve as a tool to explore the cytoskeletal mechanisms that are involved in cilia motility in the developing sea urchin embryo.

  8. Switched-mode power supply apparatus and method

    NARCIS (Netherlands)

    2013-01-01

    The present invention relates to a switched-mode power supply apparatus and a corresponding method. For an effective compensation of non-linearities caused by dead- time and voltage drops in the switching power amplifier of the apparatus, an apparatus is proposed comprising a switching power

  9. Switched-mode power supply apparatus and method

    NARCIS (Netherlands)

    2013-01-01

    The present invention relates to a switched-mode power supply apparatus and a corresponding method. For an effective compensation of non-linearities caused by dead-time and voltage drops in the switching power amplifier of the apparatus, an apparatus is proposed comprising a switching power

  10. THE ANALYSIS TOWARDS STATE APPARATUS COMMISSION ROLE IN ASN MANAGEMENT SYSTEM IN INDONESIA

    Directory of Open Access Journals (Sweden)

    Ajib Rakhmawanto

    2015-05-01

    Full Text Available In administrating bureaurcy business process, professional state apparatus are needed. Law number 5 of 2014 about the state civil apparatus mentions management based on merit that supposed to be supervised by an independen institution: State Apparatuss Commision (KASN. This article aims to analyze the KASN, and identify its task, function, and responsibilities. The analysis showed that KASN was formed to create professional state apparatus and supervise the merit system based management. The function of KASN becomes ineffective considering there is NCSA, an establish body with a role to supervise the ASN management. KASN will cause an overlapping and conflict of interest between the two institutions.

  11. Gas-phase hydrosilylation of cyclohexene in an experimental radiation-chemical accelerator apparatus

    International Nuclear Information System (INIS)

    Pecherkin, A.S.; Sidorov, V.I.; Chernyshev, E.A.

    1992-01-01

    A process for the synthesis of methylcyclohexyldichlorosilane (a basic monomer for the production of organosilicon photoresists) has been investigated and perfected on an experimental apparatus with an ELV-2 electron accelerator; this synthesis involves gas-phase radiation-induced hydrosilylation of cyclohexene by methyldichlorosilane. Basic characteristics of the yield of the desired product under static conditions were determined. With the help of experiments on the synthesis of methylcyclohexyldichlorosilane in a flow- through mode, the technical features of the process of radiation-chemical hydrosilylation of cyclohexene on an accelerator apparatus were determined and studied, the optimal conditions for the synthesis were determined, and an experimental batch of the desired product was produced

  12. EXTRACTION APPARATUS

    Science.gov (United States)

    Ballard, A.E.; Brigham, H.R.

    1958-10-28

    An apparatus whereby relatlvely volatile solvents may be contacted with volatile or non-volatile material without certaln attendant hazards is described. A suitable apparatus for handling relatively volatlle liqulds may be constructed comprising a tank, and a closure covering the tank and adapted to be securely attached to an external suppont. The closure is provided with a rigidly mounted motor-driven agitator. This agitator is connected from the driving motor lnto the lnterlor of the tank through a gland adapted to be cooled witb inert gas thereby eliminating possible hazard due to frictional heat. The closure is arranged so that the tank may be removed from it without materially dlsturbing the closure which, as described, carrles the motor driven agitator and other parts.

  13. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  14. Apparatus for ultrasonic nebulization

    International Nuclear Information System (INIS)

    Olson, K.W.; Haas, W.J. Jr.; Fassel, V.A.

    1978-01-01

    An improved apparatus is described for ultrasonic nebulization of liquid samples or suspensions in which the piezoelectric transducer is protected from chemical attack and erosion. The transducer is protected by being bonded to the inner surface of a glass plate which forms one end wall of a first hollow body provided with apparatus for circulating a fluid for cooling and stabilizing the transducer. The glass plate, which is one-half wavelength in thickness to provide an acoustically coupled outer nebulizing surface, seals an opening in a second hollow body which encloses an aerosol mixing chamber. The second body includes apparatus for delivering the sample solution to the nebulizing surface, a gas inlet for providing a flow of carrier gas for transporting the aerosol of the nebulized sample and an aerosol outlet

  15. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  18. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  19. Electrolytic plating apparatus for discrete microsized particles

    International Nuclear Information System (INIS)

    Mayer, A.

    1976-01-01

    Method and apparatus are disclosed for electrolytically producing very uniform coatings of a desired material on discrete microsized particles. Agglomeration or bridging of the particles during the deposition process is prevented by imparting a sufficiently random motion to the particles that they are not in contact with a powered cathode for a time sufficient for such to occur. 4 claims, 2 figures

  20. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  1. Research on EBEP (Electron Beam Excited Plasma) applications; EBEP (denshi beam reiki plasma) no tekiyo gijutsu ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Yanase, E.; Ryoji, M.; Mori, Y.; Tokai, M. [Kawasaki Heavy Industries, Ltd., Kobe (Japan)

    1996-04-20

    Research and development is actively conducted on machining technologies using plasma in various fields, with studies energetically pursued on etching techniques or those of forming a thin film by the use of high frequency and microwave plasma. The EBEP system jointly developed by Kawasaki Heavy Industries Ltd. and Institute of Physical and Chemical Research is a plasma source for forming a high density plasma by implanting into a plasma chamber from the outside a high-current electron beam accelerated to an energy of approximately 60 to 100eV where the collision cross-section of gas ionization is maximized. The characteristics of the system are such as (1) it enables electron energy distribution to be controlled from outside by varying acceleration voltage, (2) it excels in the controllability of ion energy and (3) it allows to form a steady high-density plasma in a nonmagnetic field. This paper presents the generating principle of EBEP, its plasma characteristics, etching technique using EBEP, thin film forming technique by EBEP-CVD method, and multipurpose apparatus for research and development. 6 refs., 7 figs., 1 tab.

  2. Method and apparatus for implementing material thermal property measurement by flash thermal imaging

    Science.gov (United States)

    Sun, Jiangang

    2017-11-14

    A method and apparatus are provided for implementing measurement of material thermal properties including measurement of thermal effusivity of a coating and/or film or a bulk material of uniform property. The test apparatus includes an infrared camera, a data acquisition and processing computer coupled to the infrared camera for acquiring and processing thermal image data, a flash lamp providing an input of heat onto the surface of a two-layer sample with an enhanced optical filter covering the flash lamp attenuating an entire infrared wavelength range with a series of thermal images is taken of the surface of the two-layer sample.

  3. Test sample handling apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    A test sample handling apparatus using automatic scintillation counting for gamma detection, for use in such fields as radioimmunoassay, is described. The apparatus automatically and continuously counts large numbers of samples rapidly and efficiently by the simultaneous counting of two samples. By means of sequential ordering of non-sequential counting data, it is possible to obtain precisely ordered data while utilizing sample carrier holders having a minimum length. (U.K.)

  4. Infrared microscope inspection apparatus

    Science.gov (United States)

    Forman, Steven E.; Caunt, James W.

    1985-02-26

    Apparatus and system for inspecting infrared transparents, such as an array of photovoltaic modules containing silicon solar cells, includes an infrared microscope, at least three sources of infrared light placed around and having their axes intersect the center of the object field and means for sending the reflected light through the microscope. The apparatus is adapted to be mounted on an X-Y translator positioned adjacent the object surface.

  5. Method and apparatus for signal processing in a sensor system for use in spectroscopy

    Science.gov (United States)

    O'Connor, Paul [Bellport, NY; DeGeronimo, Gianluigi [Nesconset, NY; Grosholz, Joseph [Natrona Heights, PA

    2008-05-27

    A method for processing pulses arriving randomly in time on at least one channel using multiple peak detectors includes asynchronously selecting a non-busy peak detector (PD) in response to a pulse-generated trigger signal, connecting the channel to the selected PD in response to the trigger signal, and detecting a pulse peak amplitude. Amplitude and time of arrival data are output in first-in first-out (FIFO) sequence. An apparatus includes trigger comparators to generate the trigger signal for the pulse-receiving channel, PDs, a switch for connecting the channel to the selected PD, and logic circuitry which maintains the write pointer. Also included, time-to-amplitude converters (TACs) convert time of arrival to analog voltage and an analog multiplexer provides FIFO output. A multi-element sensor system for spectroscopy includes detector elements, channels, trigger comparators, PDs, a switch, and a logic circuit with asynchronous write pointer. The system includes TACs, a multiplexer and analog-to-digital converter.

  6. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  7. Energy and costs scoping study for plasma pyrolysis thermal processing system

    International Nuclear Information System (INIS)

    Sherick, K.E.; Findley, J.E.

    1992-01-01

    The purpose of this study was to provide information in support of an investigation of thermal technologies as possible treatment process for buried wastes at the INEL. Material and energy balances and a cost estimate were generated for a representative plasma torch-based thermal waste treatment system operating in a pyrolysis mode. Two waste streams were selected which are representative of INEL buried wastes, large in volume, and difficult to treat by other technologies. These streams were a solidified nitrate sludge waste stream and a waste/soil mix of other buried waste components. The treatment scheme selected includes a main plasma chamber operating under pyrolyzing conditions; a plasma afterburner to provide additional residence time at high temperature to ensure complete destruction of hazardous organics; an off-gas treatment system; and a incinerator and stack to oxidize carbon monoxide to carbon dioxide and vent the clean, oxidized gases to atmosphere. The material balances generated provide materials flow and equipment duty information of sufficient accuracy to generate initial rough-order-of-magnitude (ROM) system capital and operating cost estimates for a representative plasma thermal processing system

  8. HNS steelmaking process using thermal plasma in a ceramic crucible

    International Nuclear Information System (INIS)

    Siwka, J.; Svyazhin, A.G.; Jowsa, J.; Derda, W.

    1999-01-01

    The problems related to HNS (high nitrogen steels) steelmaking technology in a laboratory plasma furnace (100 kW DC, 25 kg liquid metal) are discussed in the paper. Results of investigations on mass transfer in the bath, modelling of the temperature fields by the FEM method, the dynamics of nitriding and refining of the liquid metal are presented. The results show many advantageous features of this technology and identify the necessary modifications. Realization of the one-stage production process of HNS is possible using thermal plasma. This means that any kind of metallic scrap can be used with simultaneous nitriding of liquid metal by nitrogen gas phase and its refining. A technological scheme of the discussed process is presented. (orig.)

  9. Role of the pump limiter throat-ergodic divertor effect on edge plasma

    International Nuclear Information System (INIS)

    Grosman, A.; Samain, A.; Ghendrih, P.; Capes, H.; Morera, J.P.

    1988-01-01

    A large part of the Tore Supra programme is devoted to plasma edge studies. Two types of such density control apparatus have been implemented, a set of pumps limiters and the ergodic divertor. The goal of the present paper is to investigate the effect of the pump limiter throat on pumping efficiency. We present also the possibilities of the ergodic divertor device to facilitate plasma pumping and power exhaust

  10. Method and apparatus for the separation of solid particles having different densities

    NARCIS (Netherlands)

    Rem, P.C.; Berkhout, S.P.M.

    2011-01-01

    A method and apparatus for separating solid particles of different densities, using a magnetic process fluid. The solid particles are thoroughly mixed in a small partial flow of the process fluid. The small turbulent partial flow is added to a large laminar partial flow of the process fluid, after

  11. Using the Tritium Plasma Experiment to evaluate ITER PFC safety

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Bartlit, J.R.; Causey, R.A.; Haines, J.R.

    1993-01-01

    The Tritium Plasma Experiment was assembled at Sandia National Labs., Livermore and is being moved to the Tritium Systems Test Assembly facility at Los Alamos National Lab. to investigate interactions between dense plasmas at low energies and plasma-facing component materials. This apparatus has the unique capability of replicating plasma conditions in a tokamak divertor with particle flux densities of 2 x 10 23 ions/m 2 .s and a plasma temperature of about 15 eV using a plasma that includes tritium. An experimental program has been initiated using the Tritium Plasma Experiment to examine safety issues related to tritium in plasma-facing components, particularly the ITER divertor. Those issues include tritium retention and release characteristics, tritium permeation rates and transient times to coolant streams, surface modification and erosion by the plasma, the effects of thermal loads and cycling, and particulate production. An industrial consortium led by McDonnell Douglas will design and fabricate the test fixtures

  12. Results of bench-scale plasma system testing in support of the Plasma Hearth Process

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Cornelison, C.; Frank, S.

    1996-01-01

    The Plasma Hearth Process (PHP) is a high-temperature process that destroys hazardous organic components and stabilizes the radioactive components and hazardous metals in a leach-resistant vitreous slag waste form. The PHP technology development program is targeted at mixed waste that cannot be easily treated by conventional means. For example, heterogeneous debris, which may contain hazardous organics, toxic metals, and radionuclides, is difficult to characterize and cannot be treated with conventional thermal, chemical, or physical treatment methods. A major advantage of the PHP over other plasma processes is its ability to separate nonradioactive, non-hazardous metals from the non-metallic and radioactive components which are contained in the vitreous slag. The overall PHP program involves the design, fabrication, and operation of test hardware to demonstrate and certify that the PHP concept is viable for DOE waste treatment. The program involves bench-scale testing of PHP equipment in radioactive service, as well as pilot-scale demonstration of the PHP concept using nonradioactive, surrogate test materials. The fate of secondary waste streams is an important consideration for any technology considered for processing mixed waste. The main secondary waste stream generated by the PHP is flyash captured by the fabric- filter baghouse. The PHP concept is that flyash generated by the process can, to a large extent, be treated by processing this secondary waste stream in the PHP. Prior to the work presented in the paper, however, the PHP project has not quantitatively demonstrated the ability to treat PHP generated flyash. A major consideration is the quantity of radionuclides and RCRA-regulated metals in the flyash that can be retained the resultant waste form

  13. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  14. Plasma and collision processes of hypervelocity meteorite impact in the prehistory of life

    Science.gov (United States)

    Managadze, G.

    2010-07-01

    A new concept is proposed, according to which the plasma and collision processes accompanying hypervelocity impacts of meteorites can contribute to the arising of the conditions on early Earth, which are necessary for the appearance of primary forms of living matter. It was shown that the processes necessary for the emergence of living matter could have started in a plasma torch of meteorite impact and have continued in an impact crater in the case of the arising of the simplest life form. It is generally accepted that planets are the optimal place for the origin and evolution of life. In the process of forming the planetary systems the meteorites, space bodies feeding planet growth, appear around stars. In the process of Earth's formation, meteorite sizes ranged from hundreds and thousands of kilometres. These space bodies consisted mostly of the planetesimals and comet nucleus. During acceleration in Earth's gravitational field they reached hypervelocity and, hitting the surface of planet, generated powerful blowouts of hot plasma in the form of a torch. They also created giant-size craters and dense dust clouds. These bodies were composed of all elements needed for the synthesis of organic compounds, with the content of carbon being up to 5%-15%. A new idea of possible synthesis of the complex organic compounds in the hypervelocity impact-generated plasma torch was proposed and experimentally confirmed. A previously unknown and experimentally corroborated feature of the impact-generated plasma torch allowed a new concept of the prehistory of life to be developed. According to this concept the intensive synthesis of complex organic compounds arose during meteoritic bombardment in the first 0.5 billion years at the stage of the planet's formation. This most powerful and destructive action in Earth's history could have played a key role and prepared conditions for the origin of life. In the interstellar gas-dust clouds, the synthesis of simple organic matter could

  15. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  16. Simulation of the organic-waste processing in plasma with allowance for kinetics of thermochemical transformations

    Science.gov (United States)

    Messerle, V. E.; Ustimenko, A. B.

    2017-07-01

    Kinetic calculations of the plasma processing/utilization process of organic waste in air and steam ambient were carried out. It is shown that, during the time of waste residence in the plasma reactor, 0.7 and 1.2 s, at the exit from the reactor there forms a high-calorific fuel gas with a combustion heat of 3540 and 5070 kcal/kg, respectively. In this process, 1 kg of waste yields 1.16 kg of fuel gas at air gasification of waste and 0.87 kg of pure synthesis gas at steam gasification. The energy efficiency of the waste gasification process, defined by the ratio between the calorific value of the resultant fuel gas and the initial calorific value of the waste amounts to 91 % in air plasma and 98 % in steam plasma. A comparison between the results of kinetic and thermodynamic calculations has revealed their good agreement.

  17. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  18. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  19. Free fall plasma-arc reactor for synthesis of carbon nanotubes in microgravity

    International Nuclear Information System (INIS)

    Alford, J. M.; Mason, G. R.; Feikema, D. A.

    2006-01-01

    High temperatures inside the plasma of a carbon arc generate strong buoyancy driven convection which has an effect on the growth and morphology of the single-walled carbon nanotubes (SWNTs). To study the effect of buoyancy on the arc process, a miniature carbon arc apparatus was designed and developed to synthesize SWNTs in a microgravity environment substantially free from buoyant convective flows. An arc reactor was operated in the 2.2 and 5.18 s drop towers at the NASA Glenn Research Center. The apparatus employed a 4 mm diameter anode and was powered by a portable battery pack capable of providing in excess of 300 A at 30 V to the arc for the duration of a 5 s drop. However, the principal result is that no dramatic difference in sample yield or composition was noted between normal gravity and 2.2 and 5 s long microgravity runs. Much longer duration microgravity time is required for SWNT's growth such as the zero-G aircraft, but more likely will need to be performed on the international space station or an orbiting spacecraft

  20. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  1. Ion Mass Determination

    DEFF Research Database (Denmark)

    2010-01-01

    An apparatus (100) is described for determining the mass of ions, the apparatus configured to hold a plasma (101 ) having a plasma potential. The apparatus (100) comprises an electrode (102) having a surface extending in a surface plane and an insulator (104) interfacing with the electrode (102......, and a processing unit (108) configured to interpret the detected impact locations in terms of the mass of the impacting ions....

  2. Support of nuclear fusion apparatus

    International Nuclear Information System (INIS)

    Watarai, Tetsuo; Ito, Yutaka.

    1975-01-01

    Object: To integrally form a bed for a body for supporting a vacuum container, coil and the like and a bed for a current transformer for supporting the current transformer prior to installation thereof on the floor thereby facilitating assembly and installation. Structure: To provide a base common to a current transformer bed and a body bed without direct installation thereof on the floor. Prior to installation of the current transformer bed and body bed, they are fastened to the base by means of bolts and welded integrally, and the thus formed base is fixed to the floor by means of anchor bolts. Since the current transformer bed and the body bed are formed integrally through the common base, apparatus may easily be carried in and disassembling and re-assembling of apparatus become unnecessary when installed. Further, since the positional relation of the current transformer bed and body bed does not depend on accuracy at the time of installation but depends on accuracy when apparatus manufactured, the toroidal type nuclear fusion apparatus of good accuracy may be obtained. (Yoshihara, H.)

  3. Optical Studies of Strong Coupling and Recombination in Ultracold Neutral Plasmas

    International Nuclear Information System (INIS)

    Killian, Thomas C.

    2004-01-01

    The ultracold atoms and plasmas research group at Rice University uses a combination of atomic and plasma physics techniques to create neutral plasmas that are orders of magnitude colder than have ever been studied before. Through this work, we probe the basic plasma physics of this exotic regime. During the past year, the major components of a new experiment were completed. We demonstrated a powerful new diagnostic, optical imaging of the plasma, which led to a paper that was published in Physical Review Letters. (Figure A, Phys. Rev. Lett. 92, 143001 (2004)) This was the central feature of my DOE Junior Faculty Award proposal. DOE funding has been used to support one postdoctoral researcher, multiple graduate students, the principle investigator, apparatus construction, and normal laboratory expenses

  4. Process and apparatus for decontaminating air

    International Nuclear Information System (INIS)

    Reynolds, W.D.

    1993-01-01

    An apparatus for irradiating a contaminated air stream emanating from contaminated sources which contain mixtures of one or more volatile toxic and hazardous organic solvents or petroleum product vapors with ultraviolet wave energy below 200 nm is described comprising: a first means for passing the contaminated air stream via a tube into an enclosed empty air flow duct to allow free flow of said contaminated air stream; a second means for introducing a secondary fresh air stream into an inlet of said first means to dilute and maintain the contaminated air stream at a predetermined concentration level; a means for measuring a flow rate of said contaminated air stream; said air duct containing at least a first and second residence chambers separated by a wall divider; said divider containing at least one opening in which is mounted at least one centrally located ultraviolet wave energy source extending into said air stream to allow said contaminated air stream to pass around and in close contact with said wave energy source, wherein said contaminated air stream is irradiated by said wave energy source; an analyzer means for conducting on-line real time analysis of said diluted contaminated air stream in said first residence chamber, said analyzer means being capable of analyzing any residual contaminated mixtures in an effluent air stream from said second residence chamber; whereby said ultraviolet wave energy source functions to generate oxygen atom free radicals, other free radicals, ions, and ozone to react with the contaminated air strewn to produce unwanted acid gases, and wherein said effluent air stream containing the unwanted acid gases, being passed from said second residence chamber, can be disposed of in any manner known to the art

  5. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  6. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  7. Air-cleaning apparatus

    International Nuclear Information System (INIS)

    Howard, A.G.

    1981-01-01

    An air-cleaning, heat-exchange apparatus includes a main housing portion connected by means of an air inlet fan to the kitchen exhaust stack of a restaurant. The apparatus includes a plurality of heat exchangers through which a heat-absorptive fluid is circulated, simultaneously, by means of a suitable fluid pump. These heat exchangers absorb heat from the hot exhaust gas, out of the exhaust stack of the restaurant, which flows over and through these heat exchangers and transfers this heat to the circulating fluid which communicates with remote heat exchangers. These remote heat exchangers further transfer this heat to a stream of air, such as that from a cold-air return duct for supplementing the conventional heating system of the restaurant. Due to the fact that such hot exhaust gas is heavily grease laden , grease will be deposited on virtually all internal surfaces of the apparatus which this exhaust gas contacts. Consequently, means are provided for spraying these contacted internal surfaces , as well as the hot exhaust gas itself, with a detergent solution in which the grease is soluble, thereby removing grease buildup from these internal surfaces

  8. A Study on Test Technology to Diagnose the Power Apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Kim, K H; Kang, Y S; Jeon, Y K; Lee, W Y; Kang, D S; Kyu, H S; Sun, J H; Jo, K H [Korea Electrotechnology Research Institute (Korea, Republic of); Jung, J S; Mun, Y T; Lee, K H; Jung, E H; Kim, J H [Korea Water Resources Corporation (Korea, Republic of)

    1997-02-01

    In this study, we have educated KOWACO(Korea Water Resources Corporation) specialists about the insulation diagnostic technology and trained them the insulation diagnostic test and estimation method of power apparatus. The main results of this study are as follows; A. Education of basic high-voltage engineering. B. Research of insulation characteristic and deterioration mechanism in power apparatus C. Discussion on high-voltage test standard specifications. D. Study on insulation deterioration diagnostics in power apparatus. E. Field testing of insulation diagnosis in power apparatus. F. Engineering of insulation diagnostic testing apparatus to diagnose power apparatus. KOWACO specialists are able to diagnose insulation diagnostic test of power apparatus through this study. As they have instruments to diagnose power apparatus, they can do the test and estimation of the power apparatus insulation diagnosis. (author). refs., figs., tabs.

  9. Investigation into the cause of leak in the pipe of the corrosion test apparatus of IS process

    International Nuclear Information System (INIS)

    Tanaka, Nobuyuki; Furukawa, Tomohiro; Inagaki, Yoshiyuki; Suwa, Hirokazu

    2008-12-01

    The thermochemical water-splitting hydrogen production IS process utilizes corrosive chemicals such as sulfuric acid and hydriodic acid. Corrosion tests in IS process environments have been carried out to get the corrosion data of materials. In the corrosion test in 90wt% sulfuric acid at 400degC, the leak of sulfuric acid was observed in a pipe connected with a reflux condenser. The cause of the leakage is a significant knowledge for the operation of the test apparatus. Therefore the cause was investigated. A 1mm wide through hole was detected in the pipe around the welding bead. By visual observation after cutting the pipe, the wall thickness of the pipe became thin at the inside welding bead around the through hole. In addition, EMPA showed that the inhomogeneous distribution of the constituent elements of the pipe was observed around the through hole. For these reasons, it is estimated that the lowering of the corrosion resistance by the sensitization at the welding caused the leakage. (author)

  10. Simulation study of MHD relaxation and reconnection processes in RFP plasma

    International Nuclear Information System (INIS)

    Kusano, Kanya; Kunimoto, Kaito; Suzuki, Yoshio; Tamano, Teruo; Sato, Tetsuya

    1991-01-01

    The authors have studied several nonlinear processes in RFP plasma through the use of 3D MHD simulations. In particular, they have shed light on: (1) dynamo and self-sustainment in reversed-field pinch (RFP), (2) phase locking process in MHD relaxation, and (3) the heating and acceleration in magnetic reconnection process. First, the contributions of the kink (m = 1) mode (linearly unstable) and of the m = 0 mode (driven by nonlinear coupling) to the dynamo are qualitatively evaluated using a high accuracy simulation. It is found that, if the free energy to drive kink instabilities is as small as that in the actual experimental plasma, the m = 0 modes, driven nonlinearly, play a more important role for the flux generation than the kink modes. Secondly, numerical simulations of the self-sustainment process in a RFP are performed. It is confirmed that the self-sustainment process is a coherent oscillating process composed of the MHD relaxation and the resistive diffusion processes. Toroidal phase locking process of kink modes is numerically observed in simulations of self-reversal and self-sustainment processes. It has characteristics similar to the slinky mode observed in the OHTE experiment. A detailed investigation reveals that nonlinear coupling between the most unstable two kink modes governs the entire dynamics in all kink modes and leads to the phase locking process. They find that reconnection can accelerate plasma over a local Alfven speed. This is a result of the fact that the magnetic field in the downstream area plays a similar role to de Laval nozzle. They also investigate the heating mechanisms in reconnection process. It is revealed that the viscous heating rate is as large as the joule heating rate in the reconnection process. This result implies that the viscous heating in the reconnection process is an important candidate for the mechanism to explain the RFP experiments where the ion temperatures is higher than the electron temperature

  11. Apparatus Named after Our Academic Ancestors--I

    Science.gov (United States)

    Greenslade, Thomas B., Jr.

    2010-01-01

    Let us now praise famous physicists, and the apparatus named after them, with apologies to the writer of Ecclesiastes. I once compiled a list of about 300 pieces of apparatus known to us as X's Apparatus. Some of the values of X are familiar, like Wheatstone and Kelvin and Faraday, but have you heard of Pickering or Rhumkorff or Barlow? In an…

  12. Modification of surface properties of LLDPE by water plasma discharge

    International Nuclear Information System (INIS)

    Chantara Thevy Ratnam; Hill, D.J.T.; Firas Rasoul; Whittaker, A.K.; Imelda Keen

    2007-01-01

    Linear low density polyethylene (LLDPE) surface was modified by water plasma treatment. The LLDPE surface was treated at 10 and 20 W discharge power at various exposure times. A laboratory scale Megatherm radio frequency (RF) plasma apparatus that operates at 27 MHz was used to generate the water plasmas. The changes in chemical structure of the LLDPE polymeric chain upon plasma treatment were characterized by FTIR and XPS techniques. The selectivity of trifluoroacetic anhydride (TFAA) toward hydroxyl groups is used to quantify the hydroxyl groups formed on the polymer surface upon plasma treatment. After exposition to the plasma discharge a decline in water contact angle were observed. FTIR and XPS measurements indicate an oxidation of degraded polymeric chains and creation of hydroxyl, carbonyl, ether, ester and carboxyl groups. Chemical derivatization with TFAA of water plasma treated polymer surfaces has shown that under the conditions employed, a very small (less than 5%) of the oxygen introduced by the water plasma treatment was present as hydroxyl group. (Author)

  13. Bibliography on the stochastic processes in plasma and related problems

    International Nuclear Information System (INIS)

    Polovin, R.V.

    1976-01-01

    Stochastic processes in plasma and related matters. The bibliography contains 500 references and was compiled from the open literature only. Some references are annotated or completed with short abstracts. There are subject and authors indexes

  14. Challenges in process integration of catalytic DC plasma synthesis of vertically aligned carbon nanofibres

    International Nuclear Information System (INIS)

    Melechko, Anatoli V; Pearce, Ryan C; Hensley, Dale K; Simpson, Michael L; McKnight, Timothy E

    2011-01-01

    The ability to synthesize free-standing, individual carbon nanofibres (CNFs) aligned perpendicularly to a substrate has enabled fabrication of a large array of devices with nanoscale functional elements, including electron field emission sources, electrochemical probes, neural interface arrays, scanning probes, gene delivery arrays and many others. This was made possible by development of a catalytic plasma process, with DC bias directing the alignment of nanofibres. Successful implementation of prototypical devices has uncovered numerous challenges in the integration of this synthesis process as one of the steps in device fabrication. This paper is dedicated to these engineering and fundamental difficulties that hinder further device development. Relatively high temperature for catalytic synthesis, electrical conductivity of the substrate to maintain DC discharge and other difficulties place restrictions on substrate material. Balancing non-catalytic carbon film deposition and substrate etching, non-uniformity of plasma due to growth of the high aspect ratio structures, plasma instabilities and other factors lead to challenges in controlling the plasma. Ultimately, controlling the atomistic processes at the catalyst nanoparticle (NP) and the behaviour of the NP is the central challenge of plasma nanosynthesis of vertically aligned CNFs.

  15. 21 CFR 886.4100 - Radiofrequency electrosurgical cautery apparatus.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Radiofrequency electrosurgical cautery apparatus... SERVICES (CONTINUED) MEDICAL DEVICES OPHTHALMIC DEVICES Surgical Devices § 886.4100 Radiofrequency electrosurgical cautery apparatus. (a) Identification. A radiofrequency electrosurgical cautery apparatus is an AC...

  16. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  17. Recombining processes in a cooling plasma by mixing of initially heated gas

    International Nuclear Information System (INIS)

    Furukane, Utaro; Sato, Kuninori; Takiyama, Ken; Oda, Toshiatsu.

    1992-03-01

    A numerical investigation of recombining process in a high temperature plasma in a quasi-steady state is made in a gas contact cooling, in which the initial temperature effect of contact gas heated up by the hot plasma is considered as well as the gas cooling due to the surrounding neutral particles freely coming into the plasma. The calculation has shown that the electron temperature relaxes in accord with experimental results and that the occurrence of recombining region and the inverted populations almost agree with the experimental ones. (author)

  18. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  19. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  20. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells