WorldWideScience

Sample records for plasma process parameters

  1. Plasma diagnostics discharge parameters and chemistry

    CERN Document Server

    Auciello, Orlando

    1989-01-01

    Plasma Diagnostics, Volume 1: Discharge Parameters and Chemistry covers seven chapters on the important diagnostic techniques for plasmas and details their use in particular applications. The book discusses optical diagnostic techniques for low pressure plasmas and plasma processing; plasma diagnostics for electrical discharge light sources; as well as Langmuir probes. The text also describes the mass spectroscopy of plasmas, microwave diagnostics, paramagnetic resonance diagnostics, and diagnostics in thermal plasma processing. Electrical engineers, nuclear engineers, microwave engineers, che

  2. Design and construction the identification of nitriding plasma process parameters using personal computer based on serial communication

    International Nuclear Information System (INIS)

    Frida Iswinning Diah; Slamet Santosa

    2012-01-01

    Design and construction the identification of process parameters using personal computer based on serial communication PLC M-series has been done. The function of this device is to identify the process parameters of a system (plan), to which then be analyzed and conducted a follow-up given to the plan by the user. The main component of this device is the M-Series T100MD1616 PLC and personal computer (PC). In this device the data plan parameters obtained from the corresponding sensor outputs in the form of voltage or current. While the analog parameter data is adjusted to the ADC analog input of the PLC using a signal conditioning system. Then, as the parameter is processed by the PLC then sent to a PC via RS232 to be displayed in the form of graphs or tables and stored in the database. Software to program the database is created using Visual Basic Programming V-6. The device operation test is performed for the measurement of temperature parameter and vacuum level on the plasma nitriding machine. The results indicate that the device has functioning as an identification device parameters process of plasma nitriding machine. (author)

  3. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  4. Visualizing the influence of the process parameters on the keyhole dimensions in plasma arc welding

    International Nuclear Information System (INIS)

    Liu, Z M; Wu, C S; Chen, M A

    2012-01-01

    The keyhole status and its dimensions are critical information determining both the process quality and weld quality in plasma arc welding (PAW). It is of great significance to measure the keyhole shape and size and to correlate them with the main process parameters. In this study, a low-cost vision system is developed to visualize the keyhole at the backside of the test-pieces in PAW. Three stages of keyhole evolution, i.e. initial blind stage (non-penetrated keyhole), unstable stage with momentarily disappeared keyhole and quasi-steady open keyhole stage (fully-penetrated keyhole), are measured in real-time during the PAW tests on stainless steel test-pieces of thickness 8 mm. Based on the captured images of keyhole under different welding conditions, the correlations of the main welding process parameters (welding current, welding speed, plasma gas flow rate) with the keyhole length, width and area are visualized through vision measurement. It lays a solid foundation for implementing keyhole stability control and process optimization in keyhole PAW. (paper)

  5. Optimization of process parameters for spark plasma sintering of nano structured SAF 2205 composite

    Directory of Open Access Journals (Sweden)

    Samuel Ranti Oke

    2018-04-01

    Full Text Available This research optimized spark plasma sintering (SPS process parameters in terms of sintering temperature, holding time and heating rate for the development of a nano-structured duplex stainless steel (SAF 2205 grade reinforced with titanium nitride (TiN. The mixed powders were sintered using an automated spark plasma sintering machine (model HHPD-25, FCT GmbH, Germany. Characterization was performed using X-ray diffraction and scanning electron microscopy. Density and hardness of the composites were investigated. The XRD result showed the formation of FeN0.068. SEM/EDS revealed the presence of nano ranged particles of TiN segregated at the grain boundaries of the duplex matrix. A decrease in hardness and densification was observed when sintering temperature and heating rate were 1200 °C and 150 °C/min respectively. The optimum properties were obtained in composites sintered at 1150 °C for 15 min and 100 °C/min. The composite grades irrespective of the process parameters exhibited similar shrinkage behavior, which is characterized by three distinctive peaks, which is an indication of good densification phenomena. Keywords: Spark plasma sintering, Duplex stainless steel (SAF 2205, Titanium nitride (TiN, Microstructure, Density, Hardness

  6. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  7. Plasma Arc Cutting Dimensional Accuracy Optimization employing the Parameter Design approach

    Directory of Open Access Journals (Sweden)

    Kechagias John

    2017-01-01

    Full Text Available Plasma Arc Cutting (PAC is a thermal manufacturing process used for metal plates cutting. This work experimentally investigates the influence of process parameters onto the dimensional accuracy performance of the plasma arc cutting process. The cutting parameters studied were cutting speed (mm/min, torch standoff distance (mm, and arc voltage (volts. Linear dimensions of a rectangular workpiece were measured after PAC cutting following the full factorial design experimental approach. For each one of the three process parameters, three parameter levels were used. Analysis of means (ANOM and analysis of variances (ANOVA were performed in order for the effect of each parameter on the leaner dimensional accuracy to be assessed.

  8. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  9. Calculation of stationary plasma parameters in an electromagnetic trap

    International Nuclear Information System (INIS)

    Karpukhin, V.I.; Lavrent'ev, O.A.; Sappa, N.N.

    1978-01-01

    The model of energy and particle balance is considered and the numerical calculations for stationary plasma parameters, supported by the electron injection, are obtained for a hypothetical electromagnetic trap with linear dimensions, magnetic field strength and energy contribution to plasma of the order of these parameters for the modern tokamak-type traps. The process of limitation of an effective injection current and energy contribution to plasma caused by returning of electrons to the injector due to diffusion in the velocity space is simulated. In approximation of a classical diffusion dependences are obtained of the effective energy contribution to plasma and of the parameters ntausub(E) and Tsub(i) (n is a plasma density; tausub(E)- energetic lifetime; Tsub(i) ion temperature) on electron injection current and power and on the confining magnetic field strength. It had been established that at classical character of diffusion in electromagnetic trap with above parameters one could obtain stationary plasma with ntausub(E)=10 12 cm -3 s and Tsub(i)=1keV, maintaining only by electron injection

  10. Plasma Arc Cutting Dimensional Accuracy Optimization employing the Parameter Design approach

    OpenAIRE

    Kechagias John; Petousis Markos; Vidakis Nectarios; Mastorakis Nikos

    2017-01-01

    Plasma Arc Cutting (PAC) is a thermal manufacturing process used for metal plates cutting. This work experimentally investigates the influence of process parameters onto the dimensional accuracy performance of the plasma arc cutting process. The cutting parameters studied were cutting speed (mm/min), torch standoff distance (mm), and arc voltage (volts). Linear dimensions of a rectangular workpiece were measured after PAC cutting following the full factorial design experimental approach. For ...

  11. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.A.; Cowgill, D.F.; Snead, L.L.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1--5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits

  12. The effect of processing parameters on plasma sprayed beryllium for fusion applications

    International Nuclear Information System (INIS)

    Castro, R.G.; Stanek, P.W.; Jacobson, L.W.; Cowgill, D.F.

    1993-01-01

    Plasma spraying is being investigated as a potential coating technique for applying thin (0.1-5mm) layers of beryllium on plasma facing surfaces of blanket modules in ITER and also as an in-situ repair technique for repairing eroded beryllium surfaces in high heat flux divertor regions. High density spray deposits (>98% of theoretical density) of beryllium will be required in order to maximize the thermal conductivity of the beryllium coatings. A preliminary investigation was done to determine the effect of various processing parameters (particle size, particle morphology, secondary gas additions and reduced chamber pressure) on the as-deposited density of beryllium. The deposits were made using spherical beryllium feedstock powder which was produced by centrifugal atomization at Los Alamos National Laboratory (LANL). Improvements in the as-deposited densities and deposit efficiencies of the beryllium spray deposits will be discussed along with the corresponding thermal conductivity and outgassing behavior of these deposits. (orig.)

  13. Effect of Equilibrium Flow on Plasma Parameters

    International Nuclear Information System (INIS)

    Mukhopadhyay, S.; Lahiri, S.; Sakanaka, P.H.; Dasgupta, B.

    2003-01-01

    The transition to high confinement modes have been identified with the occurrence of strong shear flow near the plasma boundary. Plasma flow has also been associated with various instabilities, heating and other physical processes. As a result, it has become very important to study the effect of such flows on various plasma parameters. In this paper, we present the numerical solution of plasma equilibrium with incompressible toroidal and poloidal flows in several magnetic confinement configurations including tokamaks. The code, which was reported in the last conference, has been used to solve the problem in both circular and D-shaped devices. A parametric study on the generation of shear flow due to radial electric fields has been carried out. Through this study, it has been possible to generate plasma equilibria having sharp pressure gradients which are remarkably close to those reported in various H-mode experiments. The effects of flow on reverse shear equilibria and on the position of the magnetic axis has been studied. Finally, a detailed study has been carried out to understand the effect of flows on important plasma parameters, such as the poloidal flux function, β, energy confinement time

  14. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  15. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  16. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  17. Dependence of plasma characteristics on dc magnetron sputter parameters

    International Nuclear Information System (INIS)

    Wu, S.Z.

    2005-01-01

    Plasma discharge characteristics of a dc magnetron system were measured by a single Langmuir probe at the center axis of the dual-side process chamber. Plasma potential, floating potential, electron and ion densities, and electron temperature were extracted with varying dc power and gas pressure during sputter deposition of a metal target; strong correlations were shown between these plasma parameters and the sputter parameters. The electron density was controlled mostly by secondary electron generation in constant power mode, while plasma potential reflects the confinement space variation due to change of discharge voltage. When discharge pressure was varied, plasma density increases with the increased amount of free stock molecules, while electron temperature inversely decreased, due to energy-loss collision events. In low-pressure discharges, the electron energy distribution function measurements show more distinctive bi-Maxwellian distribution, with the fast electron temperature gradually decreases with increased gas pressure

  18. Hidden parameters in the plasma deposition of microcrystalline silicon solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Rech, B.; Schmitz, R.; Klomfass, J.; Dingemans, G.; Finger, F.; Houben, L.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2007-01-01

    The effect of process parameters on the plasma deposition of µc-Si:H solar cells is reviewed in this article. Several in situ diagnostics are presented, which can be used to study the process stability as an additional parameter in the deposition process. The diagnostics were used to investigate the

  19. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  20. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  1. RF plasma parameter determination by a Langmuir multipoint double probe array

    International Nuclear Information System (INIS)

    Rojas-Olmedo, I A; López-Callejas, R; De la Piedad-Beneitez, A; Valencia-Alvarado, R; Peña-Eguiluz, R; Mercado-Cabrera, A; Barocio, S R; Muñoz-Castro, A E; Rodríguez-Méndez, B G

    2012-01-01

    A multipoint double Langmuir (MDL) probe system, which is exempt from interference, has been designed and assembled to be applied to an RF plasma. The system provides the measurement of fundamental plasma parameters such as density, temperature, plasma potential, etc. on the basis of the Bohm Approximation Theory and the Orbital Movement Limit. Thus, one pair of the MDL system is selected so as to consider the right plasma parameters within the prevailing pressure-power intervals. Both the hardware and software of the system have been applied to the modification of material properties by means of the PIII process.

  2. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  3. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  4. Characterization of hot dense plasma with plasma parameters

    Science.gov (United States)

    Singh, Narendra; Goyal, Arun; Chaurasia, S.

    2018-05-01

    Characterization of hot dense plasma (HDP) with its parameters temperature, electron density, skin depth, plasma frequency is demonstrated in this work. The dependence of HDP parameters on temperature and electron density is discussed. The ratio of the intensities of spectral lines within HDP is calculated as a function of electron temperature. The condition of weakly coupled for HDP is verified by calculating coupling constant. Additionally, atomic data such as transition wavelength, excitation energies, line strength, etc. are obtained for Be-like ions on the basis of MCDHF method. In atomic data calculations configuration interaction and relativistic effects QED and Breit corrections are newly included for HDP characterization and this is first result of HDP parameters from extreme ultraviolet (EUV) radiations.

  5. DAQ system for low density plasma parameters measurement

    International Nuclear Information System (INIS)

    Joshi, Rashmi S.; Gupta, Suryakant B.

    2015-01-01

    In various cases where low density plasmas (number density ranges from 1E4 to 1E6 cm -3 ) exist for example, basic plasma studies or LEO space environment measurement of plasma parameters becomes very critical. Conventional tip (cylindrical) Langmuir probes often result into unstable measurements in such lower density plasma. Due to larger surface area, a spherical Langmuir probe is used to measure such lower plasma densities. Applying a sweep voltage signal to the probe and measuring current values corresponding to these voltages gives V-I characteristics of plasma which can be plotted on a digital storage oscilloscope. This plot is analyzed for calculating various plasma parameters. The aim of this paper is to measure plasma parameters using a spherical Langmuir probe and indigenously developed DAQ system. DAQ system consists of Keithley source-meter and a host system connected by a GPIB interface. An online plasma parameter diagnostic system is developed for measuring plasma properties for non-thermal plasma in vacuum. An algorithm is developed using LabVIEW platform. V-I characteristics of plasma are plotted with respect to different filament current values and different locations of Langmuir probe with reference to plasma source. V-I characteristics is also plotted for forward and reverse voltage sweep generated programmatically from the source meter. (author)

  6. Sensitivity of transient synchrotron radiation to tokamak plasma parameters

    International Nuclear Information System (INIS)

    Fisch, N.J.; Kritz, A.H.

    1988-12-01

    Synchrotron radiation from a hot plasma can inform on certain plasma parameters. The dependence on plasma parameters is particularly sensitive for the transient radiation response to a brief, deliberate, perturbation of hot plasma electrons. We investigate how such a radiation response can be used to diagnose a variety of plasma parameters in a tokamak. 18 refs., 13 figs

  7. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  8. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  9. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  10. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  11. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  12. Assessment of thermodynamic parameters of plasma shock wave

    International Nuclear Information System (INIS)

    Vasileva, O V; Isaev, Yu N; Budko, A A; Filkov, A I

    2014-01-01

    The work is devoted to the solution of the one-dimensional equation of hydraulic gas dynamics for the coaxial magneto plasma accelerator by means of Lax-Wendroff modified algorithm with optimum choice of the regularization parameter artificial viscosity. Replacement of the differential equations containing private derivatives is made by finite difference method. Optimum parameter of regularization artificial viscosity is added using the exact known decision of Soda problem. The developed algorithm of thermodynamic parameter calculation in a braking point is proved. Thermodynamic parameters of a shock wave in front of the plasma piston of the coaxial magneto plasma accelerator are calculated on the basis of the offered algorithm. Unstable high-frequency fluctuations are smoothed using modeling and that allows narrowing the ambiguity area. Results of calculation of gas dynamic parameters in a point of braking coincide with literary data. The chart 3 shows the dynamics of change of speed and thermodynamic parameters of a shock wave such as pressure, density and temperature just before the plasma piston

  13. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  14. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  15. Influence of Plasma Transferred Arc Process Parameters on Structure and Mechanical Properties of Wear Resistive NiCrBSi-WC/Co Coatings

    Directory of Open Access Journals (Sweden)

    Eitvydas GRUZDYS

    2011-07-01

    Full Text Available Self-fluxing NiCrBSi and related coatings received considerable interest due to their good wear as well as corrosion resistance at moderate and elevated temperatures. Hard tungsten carbide (WC particles can be included in NiCrBSi for further increase of the coating hardness and abrasive wear resistance. Flame spray technique is widely used for fabrication of NiCrBSi films. However, in such a case, subsequent remelting of the deposited coatings by flame, arc discharge or high power laser beam is necessary. In present study NiCrBSi-WC/Co coatings were formed using plasma transferred arc process. By adjusting plasma parameters, such as current, plasma gas flow, shielding gas flow, a number of coatings were formed on steel substrates. Structure of the coatings was investigated using X-ray diffractometry. Microstructure of cross-sectioned coatings was examined using scanning electron microscopy. Hardness of the coating was evaluated by means of the Vickers hardness tests. Wear tests were also performed on specimens to determine resistance to abrasive wear. Acquired results allowed estimating the influence of the deposition process parameters on structure and mechanical properties of the coatings.http://dx.doi.org/10.5755/j01.ms.17.2.482

  16. Fast determination of plasma parameters through function parametrization

    International Nuclear Information System (INIS)

    Braams, B.J.; Jilge, W.; Lackner, K.

    1985-09-01

    The method of function parametrization, developed by H. Wind for fast data evaluation in high energy physics, is demonstrated in the context of controlled fusion research. This method relies on a statistical analysis of a large data base of simulated experiments in order to obtain a functional representation for intrinsic physical parameters of a system in terms of the values of the measurements. Rapid determination of characteristic equilibrium parameters of a tokamak discharge is shown to be a particularly indicated application. The method is employed on the ASDEX experiment to determine the following parameters of the plasma: position of the magnetic axis, geometric center, and current center; minor radius, elongation, and area of the plasma column; a normalized safety factor at the plasma boundary; the Shafranov parameter βsub(p)+lsub(i)/2; the flux difference between the plasma boundary and an external reference value; the position of the lower and upper saddle points, and the intersections of the separatrix with the four divertor plates. The relevant measurements consist of three differential poloidal flux measurements, four poloidal field measurements, the current through the multipole shaping coils, and the total plasma current. Function parametrization supplies a very accurate interpretation of these data, which is now used for online data analysis, and is also sufficiently fast to be suitable for real-time control of the plasma. (orig.)

  17. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  18. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  19. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  20. Fast determination of plasma parameters

    International Nuclear Information System (INIS)

    Wijnands, T.J.; Parlange, F.; Joffrin, E.

    1995-01-01

    Fast analysis of diagnostic signals of a tokamak discharge is demonstrated by using 4 fundamentally different techniques. A comparison between Function Parametrization (FP), Canonical Correlation Analysis (CCA) and a particular Neural Network (NN) configuration known as the Multi Layer Perceptron (MLP) is carried out, thereby taking a unique linear model based on a Singular Value Decomposition (SVD) as a reference. The various techniques provide all functional representations of characteristic plasma parameters in terms of the values of the measurements and are based on an analysis of a large, experimentally achieved database. A brief mathematical description of the various techniques is given, followed by two particular applications to Tore Supra diagnostic data. The first problem is concerned with the identification of the plasma boundary parameters using the poloidal field and differential poloidal flux measurements. A second application involves the interpretation of line integrated data from the multichannel interfero-polarimeter to obtain the central value of the safety factor. (author) 4 refs.; 3 figs

  1. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  2. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  3. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    International Nuclear Information System (INIS)

    Aleksandrov, A. F.; Petrov, A. K.; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B.; Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya.

    2016-01-01

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  4. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, A. F.; Petrov, A. K., E-mail: alpetrov57@gmail.com; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B. [Moscow State University, Faculty of Physics (Russian Federation); Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya. [Research Institute of Precision Engineering (Russian Federation)

    2016-03-15

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  5. Optimization of plasma flow parameters of the magnetoplasma compressor

    International Nuclear Information System (INIS)

    Dojcinovic, I P; Kuraica, M M; Obradovc, B M; Cvetanovic, N; Puric, J

    2007-01-01

    Optimization of the working conditions of the magnetoplasma compressor (MPC) has been performed through analysing discharge and compression plasma flow parameters in hydrogen, nitrogen and argon at different pressures. Energy conversion rate, volt-ampere curve exponent and plasma flow velocities have been studied to optimize the efficiency of energy transfer from the supply source to the plasma. It has been found that the most effective energy transfer from the supply to the plasma is in hydrogen as a working gas at 1000 Pa pressure. It was found that the accelerating regime exists for hydrogen up to 3000 Pa pressures, in nitrogen up to 2000 Pa and in argon up to 1000 Pa pressure. At higher pressures MPC in all the gases works in the decelerating regime. At pressures lower than 200 Pa, high cathode erosion is observed. MPC plasma flow parameter optimization is very important because this plasma accelerating system may be of special interest for solid surface modification and other technology applications

  6. Optimization of Dimensional accuracy in plasma arc cutting process employing parametric modelling approach

    Science.gov (United States)

    Naik, Deepak kumar; Maity, K. P.

    2018-03-01

    Plasma arc cutting (PAC) is a high temperature thermal cutting process employed for the cutting of extensively high strength material which are difficult to cut through any other manufacturing process. This process involves high energized plasma arc to cut any conducting material with better dimensional accuracy in lesser time. This research work presents the effect of process parameter on to the dimensional accuracy of PAC process. The input process parameters were selected as arc voltage, standoff distance and cutting speed. A rectangular plate of 304L stainless steel of 10 mm thickness was taken for the experiment as a workpiece. Stainless steel is very extensively used material in manufacturing industries. Linear dimension were measured following Taguchi’s L16 orthogonal array design approach. Three levels were selected to conduct the experiment for each of the process parameter. In all experiments, clockwise cut direction was followed. The result obtained thorough measurement is further analyzed. Analysis of variance (ANOVA) and Analysis of means (ANOM) were performed to evaluate the effect of each process parameter. ANOVA analysis reveals the effect of input process parameter upon leaner dimension in X axis. The results of the work shows that the optimal setting of process parameter values for the leaner dimension on the X axis. The result of the investigations clearly show that the specific range of input process parameter achieved the improved machinability.

  7. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  8. Investigation of helium plasma stream parameters in experiments on surface modification

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Chebotarev, V.V.; Garkusha, I.E.; Tsarenko, A.V. and eds.

    2005-01-01

    The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. With use of optical spectroscopy, detailed information about the basic plasma parameters - electron density, electron and ion temperatures, plasma stream duration and velocity, was obtained. Integrated spectra of plasma radiation were analyzed. The majority of helium and impurity spectral lines were investigated on a subject of Stark broadening. Plasma pressure and energy density values measured with piezodetectors and calorimeters are in good agreement with plasma parameters obtained by optical techniques

  9. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  10. Influence of plasma parameters in pulsed plasma gun on modification processes in exposed structural materials

    International Nuclear Information System (INIS)

    Byrka, O.V.; Bandura, A.N.; Chebotarev, V.V.; Garkusha, I.E.; Garkusha, V.V.; Makhai, V.A.; Tereshin, V.I.

    2011-01-01

    This paper is focused on investigation of helium, nitrogen and krypton plasma streams generated by pulsed plasma gun (PPA). The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. Features of materials alloying from gas and metallic plasma as a result of the plasma ions mixing with the steel substrate in liquid phase are discussed also.

  11. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  12. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  13. Design of a uranium-dioxide powder spheroidization system by plasma processing

    Science.gov (United States)

    Cavender, Daniel

    The plasma spheroidization system (PSS) is the first process in the development of a tungsten-uranium dioxide (W-UO2) ceramic-metallic (cermet) fuel for nuclear thermal rocket (NTR) propulsion. For the purposes of fissile fuel retention, UO2 spheroids ranging in size from 50 - 100 micrometers (μm) in diameter will be encapsulated in a tungsten shell. The PSS produces spherical particles by melting angular stock particles in an argon-hydrogen plasma jet where they become spherical due to surface tension. Surrogate CeO 2 powder was used in place of UO2 for system and process parameter development. Stock and spheroidized powders were micrographed using optical and scanning electron microscopy and evaluated by statistical methods to characterize and compare the spherocity of pre and post process powders. Particle spherocity was determined by irregularity parameter. Processed powders showed a statistically significant improvement in spherocity, with greater that 60% of the examined particles having an irregularity parameter of equal to or lower than 1.2, compared to stock powder.

  14. Effect of different parameters governing the stability of drift wave in a magnetised plasma

    International Nuclear Information System (INIS)

    Elashkar, F.F.

    1990-01-01

    Influence of the governing parameters, such as electron drift parallel speed, parallel wave length, electron-neutral and ion-neutral collision frequencies, electron temperature and magnetic field, on the stability of drift wave in a magnetized plasma has been studied experimentally and theoretically using a full numerical solution of the exact equation. Drift wave has been excited by a positively biased grid; at a threshold grid potential secondary excitation and ionisation processes take place in the ejected beam of plasma. Effect of the applied magnetic field on the probability of these processes is discussed. Grid positive potential, electron-neutral collision, parallel wave length, electron temperature and speed are found to be destabilizing, While ion neutral collision is stabilizing. Using a new parameter β, the effect of magnetic field is investigated and it is destabilizing only upto a certain limit. (author). 11 figs., 21 refs

  15. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  16. Effects of plasma jet parameters, ionization, thermal conduction, and radiation on stagnation conditions of an imploding plasma liner

    Science.gov (United States)

    Stanic, Milos

    The disciplines of High Energy Density Physics (HEDP) and Inertial Confinement Fusion (ICF) are characterized by hypervelocity implosions and strong shocks. The Plasma Liner Experiment (PLX) is focused on reaching HEDP and/or ICF relevant regimes in excess of 1 Mbar peak pressure by the merging and implosion of discrete plasma jets, as a potentially efficient path towards these extreme conditions in a laboratory. In this work we have presented the first 3D simulations of plasma liner, formation, and implosion by the merging of discrete plasma jets in which ionization, thermal conduction, and radiation are all included in the physics model. The study was conducted by utilizing a smoothed particle hydrodynamics code (SPHC) and was a part of the plasma liner experiment (PLX). The salient physics processes of liner formation and implosion are studied, namely vacuum propagation of plasma jets, merging of the jets (liner forming), implosion (liner collapsing), stagnation (peak pressure), and expansion (rarefaction wave disassembling the target). Radiative transport was found to significantly reduce the temperature of the liner during implosion, thus reducing the thermal expansion rates and leaving more pronounced gradients in the plasma liner during the implosion compared with ideal hydrodynamic simulations. These pronounced gradients lead to a greater sensitivity of initial jet geometry and symmetry on peak pressures obtained. Accounting for ionization and transport, many cases gave higher peak pressures than the ideal hydrodynamic simulations. Scaling laws were developed accordingly, creating a non-dimensional parameter space in which performance of an imploding plasma jet liner can be estimated. It is shown that HEDP regimes could be reached with ≈ 5 MJ of liner energy, which would translate to roughly 10 to 20 MJ of stored (capacitor) energy. This is a potentially significant improvement over the currently available means via ICF of achieving HEDP and nuclear

  17. Spark Plasma Sintering constrained process parameters of sintered silver paste for connection in power electronic modules: Microstructure, mechanical and thermal properties

    Energy Technology Data Exchange (ETDEWEB)

    Alayli, N. [Université Paris 13, Sorbonne Paris Cité, Laboratoire des Sciences des Procédés et des Matériaux, Centre National de la Recherche Scientifique, Unité Propre de Recherche 3407, 99 avenue Jean Baptiste Clément, F-93430 Villetaneuse (France); Université de Versailles-Saint-Quentin-en-Yvelines, Sorbonne Universités, Université Pierre et Marie Curie, Université Paris 06, Centre National de la Recherche Scientifique/INSU, Laboratoire Atmosphères Milieux Observations Spatiales-IPSL, Quartier des Garennes, 11 Boulevard d' Alembert, F-78280 Guyancourt (France); Schoenstein, F., E-mail: frederic.schoenstein@univ-paris13.fr [Université Paris 13, Sorbonne Paris Cité, Laboratoire des Sciences des Procédés et des Matériaux, Centre National de la Recherche Scientifique, Unité Propre de Recherche 3407, 99 avenue Jean Baptiste Clément, F-93430 Villetaneuse (France); Girard, A. [Office National d' Étude et de Recherches Aérospatiales, Laboratoire d' Étude des Microstructures, Centre National de la Recherche Scientifique, Unité Mixte de Recherche 104, 29 avenue de la Division Leclerc, F-92322 Châtillon (France); and others

    2014-11-14

    Processing parameters of Spark Plasma Sintering (SPS) technique were constrained to process nano sized silver particles bound in a paste for interconnection in power electronic devices. A novel strategy combining debinding step and consolidation processes (SPS) in order to elaborate nano-structured silver bulk material is investigated. Optimum parameters were sought for industrial power electronics packaging from the microstructural and morphological properties of the sintered material. The latter was studied by Scanning Electron Microscope (SEM) and X-Ray Diffraction (XRD) to determine the density and the grain size of crystallites. Two types of samples, termed S1 (bulk) and S2 (multilayer) were elaborated and characterized. They are homogeneous with a low degree of porosity and a good adhesion to the substrate and the process parameters are compatible with industrial constraints. As the experimental results show, the mean crystallite size is between 60 nm and 790 nm with a density between 50% and 92% resulting in mechanical and thermal properties that are better than that of lead free solder. The best SPS sintering parameters, the applied pressure, the temperature and the processing time were determined as being 3 MPa, 300 °C and 1 min respectively when the desizing time of the preprocessing step was kept below 5 min at 150 °C. Using these processing parameters, acceptable for automotive packaging industry, a semi-conductor power chip was successfully connected to a metalized substrate by sintered silver with thermal and electrical properties better than those of current solders and with thermomechanical properties allowing absorption of thermoplastic stresses. - Highlights: • The sintered silver joints have nanometric structure. • The grain growth was controlled by the SPS sintering parameters. • New connection material improve thermal and electrical properties of current solders. • Interconnection's plastic strain can absorb thermo

  18. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  19. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  20. Typical parameters of the plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Gundermann, S.; Jacobs, H.; Miethke, F.; Rutsher, A.; Wagner, H.E.

    1996-01-01

    The substance of physical similarity principles is contained in parameters which govern the comparison of different realizations of a model device. Because similarity parameters for non-isothermal plasma chemical reactors are unknown to a great extent, an analysis of relevant equations is given together with some experimental results. Modelling of the reactor and experimental results for the ozone synthesis are presented

  1. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  2. Rocket measurements within a polar cap arc - Plasma, particle, and electric circuit parameters

    Science.gov (United States)

    Weber, E. J.; Ballenthin, J. O.; Basu, S.; Carlson, H. C.; Hardy, D. A.; Maynard, N. C.; Kelley, M. C.; Fleischman, J. R.; Pfaff, R. F.

    1989-01-01

    Results are presented from the Polar Ionospheric Irregularities Experiment (PIIE), conducted from Sondrestrom, Greenland, on March 15, 1985, designed for an investigation of processes which lead to the generation of small-scale (less than 1 km) ionospheric irregularities within polar-cap F-layer auroras. An instrumented rocket was launched into a polar cap F layer aurora to measure energetic electron flux, plasma, and electric circuit parameters of a sun-aligned arc, coordinated with simultaneous measurements from the Sondrestrom incoherent scatter radar and the AFGL Airborne Ionospheric Observatory. Results indicated the existence of two different generation mechanisms on the dawnside and duskside of the arc. On the duskside, parameters are suggestive of an interchange process, while on the dawnside, fluctuation parameters are consistent with a velocity shear instability.

  3. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  4. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  5. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  6. On the distribution of plasma parameters in RF glow discharge

    International Nuclear Information System (INIS)

    Ning Cheng; Liu Zuli; Liu Donghui; Han Caiyuan.

    1993-01-01

    A self-consistent numerical model based on the two-fluid equations for describing the transport of charged particles in the RF glow discharge is presented. For a plasma generator filled with low-pressure air and parallel-plate electrodes, the model is numerical solved. The space-time distribution of parameters and the spatial distribution of some time-averaged parameters in plasma, which show the physical picture of the RF glow discharge, are obtained

  7. A proposed method for fast determination of plasma parameters

    International Nuclear Information System (INIS)

    Braams, B.J.; Lackner, K.

    1984-09-01

    The method of function parametrization, developed and applied by H. Wind for fast data evaluation in high energy physics, is presented in the context of controlled fusion research. This method relies on statistical analysis of a data base of simulated experiments in order to obtain a functional representation for the intrinsic physical parameters of a system in terms of the values of the measurements. Some variations on Wind's original procedure are suggested. A specific application for tokamak experiments would be the determination of certain global parameters of the plasma, characterizing the current profile, shape of the cross-section, plasma pressure, and the internal inductance. The relevant measurements for this application include values of the poloidal field and flux external to the plasma, and a diamagnetic measurement. These may be combined with other diagnostics, such as electron-cyclotron emission and laser interferometry, in order to obtain also density and temperature profiles. There appears to be a capability for on-line determination of basic physical parameters, in a millisecond timescale on a minicomputer instead of in seconds on a large mainframe. (orig.)

  8. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  9. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  10. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  11. Ion source plasma parameters measurement based on Langmuir probe with commercial frequency sweep

    International Nuclear Information System (INIS)

    Xie, Y.H.; Hu, C.D.; Liu, S.; Shong, S.H.; Jiang, C.C.; Liu, Z.M.

    2010-01-01

    Langmuir probe is one of the main diagnostic tools to measure the plasma parameters in the ion source. In this article, the commercial frequency power, which is sine wave of 50 Hz, was supplied on the Langmuir probe to measure the plasma parameters. The best feature of this probe sweep voltage is that it does not need extra design. The probe I-V characteristic curve can be got in less than 5 ms and the plasma parameters, the electron temperature and the electron density, varying with the time can be got in one plasma discharge of 400 ms.

  12. Study on spatial distribution of plasma parameters in a magnetized inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Hee-Woon; Lee, Woohyun; Kim, Ji-Won; Whang, Ki-Woong, E-mail: kwhang@snu.ac.kr [Plasma Laboratory, Inter-University Semiconductor Research Center, Department of Electrical and Computer Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Hyuk [Samsung Electronics Co., Banwol-dong, Hwaseong 445-701 (Korea, Republic of); Park, Wanjae [Tokyo Electron Miyagi Ltd., Taiwa-cho, Kurokawa-gun, Miyagi 981-3629 (Japan)

    2015-07-15

    Spatial distributions of various plasma parameters such as plasma density, electron temperature, and radical density in an inductively coupled plasma (ICP) and a magnetized inductively coupled plasma (M-ICP) were investigated and compared. Electron temperature in between the rf window and the substrate holder of M-ICP was higher than that of ICP, whereas the one just above the substrate holder of M-ICP was similar to that of ICP when a weak (<8 G) magnetic field was employed. As a result, radical densities in M-ICP were higher than those in ICP and the etch rate of oxide in M-ICP was faster than that in ICP without severe electron charging in 90 nm high aspect ratio contact hole etch.

  13. North-South asymmetry of interplanetary plasma and solar parameters

    International Nuclear Information System (INIS)

    El-Borie, M. A.

    2001-01-01

    Data of interplanetary plasma (field magnitude, solar wind speed, ion plasma density and temperature) and solar parameters (sunspot number, solar radio flux, and geomagnetic index) over the period 1965-1991, have been used to examine the asymmetry between the solar field north and south of the heliospheric current sheet (HCS). The dependence of N-S asymmetry of field magnitude (B) upon the interplanetary solar polarities is statistically insignificant. There is no clear indication for the presence of N-S asymmetry in the grand-average field magnitude over the solar cycles. During the period 1981-89 (qA<0; negative solar polarity state), the solar plasma was more dense and cooler south of the HCS than north of it. The solar flux component of toward field vector is larger in magnitude than those of away field vector during the qA<0 epoch, and no asymmetry observed in the qA<0 epoch. Furthermore, the sign of the N-S asymmetry in the solar activity depends positively upon the solar polarity state. In addition, it was studied the N-S asymmetry of solar parameters near the HCS, throughout the periods of northern and southern hemispheres were more active than the other. Some asymmetries (with respect to the HCS) in plasma parameters existed during the periods of southern hemisphere predominance

  14. Revisiting linear plasma waves for finite value of the plasma parameter

    Science.gov (United States)

    Grismayer, Thomas; Fahlen, Jay; Decyk, Viktor; Mori, Warren

    2010-11-01

    We investigate through theory and PIC simulations the Landau-damping of plasma waves with finite plasma parameter. We concentrate on the linear regime, γφB, where the waves are typically small and below the thermal noise. We simulate these condition using 1,2,3D electrostatic PIC codes (BEPS), noting that modern computers now allow us to simulate cases where (nλD^3 = [1e2;1e6]). We study these waves by using a subtraction technique in which two simulations are carried out. In the first, a small wave is initialized or driven, in the second no wave is excited. The results are subtracted to provide a clean signal that can be studied. As nλD^3 is decreased, the number of resonant electrons can be small for linear waves. We show how the damping changes as a result of having few resonant particles. We also find that for small nλD^3 fluctuations can cause the electrons to undergo collisions that eventually destroy the initial wave. A quantity of interest is the the life time of a particular mode which depends on the plasma parameter and the wave number. The life time is estimated and then compared with the numerical results. A surprising result is that even for large values of nλD^3 some non-Vlasov discreteness effects appear to be important.

  15. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  16. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  17. Global parameter optimization of a Mather-type plasma focus in the framework of the Gratton–Vargas two-dimensional snowplow model

    International Nuclear Information System (INIS)

    Auluck, S K H

    2014-01-01

    Dense plasma focus (DPF) is known to produce highly energetic ions, electrons and plasma environment which can be used for breeding short-lived isotopes, plasma nanotechnology and other material processing applications. Commercial utilization of DPF in such areas would need a design tool that can be deployed in an automatic search for the best possible device configuration for a given application. The recently revisited (Auluck 2013 Phys. Plasmas 20 112501) Gratton–Vargas (GV) two-dimensional analytical snowplow model of plasma focus provides a numerical formula for dynamic inductance of a Mather-type plasma focus fitted to thousands of automated computations, which enables the construction of such a design tool. This inductance formula is utilized in the present work to explore global optimization, based on first-principles optimality criteria, in a four-dimensional parameter-subspace of the zero-resistance GV model. The optimization process is shown to reproduce the empirically observed constancy of the drive parameter over eight decades in capacitor bank energy. The optimized geometry of plasma focus normalized to the anode radius is shown to be independent of voltage, while the optimized anode radius is shown to be related to capacitor bank inductance. (paper)

  18. Development of process maps for plasma spray: case study for molybdenum

    International Nuclear Information System (INIS)

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matejicek, J.; Gilmore, D.L.; Neiser, R.A.

    2003-01-01

    A schematic representation referred to as 'process maps' examines the role of process variables on the properties of plasma-sprayed coatings. Process maps have been developed for air plasma spraying of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, primary gas flow, auxiliary gas flow, and powder carrier gas flow. In-flight particle temperatures and velocities were measured and diameters estimated in various areas of the spray plume. Empirical models were developed relating the input parameters to the in-flight particle characteristics. Molybdenum splats and coatings were produced at three distinct process conditions identified from the first-order process map experiments. In addition, substrate surface temperature during deposition was treated as a variable. Within the tested range, modulus, hardness and thermal conductivity increases with particle velocity, while oxygen content and porosity decreases. Increasing substrate deposition temperature resulted in dramatic improvement in coating thermal conductivity and modulus, while simultaneously increasing coating oxide content. Indentation reveals improved fracture resistance for the coatings prepared at higher substrate temperature. Residual stress was significantly affected by substrate temperature, although not to a great extent by particle conditions within the investigated parameter range. Coatings prepared at high substrate temperature with high-energy particles suffered considerably less damage in a wear test. The mechanisms behind these changes are discussed within the context relational maps, which have been proposed

  19. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  20. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  1. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  2. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  3. Plasma jet source parameter optimisation and experiments on injection into Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Semenov, A.A.; Voronin, A.V.

    2005-01-01

    Results of theoretical and experimental research on the plasma sources and injection of plasma and gas jet produced by the modified source into tokamak Globus-M are presented. An experimental test stand was developed for investigation of intense plasma jet generation. Optimisation of pulsed coaxial accelerator parameters by means of analytical calculations is performed with the aim of achieving the highest flow velocity at limited coaxial electrode length and discharge current. The optimal parameters of power supply to generate a plasma jet with minimal impurity contamination and maximum flow velocity were determined. A comparison of experimental and calculation results is made. Plasma jet parameters are measured, such as: impurity species content, pressure distribution across the jet, flow velocity, plasma density, etc. Experiments on the interaction of a higher kinetic energy plasma jet with the magnetic field and plasma of the Globus-M tokamak were performed. Experimental results on plasma and gas jet injection into different Globus-M discharge phases are presented and discussed. Results are presented on the investigation of plasma jet injection as the source for discharge breakdown, plasma current startup and initial density rise. (author)

  4. Experimental and numerical investigation of plasma parameters in the magnetosheath

    Directory of Open Access Journals (Sweden)

    Dobreva Polya

    2018-01-01

    Full Text Available We investigate the behaviour of the plasma parameters in the magnetosheath in a case when Interball-1 satellite stayed in the magnetosheath, crossing the tail magnetopause. In our analysis we apply the numerical magnetosheath-magnetosphere model as a theoretical tool. The bow shock and the magnetopause are self-consistently determined in the process of the solution. The flow in the magnetosheath is governed by the Euler equations of compressible ideal gas. The magnetic field in the magnetosphere is calculated by a variant of the Tsyganenko model, modified to account for an asymmetric magnetopause. Also, the magnetopause currents in Tsyganenko model are replaced by numericaly calulated ones. Measurements from WIND spacecraft are used as a solar wind monitor. The results demonstrate a good agreement between the model-calculated and measured values of the parameters under investigation.

  5. Plasma parameters in the COMPASS divertor during Ohmic plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrova, M. [Institute of Plasma Physics, Academy of Sciences of the Czech Republic v.v.i., Prague (Czech Republic); Emil Djakov Institute of Electronics, Bulgarian Academy of Sciences, Sofia (Bulgaria); Dejarnac, R.; Stoeckel, J.; Havlicek, J.; Janky, F.; Panek, R. [Institute of Plasma Physics, Academy of Sciences of the Czech Republic v.v.i., Prague (Czech Republic); Popov, Ts.K. [Faculty of Physics, St. Kl. Ohridski University of Sofia (Bulgaria); Ivanova, P.; Vasileva, E. [Emil Djakov Institute of Electronics, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kovacic, J. [Jozef Stefan Institute, Ljubljana (Slovenia)

    2014-04-15

    This paper reports on probe measurements of the electron energy distribution function and plasma potential in the divertor region of the COMPASS tokamak during D-shaped plasmas. The probe data have been processed using the novel first-derivative technique. A comparison with the results obtained by processing the same data with the classical probe technique, which assumes Maxwellian electron energy distribution functions is presented and discussed. In the vicinity of the inner and outer strike points of the divertor the electron energy distribution function can be approximated by a bi-Maxwellian, with a dominating low-energy electron population (4-7 eV) and a minority of higher energy electrons (12-25 eV). In the private flux region between the two strike points the electron energy distribution function is found to be Maxwellian with temperatures in the range of 7-10 eV. The comparative analysis using both techniques has allowed a better insight into the underlying physical processes at the divertor region of the COMPASS tokamak. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Numerical study of melted particles crush metallic substrates and the interaction between particles and a plasma beam in the thermal projection process

    International Nuclear Information System (INIS)

    Kriba, Ilhem; Djebaili, A.

    2009-01-01

    Plasma spray processes have been widely used to produce high performance coatings of a wide range of materials (metallic, non-metallic, and ceramics), offering protection from, e.g. wear, extreme temperature, chemical attack and environmental corrosion. To obtain good quality coatings, spray parameters must be carefully selected. Due to the large variety in process parameters, it is difficult to optimize the process for each specific coating and substrate combinations. Furthermore modelling the spray process allows a better understanding of the process sequences during thermal spraying. The simulation of coating formation to estimate the process parameters is an important tool to develop new coating structures with defined properties. In this work, the process of plasma sprayed coating has been analyzed by numerical simulation. Commercial code is used to predict the plasma jet characteristics, plasma-particle interaction, and coating formation. Using this model we can obtain coating microstructure and characteristics which form a foundation for further improvement of an advanced ceramic coating build up model

  7. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  8. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  9. Investigation of shock compressed plasma parameters by interaction with magnetic field

    International Nuclear Information System (INIS)

    Dudin, S. V.; Fortov, V. E.; Gryaznov, V. K.; Mintsev, V. B.; Shilkin, N. S.; Ushnurtsev, A. E.

    1998-01-01

    The Hall effect parameters in shock compressed air, helium and xenon have been estimated and results of experiments with air and helium plasma are presented. Explosively driven shock tubes were used for the generation of strong shock waves. To obtain magnetic field a solenoid was winded over the shock tube. Calculations of dense shock compressed plasma parameters were carried out to plan the experiments. In the experiments with the magnetic field of ∼5 T it was found, that air plasma slug was significantly heated by the whirlwind electrical field. The reflected shock waves technique was used in the experiments with helium. Results on measurements of electrical conductivity and electron concentration of helium are presented

  10. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  11. The Influence of the Axial Magnetic Field Upon-the Coaxial Plasma Gun Parameters

    International Nuclear Information System (INIS)

    El-Aragi, G.M.; EL-Demrdash, A.

    2001-01-01

    This study concerns with the influence of an applied axial magnetic field upon the electrical parameters of a coaxial plasma gun device. The experimental results are investigated with 0.5 KJ plasma gun device operated with argon gas at a pressure of 3.5 Torr. An axial time independent magnetic field with intensity of 550 G is introduced along the plasma current sheath axial region, within the annular space between the two coaxial electrodes. From the measurements of the discharge current I(t) and the voltage V(t), the electrical discharge parameters of the plasma gun device and the plasma current sheath implosion velocity are estimated, in normal mode of plasma gun operation and in the mode of presence external axial magnetic field. A comparison between these two modes is studied

  12. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  13. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  14. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  15. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  16. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  17. Simultaneous measurement of 3 fluctuating plasma parameters

    International Nuclear Information System (INIS)

    Carlson, A.; Giannone, L.

    1991-01-01

    Langmuir triple probes can provide simultaneous measurements of n e , T e and V pl with good temporal and spatial resolution, and therefore are especially suited to detailed investigations of plasma turbulence in the scrape-off-layer. Unfortunately, the finite tip separation coupled with the fluctuating gradients prevents a simple interpretation of the results. We have developed a method using, essentially, two or more triple probes, which allows a good estimate of the three plasma parameters and their spatial derivatives at each point of time (assuming tip separation is much less than correlation length and dimensionless fluctuation levels are much less than unity). In particular, we can unambiguously measure the temperature fluctuations and the turbulent particle and heat flux. (author) 1 fig

  18. Simultaneous measurement of 3 fluctuating plasma parameters

    International Nuclear Information System (INIS)

    Carlson, A.; Giannone, L.

    1991-01-01

    Langmuir triple probes can provide simultaneous measurements of n e , T e , and V pl with good temporal and spatial resolution, and therefore are especially suited to detailed investigations of plasma turbulence in the scrape-off-layer. Unfortunately, the finite tip separation coupled with the fluctuating gradients prevents a simple interpretation of the results. We have developed a method using, essentially, two or more triple probes, which allows a good estimate of the three plasma parameters and their spatial derivatives at each point of time (assuming tip separation is much less than correlation length and dimensionless fluctuation levels are much less than unity). In particular, we can unambiguously measure the temperature fluctuations and the turbulent particle and heat flux. (orig.)

  19. Simultaneous measurement of 3 fluctuating plasma parameters

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, A; Giannone, L. (Max-Planck-Institut fuer Plasmaphysik, Garching (Germany))

    1991-01-01

    Langmuir triple probes can provide simultaneous measurements of n[sub e], T[sub e] and V[sub pl] with good temporal and spatial resolution, and therefore are especially suited to detailed investigations of plasma turbulence in the scrape-off-layer. Unfortunately, the finite tip separation coupled with the fluctuating gradients prevents a simple interpretation of the results. We have developed a method using, essentially, two or more triple probes, which allows a good estimate of the three plasma parameters and their spatial derivatives at each point of time (assuming tip separation is much less than correlation length and dimensionless fluctuation levels are much less than unity). In particular, we can unambiguously measure the temperature fluctuations and the turbulent particle and heat flux. (author) 1 fig.

  20. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  1. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    Science.gov (United States)

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  3. Possibility for a self-consistent treatment of transport processes in a turbulent plasma

    International Nuclear Information System (INIS)

    Mondt, J.P.

    1985-06-01

    All commonly used models of plasma dynamics share a common flaw in their a priori validity. In particular, a solid foundation of plasma modelling on microscopic dynamics, as exists for moderately dilute gases, is obscured because of the difficulties inherent in the treatment of the potentially very important interplay between plasma waves and collisional processes. The present report briefly discusses the nature of these difficulties and presents a possible approach towards the establishment of a plasma theory founded on the microscopic particle dynamics. The essence of this approach is the realization that only discrete particle interactions can create correlations. These therefore come into being on different spatial scales depending on their cluster number, after which collective effects magnify them analogous to the growth of intial perturbations in an unstable system. Truncation of the Born-Bogolyubov-Green-Kirkwood-Yvon ('BBGKY') hierarchy thereby becomes a possibility through the introduction of a small parameter in intial conditions although the dynamical system in itself does not contain a uniformly small parameter

  4. A lumped parameter model of plasma focus

    International Nuclear Information System (INIS)

    Gonzalez, Jose H.; Florido, Pablo C.; Bruzzone, H.; Clausse, Alejandro

    1999-01-01

    A lumped parameter model to estimate neutron emission of a plasma focus (PF) device is developed. The dynamic of the current sheet is calculated using a snowplow model, and the neutron production with the thermal fusion cross section for a deuterium filling gas. The results were contrasted as a function of the filling pressure with experimental measurements of a 3.68 KJ Mather-type PF. (author)

  5. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  6. The variable polarity plasma arc welding process: Characteristics and performance

    Science.gov (United States)

    Hung, R. J.; Zhu, G. J.

    1991-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. The power distribution was analyzed for an argon plasma gas flow constituting the fluid in the VPPA Welding Process. The major heat loss at the torch nozzle is convective heat transfer; in the space between the outlet of the nozzle and the workpiece; radiative heat transfer; and in the keyhole in the workpiece, convective heat transfer. The power absorbed at the workpiece produces the molten puddle that solidifies into the weld bead. Crown and root widths, and crown and root heights of the weld bead are predicted. The basis is provided for an algorithm for automatic control of VPPA welding machine parameters to obtain desired weld bead dimensions.

  7. Plasma parameters effects on the properties, aging and stability behaviors of allylamine plasma coated ultra-high molecular weight polyethylene (UHMWPE) films

    Energy Technology Data Exchange (ETDEWEB)

    Aziz, Gaelle, E-mail: gaelle.aziz@ugent.be; Thukkaram, Monica; De Geyter, Nathalie; Morent, Rino

    2017-07-01

    Highlights: • Medium to atmospheric pressure DBD is used to deposit amino rich films. • Process parameters affect the films’ surface chemical and physical properties. • High deposition rates can be reached by varying the power and/or monomer flow rate. • High amino selectivity (NH{sub 2}/N in %) is obtained at low powers and high monomer concentration. • Aging and stability behaviors of the deposited coatings can be controlled by carefully choosing the plasma parameters. - Abstract: In this work, a dielectric barrier discharge (DBD) operated at medium to atmospheric pressure has been used for the deposition of thin polyallylamine (PAA) films on ultra-high molecular weight polyethylene (UHMWPE) substrates. The effect of treatment time (1–5 min), discharge power (5.7–24.0 W), monomer concentration (1–2 g/h) and pressure (10–100 kPa) on the films properties, aging and stability behaviors have been investigated. The used characterization techniques are X-ray photoelectron spectroscopy, water contact angle and optical reflectance spectroscopy. In this paper, it is shown that plasma treatment time does not affect the coatings chemistry; whereas plasma power, monomer concentration and pressure control the coatings properties. It is also shown that the deposition rate of the deposited films changes with varying W/FM values. At low W/FM values, high deposition rates of up to 2 nm/s are observed. Plasma treatments were also characterized by their amino efficiency ([NH{sub 2}]/[C] in %) and amino selectivity ([NH{sub 2}]/[N] in %). Depending on the used parameters, these varied between 12.3% and 20% and between 71.2% and 91.1%, respectively. For the aging study, coatings that preserved most of their hydrophilicity were obtained at power ≤11.3 W, monomer concentration ≥1.5 g/h and pressure ≥50 kPa. For the stability study, coatings that showed the highest [N] (%) and lowest percentage of thickness decrease were obtained at ≤2 min, 24.0 W, 1 g/h and

  8. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  9. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  10. Scaling laws of design parameters for plasma wakefield accelerators

    International Nuclear Information System (INIS)

    Uhm, Han S.; Nam, In H.; Suk, Hyyong

    2012-01-01

    Simple scaling laws for the design parameters of plasma wakefield accelerators were obtained using a theoretical model, which were confirmed via particle simulation studies. It was found that the acceleration length was given by Δx=0.804λ p /(1−β g ), where λ p is the plasma wavelength and β g c the propagation velocity of the ion cavity. The acceleration energy can also be given by ΔE=(γ m −1)mc 2 =2.645mc 2 /(1−β g ), where m is the electron rest mass. As expected, the acceleration length and energy increase drastically as β g approached unity. These simple scaling laws can be very instrumental in the design of better-performing plasma wakefield accelerators. -- Highlights: ► Simple scaling laws for the design parameters of laser wakefield accelerators were obtained using a theoretical model. ► The scaling laws for acceleration length and acceleration energy were compared with particle-in-cell simulation results. ► The acceleration length and the energy increase drastically as β g approaches unity. ► These simple scaling laws can be very instrumental in the design of laser wakefield accelerators.

  11. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  12. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  13. Agglomeration processes in carbonaceous dusty plasmas, experiments and numerical simulations

    International Nuclear Information System (INIS)

    Dap, S; Hugon, R; De Poucques, L; Bougdira, J; Lacroix, D; Patisson, F

    2010-01-01

    This paper deals with carbon dust agglomeration in radio frequency acetylene/argon plasma. Two studies, an experimental and a numerical one, were carried out to model dust formation mechanisms. Firstly, in situ transmission spectroscopy of dust clouds in the visible range was performed in order to observe the main features of the agglomeration process of the produced carbonaceous dust. Secondly, numerical simulation tools dedicated to understanding the achieved experiments were developed. A first model was used for the discretization of the continuous population balance equations that characterize the dust agglomeration process. The second model is based on a Monte Carlo ray-tracing code coupled to a Mie theory calculation of dust absorption and scattering parameters. These two simulation tools were used together in order to numerically predict the light transmissivity through a dusty plasma and make comparisons with experiments.

  14. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  15. Triple-probe method applied to the direct display of plasma parameters in a supersonic flowing continuum plasma

    International Nuclear Information System (INIS)

    Ogram, G.L.; Chang, J.; Hobson, R.M.

    1979-01-01

    The calibration of electrostatic triple-probe voltage and current response in a supersonic continuum plasma has been carried out using a low-pressure shock tube. The electron temperature T/sub e/ and charge number density N/sub e/ are compared to probe voltage and current response, respectively, for a useful range of plasma parameters (1 13 13 is the nondimensional probe voltage). The dependence of probe response on Debye ratio R/sub p//lambda/sub D/ and various flow parameters was investigated. The probe voltage response was found to depend significantly on the Debye ratio. Expressions for electron temperature determination by triple probe are presented

  16. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  17. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  18. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  19. Coronal mass ejections and disturbances in solar wind plasma parameters in relation with geomagnetic storms

    International Nuclear Information System (INIS)

    Verma, P L; Singh, Puspraj; Singh, Preetam

    2014-01-01

    Coronal Mass Ejections (CMEs) are the drastic solar events in which huge amount of solar plasma materials are ejected into the heliosphere from the sun and are mainly responsible to generate large disturbances in solar wind plasma parameters and geomagnetic storms in geomagnetic field. We have studied geomagnetic storms, (Dst ≤-75 nT) observed during the period of 1997-2007 with Coronal Mass Ejections and disturbances in solar wind plasma parameters (solar wind temperature, velocity, density and interplanetary magnetic field) .We have inferred that most of the geomagnetic storms are associated with halo and partial halo Coronal Mass Ejections (CMEs).The association rate of halo and partial halo coronal mass ejections are found 72.37 % and 27.63 % respectively. Further we have concluded that geomagnetic storms are closely associated with the disturbances in solar wind plasma parameters. We have determined positive co-relation between magnitudes of geomagnetic storms and magnitude of jump in solar wind plasma temperature, jump in solar wind plasma density, jump in solar wind plasma velocity and jump in average interplanetary magnetic field with co-relation co-efficient 0 .35 between magnitude of geomagnetic storms and magnitude of jump in solar wind plasma temperature, 0.19 between magnitude of geomagnetic storms and magnitude of jump in solar wind density, 0.34 between magnitude of geomagnetic storms and magnitude of jump in solar wind plasma velocity, 0.66 between magnitude of geomagnetic storms and magnitude of jump in average interplanetary magnetic field respectively. We have concluded that geomagnetic storms are mainly caused by Coronal Mass Ejections and disturbances in solar wind plasma parameters that they generate.

  20. Mode transition of power dissipation and plasma parameters in an asymmetric capacitive discharge

    International Nuclear Information System (INIS)

    Lee, Soo-Jin; Lee, Hyo-Chang; Bang, Jin-young; Oh, Seung-Ju; Chung, Chin-Wook

    2013-01-01

    Electrical characteristics and plasma parameters were experimentally investigated in asymmetric capacitively coupled plasma with various argon gas pressures. At a low discharge current region, the transferred power to the plasma was proportional to the current, while the transferred power increased proportionally to square of the current at a high discharge current region. The mode transition of power dissipation occurred at the lower discharge current region with the high gas pressure. At the low radio-frequency power or low discharge current, the plasma density increased linearly with the discharge current, while at the high power or high discharge current, the rate of an increase in the plasma density depended on the gas pressures. A transition of the discharge resistance was also found when the mode transition of the power dissipation occurred. These changes in the electrical characteristics and the plasma parameters were mainly caused by the power dissipation mode transition from the plasma bulk to the sheath in the capacitive discharge with the asymmetric electrode, which has extremely high self-bias voltages. - Highlights: • Mode transition of the power dissipation in an asymmetrical capacitive discharge • Evolution of the discharge power, electrode voltage, and discharge impedance • Electron temperature and plasma density on the power dissipation mode transition

  1. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  2. High density turbulent plasma processes from a shock tube. Final performance report

    International Nuclear Information System (INIS)

    Johnson, J.A. III.

    1997-01-01

    A broad-based set of measurements has begun on high density turbulent plasma processes. This includes determinations of new plasma physics and the initiation of work on new diagnostics for collisional plasmas as follows: (1) A transient increase is observed in both the spectral energy decay rate and the degree of chaotic complexity at the interface of a shock wave and a turbulent ionized gas. Even though the gas is apparently brought to rest by the shock wave, no evidence is found either of prompt relaminarization or of any systematic influence of end-wall material thermal conductivities on the turbulence parameters. (2) Point fluorescence emissions and averaged spectral line evolutions in turbulent plasmas produced in both the primary and the reflected shock wave flows exhibit ergodicity in the standard turbulence parameters. The data show first evidence of a reverse energy cascade in the collisional turbulent plasma. This suggests that the fully turbulent environment can be described using a stationary state formulation. In these same data, the author finds compelling evidence for a turbulent Stark effect on neutral emission lines in these data which is associated with evidence of large coherent structures and dominant modes in the Fourier analyses of the fluctuations in the optical spectra. (3) A neutral beam generator has been assembled by coupling a Colutron Ion Gun to a charge exchange chamber. Beam-target collisions where the target species is neutral and the beam is either singly charged or neutral have been performed using argon as the working gas. Spectral analysis of the emission shows specific radiative transitions characteristic of both Ar I and Ar II, indicating that some ionization of the target gas results. Gas and plasma parameters such as density, pressure, temperature and flow velocity and their fluctuations can now be followed in real time by spectroscopic analysis of carefully chosen radiative emissions

  3. Temporal evolution of electron energy distribution function and plasma parameters in the afterglow of drifting magnetron plasma

    International Nuclear Information System (INIS)

    Seo, Sang-Hun; In, Jung-Hwan; Chang, Hong-Young

    2005-01-01

    The temporal behaviour of the electron energy distribution function (EEDF) and the plasma parameters such as electron density, electron temperature and plasma and floating potentials in a mid-frequency pulsed dc magnetron plasma are investigated using time-resolved probe measurements. A negative-voltage dc pulse with an average power of 160 W during the pulse-on period, a repetition frequency of 20 kHz and a duty cycle of 50% is applied to the cathode of a planar unbalanced magnetron discharge with a grounded substrate. The measured electron energy distribution is found to exhibit a bi-Maxwellian distribution, which can be resolved with the low-energy electron group and the high-energy tail part during the pulse-on period, and a Maxwellian distribution only with low-energy electrons as a consequence of initially rapid decay of the high-energy tail part during the pulse-off period. This characteristic evolution of the EEDF is reflected in the decay characteristics of the electron density and temperature in the afterglow. These parameters exhibit twofold decay represented by two characteristic decay times of an initial fast decay time τ 1 , and a subsequent slower decay time τ 2 in the afterglow when approximated with a bi-exponential function. While the initial fast decay times are of the order of 1 μs (τ T1 ∼ 0.99 μs and τ N1 ∼ 1.5 μs), the slower decay times are of the order of a few tens of microseconds (τ T2 ∼ 7 μs and τ N2 ∼ 40 μs). The temporal evolution of the plasma parameters are qualitatively explained by considering the formation mechanism of the bi-Maxwellian electron distribution function and the electron transports of these electron groups in bulk plasma

  4. Aspects of plasma arc cutting process in the AISI 321 type stainless steel

    International Nuclear Information System (INIS)

    Souza Barros, I. de.

    1985-01-01

    Some aspects of plasma arc cutting process in the AISI321 stainless steel, used in nuclear industry, are analysed. The maximum values of the velocity of cutting and, the minimum quantity of energy per unit of length necesary for the plasma were determined. The localization of irregularities in the cut surface in function of the velocity of cutting was investigated. The cut surfaces were evaluated by surface roughness, using as measurement parameter, the distance between the sharpest salience and the deepest reentrance of the sample profile. The width of layer from thermal action of the plasma was influenced by the velocity of cutting. (Author) [pt

  5. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  6. Temporal characterization of plasma cw high-power CO2 laser-matter interaction: contribution to the welding process control

    Science.gov (United States)

    Engel, Thierry; Kane, M.; Fontaine, Joel

    1997-08-01

    During high-power laser welding, gas ionization occurs above the sample. The resulting plasma ignition threshold is related to ionization potential of metallic vapors from the sample, and shielding gases used in the process. In this work, we have characterized the temporal behavior of the radiation emitted by the plasma during laser welding in order to relate the observed signals to the process parameters.

  7. Numerical simulation of dual frequency etching reactors: Influence of the external process parameters on the plasma characteristics

    International Nuclear Information System (INIS)

    Georgieva, V.; Bogaerts, A.

    2005-01-01

    A one-dimensional particle-in-cell/Monte Carlo model is used to investigate Ar/CF 4 /N 2 discharges sustained in capacitively coupled dual frequency reactors, with special emphasis on the influence of the reactor parameters such as applied voltage amplitudes and frequencies of the two voltage sources. The presented calculation results include plasma density, ion current, average sheath potential and width, electron and ion average energies and energy distributions, and ionization rates. The simulations were carried out for high frequencies (HFs) of 27, 40, 60, and 100 MHz and a low frequency (LF) of 1 or 2 MHz, varying the LF voltage and keeping the HF voltage constant and vice versa. It is observed that the decoupling of the two sources is possible by increasing the applied HF to very high values (above 60 MHz) and it is not defined by the frequency ratio. Both voltage sources have influence on the plasma characteristics at a HF of 27 MHz and to some extent at 40 MHz. At HFs of 60 and 100 MHz, the plasma density and ion flux are determined only by the HF voltage source. The ion energy increases and the ion energy distribution function (IEDF) becomes broader with HF or LF voltage amplitude, when the other voltage is kept constant. The IEDF is broader with the increase of HF or the decrease of LF

  8. Effects of Atomization Injection on Nanoparticle Processing in Suspension Plasma Spray

    Directory of Open Access Journals (Sweden)

    Hong-bing Xiong

    2016-05-01

    Full Text Available Liquid atomization is applied in nanostructure dense coating technology to inject suspended nano-size powder materials into a suspension plasma spray (SPS torch. This paper presents the effects of the atomization parameters on the nanoparticle processing. A numerical model was developed to simulate the dynamic behaviors of the suspension droplets, the solid nanoparticles or agglomerates, as well as the interactions between them and the plasma gas. The plasma gas was calculated as compressible, multi-component, turbulent jet flow in Eulerian scheme. The droplets and the solid particles were calculated as discrete Lagrangian entities, being tracked through the spray process. The motion and thermal histories of the particles were given in this paper and their release and melting status were observed. The key parameters of atomization, including droplet size, injection angle and velocity were also analyzed. The study revealed that the nanoparticle processing in SPS preferred small droplets with better atomization and less aggregation from suspension preparation. The injection angle and velocity influenced the nanoparticle release percentage. Small angle and low initial velocity might have more nanoparticles released. Besides, the melting percentage of nanoparticles and agglomerates were studied, and the critical droplet diameter to ensure solid melting was drawn. Results showed that most released nanoparticles were well melted, but the agglomerates might be totally melted, partially melted, or even not melted at all, mainly depending on the agglomerate size. For better coating quality, the suspension droplet size should be limited to a critical droplet diameter, which was inversely proportional to the cubic root of weight content, for given critical agglomerate diameter of being totally melted.

  9. The Influence of the Axial Magnetic Field Upon- the Coaxial Plasma Gun Parameters

    International Nuclear Information System (INIS)

    El-Aragi, G.M.; El-Demardash, A.

    2001-01-01

    This study concerns with the influence of an applied axial magnetic field upon the electrical parameters and on the brightness (luminance) of argon plasma. The brightness was measured by with a photomultiplier type of IP28 RCA. The experimental results are investigated with plasma gun device operated with argon gas at a pressure of 3.5 Torr. An axial time independent magnetic field with intensity of 550 G is introduced along the plasma current sheath axial region, within the annular space between the two coaxial electrodes. From the measurements of the discharge current I(t) and the voltage V(t), the electrical discharge parameters of the plasma gun device and the plasma current sheath implosion velocity are estimated, in normal mode of plasma gun operation and in the mode of presence external axial magnetic field. A comparison between these two modes is studied. It was found that the thickness of skin-layer δ about 0.01 cm and the wavelength λ, of the perturbation about 1.3 cm i.e. the instability has been satisfied. The growth rate γ of the instability about 10 6 sec -1 . (author)

  10. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  11. Rocket measurements within a polar cap arc: Plasma, particle, and electric circuit parameters

    International Nuclear Information System (INIS)

    Weber, E.J.; Ballenthin, J.O.; Basu, S.; Carlson, H.C.; Hardy, D.A.; Maynard, N.C.; Smiddy, M.; Kelley, M.C.; Fleischman, J.R.; Sheehan, R.E.; Pfaff, R.F.; Rodriguez, P.

    1989-01-01

    An instrumented rocket payload was launched into a polar cap F layer aurora to investigate the energetic particle, plasma, and electric circuit parameters of a Sun-aligned arc. On-board instruments measured energetic electron flux, ion composition and density fluctuations, electron density and temperature, electron density fluctuations, and ac and dc electric fields. Real-time all-sky imaging photometer measurements of the location and motion of the aurora, were used to determine the proper geophysical situation for launch. Comparison of the in situ measurements with remote optical measurements shows that the arc was produced by fluxes of low-energy (< 1 keV) electrons. Field-aligned potentials in the arc inferred from the electron spectra had a maximum value of approximately 300 V, and from the spectral shape a parent population of preaccelerated electrons characteristic of the boundary plasma sheet or magnetosheath was inferred. Electric field components along and across the arc show sunward flow within the arc and duskward drift of the arc consistent with the drift direction and speed determined from optical imaging. Thus this arc is drifting duskward under the influence of the convection electric field. Three possible explanations for this (field-aligned currents, chemistry, and transport) are considered. Finally, ionospheric irregularity and electric field fluctuations indicate two different generation mechanisms on the dawnside and duskside of the arc. On the duskside, parameters are suggestive of an interchange process, while on the dawnside, fluctuation parameters are consistent with a velocity shear instability

  12. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  13. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  14. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  15. The effect of plasma parameter on the bootstrap current of fast ions in neutral beam injection

    International Nuclear Information System (INIS)

    Huang Qianhong; Gong Xueyu; Cao Jinjia; Yang Lei

    2014-01-01

    The effect of plasma parameters on the distribution of net current density of fast ions produced by neutral beam injection is investigated in a large-aspect-ratio Tokamak with circular cross-section under specific parameters. Numerical results show that the value of net current density increases with the temperature of plasma increasing and decreases with the density of plasma increasing. The value of net current density is weakly affected by the effective charge number, but the peak of net current density moves towards edge plasma with effective charge number increasing. (authors)

  16. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  17. Influence of process parameters on plasma electrolytic surface treatment of tantalum for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Maciej, E-mail: maciej.sowa@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Woszczak, Maja; Kazek-Kęsik, Alicja [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Dercz, Grzegorz [Institute of Materials Science, University of Silesia, 75 Pułku Piechoty Street 1A, 41-500 Chorzów (Poland); Korotin, Danila M. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Zhidkov, Ivan S. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Kurmaev, Ernst Z. [M.N. Mikheev Institute of Metal Physics of the Ural Branch of Russian Academy of Sciences, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Cholakh, Seif O. [Institute of Physics and Technology, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Basiaga, Marcin [Faculty of Biomedical Engineering, Silesian University of Technology, Gen. de Gaulle’a Street 66, 41-800 Zabrze (Poland); Simka, Wojciech, E-mail: wojciech.simka@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland)

    2017-06-15

    Highlights: • 2-step plasma electrolytic oxidation (PEO) of tantalum was investigated. • PEO coatings surface composition were reflected by the composition of anodizing baths. • Hydrophobic surfaces were obtained from acetate and formate containing baths. • Bioactive phases were identified. - Abstract: This work aims to quantify the effect of anodization voltage and electrolyte composition used during DC plasma electrolytic oxidation (PEO), operated as a 2-step process, on the surface properties of the resulting oxide coatings on tantalum. The first step consisted of galvanostatic anodization (150 mA cm{sup −2}) of the tantalum workpiece up to several limiting voltages (200, 300, 400 and 500 V). After attaining the limiting voltage, the process was switched to voltage control, which resulted in a gradual decrease of the anodic current density. The anodic treatment was realized in a 0.5 M Ca(H{sub 2}PO{sub 2}){sub 2} solution, which was then modified by the addition of 1.15 M Ca(HCOO){sub 2} as well as 1.15 M and 1.5 M Mg(CH{sub 3}COO){sub 2}. The increasing voltage of anodization led to the formation of thicker coatings, with larger pores and enriched with electrolytes species to a higher extent. The solutions containing HCOO{sup −} and CH{sub 3}COO{sup −} ions caused the formation of coatings which were slightly hydrophobic (high contact angle). In the case of the samples anodized up to 500 V, scattered crystalline deposits were observed. Bioactive phases, such as hydroxyapatite, were detected in the treated oxide coatings by XRD and XPS.

  18. Combined plasma gas-phase synthesis and colloidal processing of InP/ZnS core/shell nanocrystals

    OpenAIRE

    Hue Ryan; Gladfelter Wayne; Gresback Ryan; Kortshagen Uwe

    2011-01-01

    Abstract Indium phosphide nanocrystals (InP NCs) with diameters ranging from 2 to 5 nm were synthesized with a scalable, flow-through, nonthermal plasma process at a rate ranging from 10 to 40 mg/h. The NC size is controlled through the plasma operating parameters, with the residence time of the gas in the plasma region strongly influencing the NC size. The NC size distribution is narrow with the standard deviation being less than 20% of the mean NC size. Zinc sulfide (ZnS) shells were grown ...

  19. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  20. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  1. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  2. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  3. Fast non-linear extraction of plasma equilibrium parameters using a neural network mapping

    International Nuclear Information System (INIS)

    Lister, J.B.; Schnurrenberger, H.

    1990-07-01

    The shaping of non-circular plasmas requires a non-linear mapping between the measured diagnostic signals and selected equilibrium parameters. The particular configuration of Neural Network known as the multi-layer perceptron provides a powerful and general technique for formulating an arbitrary continuous non-linear multi-dimensional mapping. This technique has been successfully applied to the extraction of equilibrium parameters from measurements of single-null diverted plasmas in the DIII-D tokamak; the results are compared with a purely linear mapping. The method is promising, and hardware implementation is straightforward. (author) 15 refs., 7 figs

  4. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  5. Plasma parameter estimations for the Large Helical Device based on the gyro-reduced Bohm scaling

    International Nuclear Information System (INIS)

    Okamoto, Masao; Nakajima, Noriyoshi; Sugama, Hideo.

    1991-10-01

    A model of gyro-reduced Bohm scaling law is incorporated into a one-dimensional transport code to predict plasma parameters for the Large Helical Device (LHD). The transport code calculations reproduce well the LHD empirical scaling law and basic parameters and profiles of the LHD plasma are calculated. The amounts of toroidal currents (bootstrap current and beam-driven current) are also estimated. (author)

  6. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  7. The Influence of Anode Inner Contour on Atmospheric DC Plasma Spraying Process

    Directory of Open Access Journals (Sweden)

    Kui Wen

    2017-01-01

    Full Text Available In thermal plasma spraying process, anode nozzle is one of the most important components of plasma torch. Its inner contour controls the characteristics of plasma arc/jet, determining the motion and heating behaviors of the in-flight particles and hence influencing the coating quality. In this study, the effects of anode inner contour, standard cylindrical nozzle, and cone-shaped Laval nozzle with conical shape diverging exit (CSL nozzle on the arc voltage, net power, thermal efficiency, plasma jet characteristics, in-flight particle behaviors, and coating properties have been systematically investigated under atmospheric plasma spraying conditions. The results show that the cylindrical nozzle has a higher arc voltage, net power, and thermal efficiency, as well as the higher plasma temperature and velocity at the torch exit, while the CSL nozzle has a higher measured temperature of plasma jet. The variation trends of the plasma jet characteristics for the two nozzles are comparable under various spraying parameters. The in-flight particle with smaller velocity of CSL nozzle has a higher measured temperature and melting fraction. As a result, the coating density and adhesive strength of CSL nozzle are lower than those of cylindrical nozzle, but the deposition efficiency is greatly improved.

  8. Self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes

    International Nuclear Information System (INIS)

    Kondoh, Yoshiomi; Hakoiwa, Toru; Okada, Akihito; Kobayashi, Naohiro; Takahashi, Toshiki

    2006-01-01

    A novel set of simultaneous eigenvalue equations having dissipative terms are derived to find self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes. By numerically solving the set of eigenvalue equations in a cylindrical model, typical spatial profiles of plasma parameters, electric and magnetic fields and diffusion factors are presented, all of which determine self-consistently with each other by physical laws and mutual relations among them, just as in experimental plasmas. (author)

  9. Comparative Study of Plasma Parameters in Olive Ridley (Lepidochelys Olivacea and Hawksbill (Eretmochelys Imbricata During Nesting

    Directory of Open Access Journals (Sweden)

    A.Y.A. Alkindi

    2002-06-01

    Full Text Available The aim of this study is to investigate the role of plasma level parameters during nesting activity and provide data potentially useful to future studies on the dynamics of reproductive and stress hormones in the most endangered sea turtle species in the world. Plasma parameters in the sea turtles, olive ridley (Lipodochelys oliveacea and hawksbill (Eretmochelys imbricata from Masirah Island, Oman, were analyzed relative to nesting stress. To date, no study has been conducted on plasma parameter levels in sea turtles during nesting. Field observations were conducted under ideal temperature conditions. At the time of sampling, there was no significant difference for cloacal, sand, air or water temperature for the two species. Electrolytes (Cl¯, Ca++, K+, Na+ and Mg++, cholesterol, urea, uric acid and osmolarity were measured during nesting. Both species were observed to spend between 1.5 and 2.00 hours on the nesting grounds. Some had successful oviposition and completed all nesting phases, while others with incomplete nesting phases failed to oviposit their  eggs. Under both conditions, the turtles of both species had an exhaustive and stressful nesting exercise. Plasma parameter values, both intra-specifically and inter-specifically, were not significantly different for oviposited and non-oviposited turtles. This may indicate that both species have the same physiological adjustment relative to plasma parameters whether or not the turtles oviposited their eggs.

  10. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  11. EFFECT OF PLASMA CUTTING PARAMETERS UPON SHAPES OF BEARING CURVE OF C45 STEEL SURFACE

    Directory of Open Access Journals (Sweden)

    Agnieszka Skoczylas

    2015-08-01

    Full Text Available The article presents the results of studies on the effect of plasma cutting technological parameters upon the shape of bearing curves and the parameters of the curve. The topography of surface formed by plasma cutting were analyzed. For measuring surface roughness and determining the bearing curve the appliance T8000 RC120 – 400 by Hommel-Etamic was used together with software.

  12. Study of geometrical and operational parameters controlling the low frequency microjet atmospheric pressure plasma characteristics

    International Nuclear Information System (INIS)

    Kim, Dan Bee; Rhee, J. K.; Moon, S. Y.; Choe, W.

    2006-01-01

    Controllability of small size atmospheric pressure plasma generated at low frequency in a pin to dielectric plane electrode configuration was studied. It was shown that the plasma characteristics could be controlled by geometrical and operational parameters of the experiment. Under most circumstances, continuous glow discharges were observed, but both the corona and/or the dielectric barrier discharge characteristics were observed depending on the position of the pin electrode. The plasma size and the rotational temperature were also varied by the parameters. The rotational temperature was between 300 and 490 K, being low enough to treat thermally sensitive materials

  13. Fast non-linear extraction of plasma equilibrium parameters using a neural network mapping

    International Nuclear Information System (INIS)

    Lister, J.B.; Schnurrenberger, H.

    1991-01-01

    The shaping of non-circular plasmas requires a non-linear mapping between the measured diagnostic signals and selected equilibrium parameters. The particular configuration of neural network known as the multilayer perceptron provides a powerful and general technique for formulating an arbitrary continuous non-linear multi-dimensional mapping. This technique has been successfully applied to the extraction of equilibrium parameters from measurements of single-null diverted plasmas in the DIII-D tokamak; the results are compared with a purely linear mapping. The method is promising, and hardware implementation is straightforward. (author). 17 refs, 8 figs, 2 tab

  14. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  15. Surface modification by electrolytic plasma processing for high Nb-TiAl alloys

    Science.gov (United States)

    Gui, Wanyuan; Hao, Guojian; Liang, Yongfeng; Li, Feng; Liu, Xiao; Lin, Junpin

    2016-12-01

    Metal surface modification by electrolytic plasma processing (EPP) is an innovative treatment widely commonly applied to material processing and pretreatment process of coating and galvanization. EPP involves complex processes and a great deal of parameters, such as preset voltage, current, solution temperature and processing time. Several characterization methods are presented in this paper for evaluating the micro-structure surfaces of Ti45Al8Nb alloys: SEM, EDS, XRD and 3D topography. The results showed that the oxide scale and other contaminants on the surface of Ti45Al8Nb alloys can be effectively removed via EPP. The typical micro-crater structure of the surface of Ti45Al8Nb alloys were observed by 3D topography after EPP to find that the mean diameter of the surface structure and roughness value can be effectively controlled by altering the processing parameters. The mechanical properties of the surface according to nanomechanical probe testing exhibited slight decrease in microhardness and elastic modulus after EPP, but a dramatic increase in surface roughness, which is beneficial for further processing or coating.

  16. The effect of plasma arc process parameters on the properties of dissimilar AISI 1040/AISI 304 steel plate welds

    Energy Technology Data Exchange (ETDEWEB)

    Kilic, Musa; Kirik, Ihsan; Orhan, Nuri [Firat Univ., Elazig (Turkey); Celik, Ferkan [Science Industry and Technology Ministry of Turkey (Turkey)

    2012-11-01

    In this study, 10 mm thick AISI 1040 and AISI 304 steel plates were welded in the butt position without pretreatment by plasma transferred arc (PTA) welding technique. Therefore, mechanical behaviour, microstructure, penetration depth and length were investigated. After welding, microstructural changes in the interface regions of the welded specimens were examined by scanning electron microscopy (SEM) and energy dispersive spectrometry (EDS). Micro-hardness as well as V-notch Charpy tests were performed to determine the mechanical properties of the welds. The influence of the welding parameters on the dimension and shape of the joints has been found out. From the results, it was derived that with the parameters used, a partly keyhole weld bead formed with a penetration depth of 10 mm and a width of 11 mm in butt position. (orig.)

  17. Arc plasma assisted rotating electrode process for preparation of metal pebbles

    International Nuclear Information System (INIS)

    Mohanty, T.; Tripathi, B.M.; Mahata, T.; Sinha, P.K.

    2014-01-01

    Spherical beryllium pebbles of size ranging from 0.2-2 mm are required as neutron multiplying material in solid Test Blanket Module (TBM) of International Thermonuclear Experimental Reactor (ITER). Rotating electrode process (REP) has been identified as a suitable technique for preparation of beryllium pebbles. In REP, arc plasma generated between non-consumable electrode (cathode) and rotating metal electrode (anode) plays a major role for continuous consumption of metal electrode and preparation of spherical metal pebbles. This paper focuses on description of the process, selection of sub-systems for development of REP experimental set up and optimization of arc parameters, such as, cathode geometry, arc current, arc voltage, arc gap and carrier gas flow rate for preparation of required size spherical metal pebbles. Other parameters which affect the pebbles sizes are rotational speed, metal electrode diameter and physical properties of the metal. As beryllium is toxic in nature its surrogate metals such as stainless steel (SS) and Titanium (Ti) were selected to evaluate the performance of the REP equipment. Several experiments were carried out using SS and Ti electrode and process parameters have been optimized for preparation of pebbles of different sizes. (author)

  18. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  19. Modeling tritium processes in plasma-facing beryllium

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Dolan, T.J.; Mulock, M.J.

    1995-01-01

    In this paper we present techniques and recommended parameters for modeling tritium implantation, trapping and release, and permeation, in beryllium-clad structures adjacent to the plasma. Among the features that should be considered are the effects of surface films, the mobility of beryllium through those films, damage caused by ion implantation, especially in regions where pitting may be expected, and bubble formation. Tritium transport parameters recommended are based on fits with experimental data and available theory. Estimates of inventories in ITER using these parameters are also given. 31 refs., 2 figs., 1 tab

  20. Cutoff effects of electron velocity distribution to the properties of plasma parameters near the plasma-sheath boundary

    International Nuclear Information System (INIS)

    Jelic, N.

    2011-01-01

    The plasma properties under high thermodynamic non-equilibrium condition, established due to the presence of electrically biased electrode, are investigated. Assumption of electron cut-off velocity distribution function (VDF), as done by Andrews and Varey in their investigations of the sheath region [J. Phys. A 3, 413 (1970)], has been extended here to both plasma and sheath regions. Analytic expressions for the moments of electron VDF, as well as for the electron screening temperature function dependence on the plasma-sheath local potential are derived. In deriving the ion velocity distribution the ''standard'' assumption of strict plasma quasineutrality, or equivalently vanishing of the plasma Debye length, is employed, whereas the ions are assumed to be generated at rest over the plasma region. However, unlike the standard approach of solving the plasma equation, where pure Boltzmann electron density profile is used, here we employ modified Boltzmann's electron density profile, due to cutoff effect of the electron velocity distribution. It is shown that under these conditions the quasineutrality equation solution is characterised by the electric field singularity for any negative value of the electrode bias potential as measured with respect to the plasma potential. The point of singularity i.e., the plasma length and its dependence on the electrode bias and sheath potential is established for the particular case of ionization profile mechanism proportional to the local electron density. Relevant parameters for the kinetic Bohm criterion are explicitly calculated for both ions and electrons, for arbitrary electrode bias.

  1. Relationship between plasma and tissue parameters of leucine metabolism

    International Nuclear Information System (INIS)

    Vazquez, J.A.; Paul, H.S.; Adibi, S.A.

    1986-01-01

    Using a primed continuous infusion of [1- 14 C] leucine, the authors investigated parameters of leucine metabolism in plasma, expired air, and tissues of fed and 48-hour starved rats. The ratios of muscle/plasma specific activity of α-ketoisocaproate (KIC) in fed and starved rats, respectively were not significantly different from one (1.07 +/- 0.14 and 0.97 +/- 0.10, mean +/- SE, 7 rats). The ratio of muscle/plasma specific activity of leucine was also not significantly different from one (0.86 +/- 0.11) in fed rats, but was significantly lower than one (0.80 +/- 0.07) in starved rats. The rate of leucine oxidation was approximately 32% higher when calculated based on plasma KIC rather than leucine specific activity. However, starvation significantly increased the rate of leucine oxidation with either specific activity. The rate of leucine incorporation into whole body protein was unaffected by starvation (32.7 +/- 3.5 vs 36.1 +/- 2.5 μmol/100 g/h), but the incorporation into total protein of liver (1350 +/- 140 vs 780 +/- 33 nmol) and of skeletal muscle (1940 +/- 220 vs 820 +/- 60 nmol) was significantly decreased. The authors conclude that a) leucine or KIC specific activity in muscle is better predicted by plasma KIC than leucine specific activity, and b) the tracer infusion technique is valid for the study of leucine oxidation but not for leucine incorporation into whole body protein

  2. Some necessary parameters for a critical velocity interaction between the ionospheric plasma and a xenon cloud

    International Nuclear Information System (INIS)

    Axnaes, I.

    1979-12-01

    The conditions for an experiment to study the critical ionization velocity effect in the interaction between a Xenon cloud, released from a satellite, and the ionospheric plasma are investigated. The model used is based on the assumption that there exists an effective process that transfers the energy, that is available in the relative motion, to the electrons. Some necessary conditions to obtain significant heating or deceleration of the plasma penetrating the cloud are calculated. The conditions are mainly given by the energy available in the relative motion and the rates of the different binary collision processes involved. As the released gas cloud expands the possibilities for a critical velocity interaction will exist only within a certain range of cloud radii. It is shown that the charge transfer collision cross section between the ionospheric ions and the cloud atoms is an important parameter and that Xenon is a very suitable gas in that respect. (author)

  3. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  4. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  5. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  6. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  7. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  8. The scaling of edge parameters in jet with plasma input power

    International Nuclear Information System (INIS)

    Erents, S.K.; McCracken, G.M.; Harbour, P.J.; Clement, S.; Summers, D.D.R.; Tagle, J.A.; Kock, L. de

    1989-01-01

    The scaling of edge parameters of density and temperature with central density and ohmic power in JET has been presented previously for the discrete limiter geometry and more recently for the new belt limiter configuration. However, the scaling with plasma current (I p ) is difficult to interpret because varying I p does not only change the input power but also the safety factor qs and consequently the SOL thickness. The use of additional heating at constant current allows more direct observation of the effects of changing heating power. In this paper we present data in which the plasma input power is increased by ICRH, (Pt<20MW), using a 3MA target plasma, and compare data for different plasma currents using discrete and belt limiter geometries. Edge data is presented from Langmuir probes in tiles at the top of the torus, when the tokamak is operated in single null magnetic separatrix (divertor) mode, as well as for probes in the main plasma boundary to contrast these data with limiter data. (author) 3 refs., 4 figs

  9. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  10. Relationship between Lipids Levels of Serum and Seminal Plasma and Semen Parameters in 631 Chinese Subfertile Men.

    Directory of Open Access Journals (Sweden)

    Jin-Chun Lu

    Full Text Available This prospective study was designed to investigate the relationship between lipids levels in both serum and seminal plasma and semen parameters.631 subfertile men were enrolled. Their obesity-associated markers were measured, and semen parameters were analyzed. Also, seminal plasma and serum TC, TG, HDL and LDL and serum FFA, FSH, LH, total testosterone (TT, estradiol (E2 and SHBG levels were detected.Seminal plasma and serum TG, TC and LDL levels were positively related to age. Serum TC, TG and LDL were positively related to obesity-associated markers (P < 0.001, while only seminal plasma TG was positively related to them (P < 0.05. For lipids levels in serum and seminal plasma, only TG level had slightly positive correlation between them (r = 0.081, P = 0.042. There was no significant correlation between serum lipids levels and semen parameters. However, seminal plasma TG, TC, LDL and HDL levels were negatively related to one or several semen parameters, including semen volume (SV, sperm concentration (SC, total sperm count (TSC, sperm motility, progressive motility (PR and total normal-progressively motile sperm counts (TNPMS. Moreover, seminal plasma TG, TC, LDL and HDL levels in patients with oligospermatism, asthenospermia and teratozoospermia were higher than those with normal sperm concentration, motility or morphology. After adjusting age and serum LH, FSH, TT, E2 and SHBG levels, linear regression analysis showed that SV was still significantly correlated with seminal plasma LDL (P = 0.012, both of SC and TSC with seminal plasma HDL (P = 0.028 and 0.002, and both of PR and sperm motility with seminal plasma TC (P = 0.012 and 0.051.The abnormal metabolism of lipids in male reproductive system may contribute to male factor infertility.

  11. Combined plasma gas-phase synthesis and colloidal processing of InP/ZnS core/shell nanocrystals

    Science.gov (United States)

    Gresback, Ryan; Hue, Ryan; Gladfelter, Wayne L.; Kortshagen, Uwe R.

    2011-12-01

    Indium phosphide nanocrystals (InP NCs) with diameters ranging from 2 to 5 nm were synthesized with a scalable, flow-through, nonthermal plasma process at a rate ranging from 10 to 40 mg/h. The NC size is controlled through the plasma operating parameters, with the residence time of the gas in the plasma region strongly influencing the NC size. The NC size distribution is narrow with the standard deviation being less than 20% of the mean NC size. Zinc sulfide (ZnS) shells were grown around the plasma-synthesized InP NCs in a liquid phase reaction. Photoluminescence with quantum yields as high as 15% were observed for the InP/ZnS core-shell NCs.

  12. Scaling study of edge plasma parameters using a multi-device database

    International Nuclear Information System (INIS)

    Tsui, H.Y.W.; Miner, W.H.; Wootton, A.J.

    1995-01-01

    A database consisting of edge equilibrium, turbulence and transport related plasma parameters has been compiled. Scaling laws for edge density, electron temperature, and radial particle flux are derived in an initial study using a subset of data obtained from tokamaks Phaedrus-T, Tokamak de Varennes, TEXT and TEXT-U. A comparison of edge particle transport in divertor and limiter plasmas shows that the magnetic topology of a separatrix or a divertor improves particle confinement. The particle diffusion coefficient varies radially in a manner opposite to that of Bohm diffusion. ((orig.))

  13. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  14. Study of the transport parameters of cloud lightning plasmas

    International Nuclear Information System (INIS)

    Chang, Z. S.; Yuan, P.; Zhao, N.

    2010-01-01

    Three spectra of cloud lightning have been acquired in Tibet (China) using a slitless grating spectrograph. The electrical conductivity, the electron thermal conductivity, and the electron thermal diffusivity of the cloud lightning, for the first time, are calculated by applying the transport theory of air plasma. In addition, we investigate the change behaviors of parameters (the temperature, the electron density, the electrical conductivity, the electron thermal conductivity, and the electron thermal diffusivity) in one of the cloud lightning channels. The result shows that these parameters decrease slightly along developing direction of the cloud lightning channel. Moreover, they represent similar sudden change behavior in tortuous positions and the branch of the cloud lightning channel.

  15. Stationary neoclassical profiles of plasma parameters in stellarators

    International Nuclear Information System (INIS)

    Danilkin, I.S.; Mineev, A.B.

    1991-01-01

    Peculiarities of neoclassical model of heat and particle transfer, occuring by calculations of plasma stationary profile parameters in stellarators are considered. The main peculiarity out of all consists in ineadequate compatibility with real physical conditions on the boundary, requiring application of supplementary 'anomalous' transfer or special (but technically possible) adjustment of particle and heat sources to achieve solution in form of 'correct' monotonically sloping profile. It is stated, that neoclassical theory does not provide for well-known ambiguity of solutions for ambipolar electrical field by search of monotonous stationary profiles supported by outside sources

  16. Process parameter influence on Electro-sinter-forging (ESF) of titanium discs

    DEFF Research Database (Denmark)

    Cannella, Emanuele; Nielsen, Chris Valentin; Bay, Niels

    Electro-sinter-forging (ESF) is an innovative sintering process based on the principle of electrical Joule heating. The electrical current is flowing through the powder compact, which is under mechanical pressure. As compared to conventional sintering [1] and spark plasma sintering [2], the main...... advantages are the decreased sintering time and high relative density [3]. Near net-shape components can be manufactured and post-removal processing is limited to surface polishing. The present work is focused on analysing the influence of the main process parameters, namely compacting pressure, sintering...... time and electrical current density, on the final density of a disc sample made from commercially pure titanium powder. The maximum achieved relative density was 94% of the bulk density of pure titanium. The density estimation was carried out by using both Archimedes’ and 3D scanning....

  17. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  18. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  19. Grey fuzzy logic approach for the optimization of DLC thin film coating process parameters using PACVD technique

    Science.gov (United States)

    Ghadai, R. K.; Das, P. P.; Shivakoti, I.; Mondal, S. C.; Swain, B. P.

    2017-07-01

    Diamond-like carbon (DLC) coatings are widely used in medical, manufacturing and aerospace industries due to their excellent mechanical, biological, optical and tribological properties. The selection of optimal process parameters for efficient characteristics of DLC film is always a challenging issue for the materials science researchers. The optimal combination of the process parameters involved in the deposition of DLC films provide a better result, which subsequently help other researchers to choose the process parameters. In the present work Grey Relation Analysis (GRA) and Fuzzy-logic are being used for the optimization of process parameters in DLC film coating by using plasma assist chemical vapour deposition (PACVD) technique. The bias voltage, bias frequency, deposition pressure, gas composition are considered as input process parameters and hardness (GPa), Young's modulus (GPa), ratio between diamond to graphic fraction, (Id/Ig) ratio are considered as response parameters. The input parameters are optimized by grey fuzzy analysis. The contribution of individual input parameter is done by ANOVA. In this analysis found that bias voltage having the least influence and gas composition has highest influence in the PACVD deposited DLC films. The grey fuzzy analysis results indicated that optimum results for bias voltage, bias frequency, deposition pressure, gas composition for the DLC thin films are -50 V, 6 kHz, 4 μbar and 60:40 % respectively.

  20. Dependence of electron beam instability growth rates on the beam-plasma system parameters

    International Nuclear Information System (INIS)

    Strangeway, R.J.

    1982-01-01

    Electron beam instabilites are studied by using a simple model for an electron beam streaming through a cold plasma, the beam being of finite width perpendicular to the ambient magnetic field. Through considerations of finite geometry and the coldness of the beam and background plasma, an instability similar to the two stream instability is assumed to be the means for wave growth in the system. Having found the maximum growth rate for one set of beam-plasma system parameters, this maximum growth rate is traced as these parameters are varied. The parameters that describe the system are the beam velocity (v/sub b/), electron gyrofrequency to ambient electron plasma frequency ratio (Ω/sub e//ω/sub p/e), the beam to background number density ratio (n/sub b//n/sub a/), and the beam width (a). When Ω/sub e//ω/sub p/e>1, a mode with Ω/sub e/<ω<ω/sub u/hr is found to be unstable, where Ω is the wave frequency and ω/sub u/hr is the upper hybrid resonance frequency. For low values of n/sub b//n/sub a/ and Ω/sub e/<ω/sub p/e, this mode is still present with ω/sub p/e<ω<ω/sub u/hr. If the beam density is large, n/sub b//n/sub a/approx. =1, the instability occures for frequencies just above the electron gyrofrequency. This mode may well be that observed in laboratory plasma before the system undergoes the beam-plasma discharge. There is another instability present, which occurs for ωapprox. =ω/sub p/e. The growth rates for this mode, which are generally larger than those found for the ωapprox. =ωuhr mode, are only weakly dependent on Ω/sub d//ω/sub p/e. That this mode is not always observed in the laboratory implies that some factors not considered in the present theory suppress this mode, specifically, finite beam length

  1. Effect of solar wind plasma parameters on space weather

    International Nuclear Information System (INIS)

    Rathore, Balveer S.; Gupta, Dinesh C.; Kaushik, Subhash C.

    2015-01-01

    Today's challenge for space weather research is to quantitatively predict the dynamics of the magnetosphere from measured solar wind and interplanetary magnetic field (IMF) conditions. Correlative studies between geomagnetic storms (GMSs) and the various interplanetary (IP) field/plasma parameters have been performed to search for the causes of geomagnetic activity and develop models for predicting the occurrence of GMSs, which are important for space weather predictions. We find a possible relation between GMSs and solar wind and IMF parameters in three different situations and also derived the linear relation for all parameters in three situations. On the basis of the present statistical study, we develop an empirical model. With the help of this model, we can predict all categories of GMSs. This model is based on the following fact: the total IMF B total can be used to trigger an alarm for GMSs, when sudden changes in total magnetic field B total occur. This is the first alarm condition for a storm's arrival. It is observed in the present study that the southward B z component of the IMF is an important factor for describing GMSs. A result of the paper is that the magnitude of B z is maximum neither during the initial phase (at the instant of the IP shock) nor during the main phase (at the instant of Disturbance storm time (Dst) minimum). It is seen in this study that there is a time delay between the maximum value of southward B z and the Dst minimum, and this time delay can be used in the prediction of the intensity of a magnetic storm two-three hours before the main phase of a GMS. A linear relation has been derived between the maximum value of the southward component of B z and the Dst, which is Dst = (−0.06) + (7.65) B z +t. Some auxiliary conditions should be fulfilled with this, for example the speed of the solar wind should, on average, be 350 km s −1 to 750 km s −1 , plasma β should be low and, most importantly, plasma temperature

  2. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  3. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  4. Measurements of gas parameters in plasma-assisted supersonic combustion processes using diode laser spectroscopy

    International Nuclear Information System (INIS)

    Bolshov, Mikhail A; Kuritsyn, Yu A; Liger, V V; Mironenko, V R; Leonov, S B; Yarantsev, D A

    2009-01-01

    We report a procedure for temperature and water vapour concentration measurements in an unsteady-state combustion zone using diode laser absorption spectroscopy. The procedure involves measurements of the absorption spectrum of water molecules around 1.39 μm. It has been used to determine hydrogen combustion parameters in M = 2 gas flows in the test section of a supersonic wind tunnel. The relatively high intensities of the absorption lines used have enabled direct absorption measurements. We describe a differential technique for measurements of transient absorption spectra, the procedure we used for primary data processing and approaches for determining the gas temperature and H 2 O concentration in the probed zone. The measured absorption spectra are fitted with spectra simulated using parameters from spectroscopic databases. The combustion-time-averaged (∼50 ms) gas temperature and water vapour partial pressure in the hot wake region are determined to be 1050 K and 21 Torr, respectively. The large signal-to-noise ratio in our measurements allowed us to assess the temporal behaviour of these parameters. The accuracy in our temperature measurements in the probed zone is ∼40 K. (laser applications and other topics in quantum electronics)

  5. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  6. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  7. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  8. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  9. Internal oscillating current-sustained RF plasmas: Parameters, stability, and potential for surface engineering

    DEFF Research Database (Denmark)

    Ostrikov, K.; Tsakadze, E.L.; Tsakadze, Z.L.

    2005-01-01

    . Moreover, under certain conditions, the plasma becomes unstable due to spontaneous transitions between low-density (electrostatic, E) and high-density (electromagnetic, H) operating modes. Excellent uniformity of high-density plasmas makes the plasma reactor promising for various plasma processing...... applications and surface engineering. (c) 2005 Elsevier B.V. All rights reserved....

  10. Mercury-free electrodeless discharge lamp: effect of xenon pressure and plasma parameters on luminance

    International Nuclear Information System (INIS)

    Nazri Dagang Ahmad; Kondo, Akira; Motomura, Hideki; Jinno, Masafumi

    2009-01-01

    Since there is much concern about environmental preservation, the authors have paid attention to the uses of mercury in lighting application. They have focused on the application of the xenon low-pressure inductively coupled plasma (ICP) discharge in developing cylindrical type mercury-free light sources. ICP can be operated at low filling gas pressures and demonstrates significant potential in producing high density plasma. Xenon pressure was varied from 0.1 to 100 Torr and the lamp luminance was measured. The gas pressure dependence shows an increase in luminance at pressures below 1 Torr. In order to clarify this behaviour, measurement of plasma parameters was carried out using the double probe method and its relation to lamp luminance is discussed. As the gas pressure is decreased (from 1 to 0.01 Torr), the electron temperature increases while the electron density decreases while at the same time the lamp luminance increases. There are several factors that are believed to contribute to the increase in luminance in the very low pressure region. Increases in luminance are considered to be due to the electron-ion recombination process which brings a strong recombination radiation in continuum in the visible region and also due to the effect of stochastic heating.

  11. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  12. Optimization of the plasma parameters for the high current and uniform large-scale pulse arc ion source of the VEST-NBI system

    International Nuclear Information System (INIS)

    Jung, Bongki; Park, Min; Heo, Sung Ryul; Kim, Tae-Seong; Jeong, Seung Ho; Chang, Doo-Hee; Lee, Kwang Won; In, Sang-Ryul

    2016-01-01

    Highlights: • High power magnetic bucket-type arc plasma source for the VEST NBI system is developed with modifications based on the prototype plasma source for KSTAR. • Plasma parameters in pulse duration are measured to characterize the plasma source. • High plasma density and good uniformity is achieved at the low operating pressure below 1 Pa. • Required ion beam current density is confirmed by analysis of plasma parameters and results of a particle balance model. - Abstract: A large-scale hydrogen arc plasma source was developed at the Korea Atomic Energy Research Institute for a high power pulsed NBI system of VEST which is a compact spherical tokamak at Seoul national university. One of the research target of VEST is to study innovative tokamak operating scenarios. For this purpose, high current density and uniform large-scale pulse plasma source is required to satisfy the target ion beam power efficiently. Therefore, optimizing the plasma parameters of the ion source such as the electron density, temperature, and plasma uniformity is conducted by changing the operating conditions of the plasma source. Furthermore, ion species of the hydrogen plasma source are analyzed using a particle balance model to increase the monatomic fraction which is another essential parameter for increasing the ion beam current density. Conclusively, efficient operating conditions are presented from the results of the optimized plasma parameters and the extractable ion beam current is calculated.

  13. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  14. Development of procedure using plasma welding process to produce 125I seeds

    International Nuclear Information System (INIS)

    Feher, Anselmo

    2006-01-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing 125 I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed 125 I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal 125 I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  15. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  16. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  17. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  18. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  19. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  20. Production of a hot ion plasma at the lower hybrid resonance and measurement of its parameters

    International Nuclear Information System (INIS)

    Glagolev, V.M.; Dyubajlov, A.G.; Krivov, N.A.; Martynenko, V.V.; Skosyrev, Yu.V.

    1975-01-01

    Electromagnetic fields delayed along a magnetic field have been created within a plasma with the aid of a coil encircling the plasma column. When these waves were propagated transversely in relation to the magnetic field in a plasma with density rising along its radius, they were delayed in the direction of propagation. The amplitude and phase distributions of the electromagentic fields along the radius of the plasma column were measured at different moments in time. The existence of an absorption band of these waves within the plasma was detected. The absorption band was shifted towards the outer boundary from the plasma when plasma density was increased. By four independent methods it was established that the gas-kinetic pressure of the plasma, measured according to its diamagnetism, is determined by the ion component. It was found that the energy of electrons at right angles to the magnetic field is considerably less than that of the ions. The cause of limited heating was an increase in density and energy losses in the charge-exchange process. In order to improve vacuum conditions, the coil around the plasma was placed in a metallic chamber, and the UHF plasma source used in the original experiments was replaced by a hydride-film source. This made it possible to increase the internal energy of the plasma to 3x10 15 eV cm -3 at a density of (1-3)x10 12 cm -3 . The mean energy of atoms leaving the plasma at right angles to the magnetic field as a result of charge exchange reached 1 keV. The region of change in plasma parameters (density and magnetic field) for which heating was observed corresponded to the linear transformation theory. Non-linear effects could occur only in the first stage of heating, when the electric fields were strong, but plasma temperature was low. Heating efficiency was measured by a reflectometer installed in the coaxial line connecting the generator and the HF input coil to the plasma. The measurements showed that about 20% of the power

  1. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  2. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  3. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  4. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  5. Long-time integrator for the study on plasma parameter fluctuations

    International Nuclear Information System (INIS)

    Zalkind, V.M.; Tarasenko, V.P.

    1975-01-01

    A device measuring the absolute value (x) of a fluctuating quantity x(t) averaged over a large number of realizations is described. The specific features of the device are the use of the time selector (Δ t = 50 μs - 1 ms) and the large time integration constant (tau = 30 hrs). The device is meant for studying fluctuations of parameters of a pulse plasma with a small repetition frequency

  6. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  7. Process Damping Parameters

    International Nuclear Information System (INIS)

    Turner, Sam

    2011-01-01

    The phenomenon of process damping as a stabilising effect in milling has been encountered by machinists since milling and turning began. It is of great importance when milling aerospace alloys where maximum surface speed is limited by excessive tool wear and high speed stability lobes cannot be attained. Much of the established research into regenerative chatter and chatter avoidance has focussed on stability lobe theory with different analytical and time domain models developed to expand on the theory first developed by Trusty and Tobias. Process damping is a stabilising effect that occurs when the surface speed is low relative to the dominant natural frequency of the system and has been less successfully modelled and understood. Process damping is believed to be influenced by the interference of the relief face of the cutting tool with the waveform traced on the cut surface, with material properties and the relief geometry of the tool believed to be key factors governing performance. This study combines experimental trials with Finite Element (FE) simulation in an attempt to identify and understand the key factors influencing process damping performance in titanium milling. Rake angle, relief angle and chip thickness are the variables considered experimentally with the FE study looking at average radial and tangential forces and surface compressive stress. For the experimental study a technique is developed to identify the critical process damping wavelength as a means of measuring process damping performance. For the range of parameters studied, chip thickness is found to be the dominant factor with maximum stable parameters increased by a factor of 17 in the best case. Within the range studied, relief angle was found to have a lesser effect than expected whilst rake angle had an influence.

  8. Assessment of changes in plasma hemoglobin and potassium levels in red cell units during processing and storage.

    Science.gov (United States)

    Saini, Nishant; Basu, Sabita; Kaur, Ravneet; Kaur, Jasbinder

    2015-06-01

    Red cell units undergo changes during storage and processing. The study was planned to assess plasma potassium, plasma hemoglobin, percentage hemolysis during storage and to determine the effects of outdoor blood collection and processing on those parameters. Blood collection in three types of blood storage bags was done - single CPDA bag (40 outdoor and 40 in-house collection), triple CPD + SAGM bag (40 in-house collection) and quadruple CPD + SAGM bag with integral leukoreduction filter (40 in-house collection). All bags were sampled on day 0 (day of collection), day 1 (after processing), day 7, day 14 and day 28 for measurement of percentage hemolysis and potassium levels in the plasma of bag contents. There was significant increase in percentage hemolysis, plasma hemoglobin and plasma potassium level in all the groups during storage (p levels during the storage of red blood cells. Blood collection can be safely undertaken in outdoor blood donation camps even in hot summer months in monitored blood transport boxes. SAGM additive solution decreases the red cell hemolysis and allows extended storage of red cells. Prestorage leukoreduction decreases the red cell hemolysis and improves the quality of blood. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Expanding plasma jet in a vacuum vessel

    International Nuclear Information System (INIS)

    Chutov, Yu.I.; Kravchenko, A.Yu.; Yakovetskij, V.S.

    1998-01-01

    The paper deals with numerical calculations of parameters of a supersonic quasi-neutral argon plasma jet expanding into a cylindrical vacuum vessel and interacting with its inner surface. A modified method of large particles was used, the complex set of hydrodynamic equations being broken into simpler components, each of which describes a separate physical process. Spatial distributions of the main parameters of the argon plasma jet were simulated at various times after the jet entering the vacuum vessel, the parameters being the jet velocity field, the full plasma pressure, the electron temperature, the temperature of heavy particles, and the degree of ionization. The results show a significant effect of plasma jet interaction on the plasma parameters. The jet interaction with the vessel walls may result e.g. in excitation of shock waves and rotational plasma motions. (J.U.)

  10. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  11. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  12. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  13. Correlation of Magnetic Fields with Solar Wind Plasma Parameters at 1AU

    Science.gov (United States)

    Shen, F.

    2017-12-01

    The physical parameters of the solar wind observed in-situ near 1AU have been studied for several decades, and relationships between them, such as the positive correlation between the solar wind plasma temperature T and velocity V, and the negative correlation between density N and velocity V, are well known. However, the magnetic field intensity does not appear to be well correlated with any individual plasma parameter. In this paper, we discuss previously under-reported correlations between B and the combined plasma parameters √NV2 as well as between B and √NT. These two correlations are strong during the periods of corotating interaction regions and high speed streams, moderate during intervals of slow solar wind, and rather poor during the passage of interplanetary coronal mass ejections. The results indicate that the magnetic pressure in the solar wind is well correlated both with the plasma dynamic pressure and the thermal pressure. Then, we employ a 3D MHD model to simulate the formation of the relationships between the magnetic strength B and √NV2 as well as √NT observed at 1AU. The inner boundary condition is derived by empirical models, with the magnetic field and density are optional. Five kinds of boundary conditions at the inner boundary of heliosphere are tested. In the cases that the magnetic field is related to speed at the inner boundary, the correlation coefficients between B and √NV2 as well as between B and √NT are even higher than that in the observational results. At 1AU the simulated radial magnetic field shows little latitude dependence, which matches the observation of Ulysses. Most of the modeled characters in these cases are closer to observation than others. This inner boundary condition may more accurately characterize Sun's magnetic influence on the heliosphere. The new input may be able to improve the simulation of CME propagation in the inner heliosphere and the space weather forecasting.

  14. Lindhard's polarization parameter and atomic sum rules in the local plasma approximation

    DEFF Research Database (Denmark)

    Cabrera-Trujillo, R.; Apell, P.; Oddershede, J.

    2017-01-01

    In this work, we analyze the effects of Lindhard polarization parameter, χ, on the sum rule, Sp, within the local plasma approximation (LPA) as well as on the logarithmic sum rule Lp = dSp/dp, in both cases for the system in an initial excited state. We show results for a hydrogenic atom with nuc......In this work, we analyze the effects of Lindhard polarization parameter, χ, on the sum rule, Sp, within the local plasma approximation (LPA) as well as on the logarithmic sum rule Lp = dSp/dp, in both cases for the system in an initial excited state. We show results for a hydrogenic atom...... in terms of a screened charge Z* for the ground state. Our study shows that by increasing χ, the sum rule for p0 it increases, and the value p=0 provides the normalization/closure relation which remains fixed to the number of electrons for the same initial state. When p is fixed...

  15. Influence of laser design parameters on the hydrodynamics of microfusion plasmas

    International Nuclear Information System (INIS)

    Sanmartin, J.R.; Barrero, A.

    1976-01-01

    The quasineutral, oned ) 7mensional motion generated in a cold, infinite, uniform plasma of density n 0 , by the absorption, in a given plane, of a linear pulse of energy per unit time and area phi - phi 0 t/tau, 0 0 2 tau/phi 0 ) 2 /3. )etailed asymptotic results are obtained for both α > 1; the general behaviour of the solution for arbitrary α is discused. The analysis can be easily extended to the case of a plasma initially occuping a half-space, and throws light on the hydrodynamics of laser fusion plasmas. Approximate results existing in the literature for constant irradiation (phi) of a plasma are recovered under appropiate limiting processes. (author). )] [es

  16. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  17. Measurement of plasma parameters

    International Nuclear Information System (INIS)

    1999-01-01

    The physics issues of the measurements of the plasma properties necessary to provide both the control and science data for achieving the goals of the ITER device are discussed. The assessment of the requirements for these measurements is first discussed, together with priorities that relate to the experimental program. Subsequently, some of the proposed measurement techniques, the plasma diagnostics, are described with particular emphasis on their implementation on ITER and their capability to meet the requirements. A judgement on the present status of the diagnostic program on ITER is provided with some indication of the research and development program necessary to demonstrate viability of techniques or their implementation. (author)

  18. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  19. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  20. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    Science.gov (United States)

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  1. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  2. The LXCat project: Electron scattering cross sections and swarm parameters for low temperature plasma modeling

    International Nuclear Information System (INIS)

    Pancheshnyi, S.; Biagi, S.; Bordage, M.C.; Hagelaar, G.J.M.; Morgan, W.L.; Phelps, A.V.; Pitchford, L.C.

    2012-01-01

    Graphical abstract: LXCat is an open-access website containing data needed for low temperature plasma modeling as well as on-line tools useful for their manipulation. Highlights: ► LXCat: an open-access website with data for low temperature plasma modeling. ► Contains compilations of electron scattering cross sections and transport data. ► Data from different contributors for many neutral, ground-state species. ► On-line tools for browsing, plotting, up/downloading data. ► On-line Boltzmann solver for calculating electron swarm parameters. - Abstract: LXCat is a dynamic, open-access, website for collecting, displaying, and downloading ELECtron SCATtering cross sections and swarm parameters (mobility, diffusion coefficient, reaction rates, etc.) required for modeling low temperature, non-equilibrium plasmas. Contributors set up individual databases, and the available databases, indicated by the contributor’s chosen title, include mainly complete sets of electron-neutral scattering cross sections, although the option for introducing partial sets of cross sections exists. A database for measured swarm parameters is also part of LXCat, and this is a growing activity. On-line tools include options for browsing, plotting, and downloading cross section data. The electron energy distribution functions (edfs) in low temperature plasmas are in general non-Maxwellian, and LXCat provides an option for execution of an on-line Boltzmann equation solver to calculate the edf in homogeneous electric fields. Thus, the user can obtain electron transport and rate coefficients (averages over the edfs) in pure gases or gas mixtures over a range of values of the reduced electric fields strength, E/N, the ratio of the electric field strength to the neutral density, using cross sections from the available databases. New contributors are welcome and anyone wishing to create a database and upload data can request a username and password. LXCat is part of a larger, community

  3. Evaluation of the Effect of Different Plasma-Nitriding Parameters on the Properties of Low-Alloy Steel

    Science.gov (United States)

    Zdravecká, Eva; Slota, Ján; Solfronk, Pavel; Kolnerová, Michaela

    2017-07-01

    This work is concerned with the surface treatment (ion nitriding) of different plasma-nitriding parameters on the characteristics of DIN 1.8519 low-alloy steel. The samples were nitrided from 500 to 570 °C for 5-40 h using a constant 25% N2-75% H2 gaseous mixture. Lower temperature (500-520 °C) favors the formation of compound layers of γ' and ɛ iron nitrides in the surface layers, whereas a monophase γ'-Fe4 N layer can be obtained at a higher temperature. The hardness of this layer can be obtained when nitriding is performed at a higher temperature, and the hardness decreases when the temperature increases to 570 °C. These results indicate that pulsed plasma nitriding is highly efficient at 550 °C and can form thick and hard nitrided layers with satisfactory mechanical properties. The results show the optimized nitriding process at 540 °C for 20 h. This process can be an interesting means of enhancing the surface hardness of tool steels to forge dies compared to stamped steels with zinc coating with a reduced coefficient of friction and improving the anti-sticking properties of the tool surface.

  4. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  5. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  6. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  7. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  8. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  9. Online quality monitoring of welding processes by means of plasma optical spectroscopy

    Science.gov (United States)

    Ferrara, Michele; Ancona, Antonio; Lugara, Pietro M.; Sibilano, Michele

    2000-02-01

    An optical monitoring system for the welding process has been developed; it is based on the study of the optical emission of the welding plasma plume, created during the welding of stainless steels and other iron-based materials. In the first approach a continuous wave CO2 laser of 2500-Watt maximum power, available at the INFM Research Unit labs in Bari University, has been used as welding source. A detailed spectroscopic study of the visible and UV welding plasma emission has been carried out; many transition lines corresponding to the elements composing the material to be welded have been found. By means of an appropriate selection of these lines and suitable algorithms, the electronic temperature of the plasma plume has been calculated and its evolution recorded as a function of several welding parameters. The behavior of the registered signal has resulted to be correlated to the welded joint quality. These findings have allowed to design and assemble a portable, non-intrusive and real-time welding quality optical sensor which has been successfully tested for laser welding of metals in different geometrical configurations; it has been capable of detecting a wide range of weld defects normally occurring during industrial laser metal-working. This sensor has also been tested in arc welding industrial processes (TIG) with promising results.

  10. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  11. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  12. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  13. The Plasma Parameters and Geometry of Cool and Warm Active Region Loops

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Haixia; Li, Bo; Huang, Zhenghua; Xia, Lidong; Fu, Hui; Mou, Chaozhou [Shandong Provincial Key Laboratory of Optical Astronomy and Solar-Terrestrial Environment, Institute of Space Sciences, Shandong University, Weihai, 264209 Shandong (China); Madjarska, Maria S.; Wiegelmann, Thomas [Max Planck Institute for Solar System Research, Justus-von-Liebig-Weg 3, D-37077, Göttingen (Germany)

    2017-06-10

    How the solar corona is heated to high temperatures remains an unsolved mystery in solar physics. In the present study we analyze observations of 50 whole active region loops taken with the Extreme-ultraviolet Imaging Spectrometer on board the Hinode satellite. Eleven loops were classified as cool loops (<1 MK) and 39 as warm loops (1–2 MK). We study their plasma parameters, such as densities, temperatures, filling factors, nonthermal velocities, and Doppler velocities. We combine spectroscopic analysis with linear force-free magnetic field extrapolation to derive the 3D structure and positioning of the loops, their lengths and heights, and the magnetic field strength along the loops. We use density-sensitive line pairs from Fe xii, Fe xiii, Si x, and Mg vii ions to obtain electron densities by taking special care of intensity background subtraction. The emission measure loci method is used to obtain the loop temperatures. We find that the loops are nearly isothermal along the line of sight. Their filling factors are between 8% and 89%. We also compare the observed parameters with the theoretical Rosner–Tucker–Vaiana (RTV) scaling law. We find that most of the loops are in an overpressure state relative to the RTV predictions. In a follow-up study, we will report a heating model of a parallel-cascade-based mechanism and will compare the model parameters with the loop plasma and structural parameters derived here.

  14. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  15. Introduction to Plasma Physics

    Science.gov (United States)

    Gurnett, Donald A.; Bhattacharjee, Amitava

    2017-03-01

    Preface; 1. Introduction; 2. Characteristic parameters of a plasma; 3. Single particle motions; 4. Waves in a cold plasma; 5. Kinetic theory and the moment equations; 6. Magnetohydrodynamics; 7. MHD equilibria and stability; 8. Discontinuities and shock waves; 9. Electrostatic waves in a hot unmagnetized plasma; 10. Waves in a hot magnetized plasma; 11. Nonlinear effects; 12. Collisional processes; Appendix A. Symbols; Appendix B. Useful trigonometric identities; Appendix C. Vector differential operators; Appendix D. Vector calculus identities; Index.

  16. Stationary neoclassical profiles of plasma parameters in stellarators

    International Nuclear Information System (INIS)

    Danilkin, I.S.; Mineev, A.B.

    1991-01-01

    The properties of the neoclassical model of heat and particle transport are considered in connection with calculations of stationary profiles of the plasma parameters in stellarators. The most important feature is the poor agreement with real physical conditions of the boundary, which imposes the necessity of invoking either an additional anomalous transport or a special (although technically possible) consistency between the particle and heat sources in order to obtain a solution in the form of a correct monotonically decreasing profile. In search for monotonic stationary profiles maintained by external sources, it is ascertained that the neoclassical theory does not give rise to the well-known multivalued solutions for the ambipolar electric field

  17. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  18. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources

    International Nuclear Information System (INIS)

    Christ-Koch, Sina

    2007-01-01

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields (∝ 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H - )=1.10 17 1/m 3 , which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  19. Radio frequency induction plasma spraying of molybdenum

    International Nuclear Information System (INIS)

    Jiang Xianliang

    2003-01-01

    Radio frequency (RF) induction plasma was used to make free-standing deposition of molybdenum (Mo). The phenomena of particle melting, flattening, and stacking were investigated. The effect of process parameters such as plasma power, chamber pressure, and spray distance on the phenomena mentioned above was studied. Scanning electron microscopy (SEM) was used to analyze the plasma-processed powder, splats formed, and deposits obtained. Experimental results show that less Mo particles are spheroidized when compared to the number of spheroidized tungsten (W) particles at the same powder feed rate under the same plasma spray condition. Molten Mo particles can be sufficiently flattened on substrate. The influence of the process parameters on the flattening behavior is not significant. Mo deposit is not as dense as W deposit, due to the splash and low impact of molten Mo particles. Oxidation of the Mo powder with a large particle size is not evident under the low pressure plasma spray

  20. The Diagnosis of Plasma Parameters in Surface Alloying Technique by Optical Emission Spectrometry

    International Nuclear Information System (INIS)

    Fu Yabo; Zhang Yuefei; Chen Qiang; Zhang Guangqiu; Gao Yuan; Wang Jianzhong; Kui Xiaoyun

    2006-01-01

    Electron density (Ne) in a glow discharge plasma for the surface alloying technique is diagnosed by optical emission spectrometry (OES). With CH 4 as the feeding gas, Ne is obtained by comparing the Hβ spectrum according to the Stark broadening effect. It is noticed that Ne varies with the working pressures (30 Pa to 70 Pa) and cathode voltages (500 V to 1000 V), respectively. Due to an abnormal glow discharge, Ne is between 1.71x10 15 /cm 3 to 6.64x10 15 /cm 3 and increases rapidly with working gas pressures and cathode voltages. The results show that OES is a useful method to measure the plasma parameters in a surface alloying glow discharge plasma

  1. Effect of basic physical parameters to control plasma meniscus and beam halo formation in negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Miyamoto, K. [Naruto University of Education, 748 Nakashima, Takashima, Naruto-cho, Naruto-shi, Tokushima 772-8502 (Japan); Okuda, S.; Nishioka, S.; Hatayama, A. [Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan)

    2013-09-14

    Our previous study shows that the curvature of the plasma meniscus causes the beam halo in the negative ion sources: the negative ions extracted from the periphery of the meniscus are over-focused in the extractor due to the electrostatic lens effect, and consequently become the beam halo. In this article, the detail physics of the plasma meniscus and beam halo formation is investigated with two-dimensional particle-in-cell simulation. It is shown that the basic physical parameters such as the H{sup −} extraction voltage and the effective electron confinement time significantly affect the formation of the plasma meniscus and the resultant beam halo since the penetration of electric field for negative ion extraction depends on these physical parameters. Especially, the electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of electron diffusion across the magnetic field. The plasma meniscus penetrates deeply into the source plasma region when the effective electron confinement time is short. In this case, the curvature of the plasma meniscus becomes large, and consequently the fraction of the beam halo increases.

  2. Effect of basic physical parameters to control plasma meniscus and beam halo formation in negative ion sources

    International Nuclear Information System (INIS)

    Miyamoto, K.; Okuda, S.; Nishioka, S.; Hatayama, A.

    2013-01-01

    Our previous study shows that the curvature of the plasma meniscus causes the beam halo in the negative ion sources: the negative ions extracted from the periphery of the meniscus are over-focused in the extractor due to the electrostatic lens effect, and consequently become the beam halo. In this article, the detail physics of the plasma meniscus and beam halo formation is investigated with two-dimensional particle-in-cell simulation. It is shown that the basic physical parameters such as the H − extraction voltage and the effective electron confinement time significantly affect the formation of the plasma meniscus and the resultant beam halo since the penetration of electric field for negative ion extraction depends on these physical parameters. Especially, the electron confinement time depends on the characteristic time of electron escape along the magnetic field as well as the characteristic time of electron diffusion across the magnetic field. The plasma meniscus penetrates deeply into the source plasma region when the effective electron confinement time is short. In this case, the curvature of the plasma meniscus becomes large, and consequently the fraction of the beam halo increases

  3. Some parameters of uranium hexafluoride plasma produced by products of nuclear reaction

    International Nuclear Information System (INIS)

    Batyrbekov, G.A.; Belyakova, Eh.A.

    1996-01-01

    The probe experimental results of investigation of uranium hexafluoride plasma produced in the centre of nuclear reactor core were demonstrated. Study of uranium hexafluoride plasma is continued by the following reasons: a possibility of U F 6 utilization as nuclear fuel, the utilization of U F 6 as volume source o ionization, search of active laser media compatible with U F 6 that is complicated by lack of constant rates data for most of plasma-chemical reactions with U F 6 and his dissociation products. Cylindrical probe volt-ampere characteristics (VAC) measured in U F 6 plasma at pressure 20 Torr and different thermal neutron fluxes and have following features: -firstly, it is possible to choose a linear part in the field of small positive potentials of probe (0-1) V; - secondary, ion branches of VAC have typical break which current of satiation corresponds to; -thirdly, probe VAC measured at small values of thermal neutron flux density are symmetrical. Diagnostics approaches were used for interpretation VAC of probe. The values of satiation current and linear part of electron branch were calculated, and such plasma parameters as conductivity, diffusion coefficient values of positive and negative ions were determined. The resonance recharge cross section was estimated on diffusion coefficient value

  4. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  5. Penentuan Efektifitas Oksitetrasiklin Melalui Parameter Farmakokinetik/farmakodinamik pada Plasma dan Jaringan Ayam Broiler

    Directory of Open Access Journals (Sweden)

    Agustina Dwi Wijayanti

    2010-06-01

    Full Text Available A study was conducted to determine the effectiveness of oxytetracycline on the basis of itspharmacokinetic/pharmacodynamic (PK/PD parameters in the plasma and tissues of broiler following a50 mg/kg single dose of intravenous administration. The male broiler were injected with oxytetracycline attarsal medial venous. The blood, liver, kidney and abdominal muscle were collected at 14 points of timesamplings. Blood was centrifuged to obtain plasma and all tissues were extracted with Mc Ilvine buffer.Drug concentration in samples was determined by High Performance Liquid Chromatography (HPLCusing AOAC standard reference for tetracycline. The pharmacokinetic parameters of oxytetracycline werecalculated using non compartment method. The results were as follows. For plasma: the AUC was 790,615,5 ?g/mL minute , Clearance was 63,242 mL/min/kg bb, Tmax/Cmax was 1 minute/0,7 ?g/mL, T1/2elimination was 6,4, hours, Vd was 37 L/kg. For liver ; AUC was 36418,89, minute , Tmax/Cmax was 16hours/17,15 ?g/g, and T1/2 elimination was 24,5, hours. For kidney; AUC6808,41 ?g/g minute , Tmax/Cmax 1 minute/16,73 ?g/g, , T1/2 elimination was 11,55 hours. For muscle; AUC was 3502 ?g/g minute,Tmax/Cmax was 1 minute/2,58 ?g/g, T1/2 elimination was 167,39 hours. The ratio of AUC/MIC inplasma and tissues appeared to a good pharmacokinetic/pharmacodynamic parameter to determine theeffectiveness of oxytetracycline.

  6. Dependence of polar effect on parameters of coaxial plasma-erosive switches

    International Nuclear Information System (INIS)

    Bystritskij, V.M.; Ivanov, I.B.; Krasik, Ya.E.; Ryzhakin, N.N.; Sinebryukhov, A.A.; Tolmacheva, V.G.

    1988-01-01

    The results of experimental investigations and numerical simulation of the polar effect in a coaxial plasma-erosive switch (PES) established in a circuit of a strong-current nanosecond accelerator are presented. PES characteristics (energy losses, impedance, rate of its increase, etc.) are investigated depending on mutual direction of accelerator current and plasma flow as well as on the cathode radius in the PES region. It is experimentally shown that the magnetic fields near the cathode determined by this electrode radius influences mainly on PES characteristics. Mutual direction of accelerator current and plasma flow is the second significance factor influencing on PES characteristics. The optimal mode of PES operation is realized when providing the less with respect to the positive electrode, cathode radius and coinciding in direction accelerator current and plasma flow. Numerical simulation of dynamic processes in coaxial PES for different ratios of cathode and anode radii and mutual directions of accelerator current and plasma flow gives qualitative agreement with the experimental results

  7. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  8. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  9. Influence of the plasma pedestal parameters on ELM mitigation at low collisionality

    Energy Technology Data Exchange (ETDEWEB)

    Leuthold, Nils [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); Universitaet Bayreuth, Universitaetsstrasse 30, 95440 Bayreuth (Germany); Suttrop, Wolfgang [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany)

    2016-07-01

    The control of Edge Localized Modes (ELMs) is of great importance for future fusion devices in order to provide longevity of the plasma facing components and a better overall plasma performance. In recent magnetic perturbation ELM mitigation experiments in ASDEX Upgrade at low pedestal collisionality, the dependence of ELM losses on pedestal parameters is investigated. It is found that the reduction of the stored energy loss associated with ELMs occurs in correlation with a reduction of edge density and edge pedestal pressure induced by the applied magnetic perturbation (''pedestal pump-out''). Significant ELM mitigation occurs at lowest densities, in a region of pedestal n-T parameter space that has not been accessible in ASDEX Upgrade without magnetic perturbations, and which is occupied by type-IV ELMs in DIII-D. The role of magnetic perturbations for ELM mitigation will be discussed in this context and attempts to counteract the confinement loss by increasing neutral beam injection power or pellet injection increases the ELM energy losses.

  10. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  11. Properties and parameters of the electron beam injected into the mirror magnetic trap of a plasma accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Andreev, V. V., E-mail: temple18@mail.ru; Novitsky, A. A.; Vinnichenko, L. A.; Umnov, A. M.; Ndong, D. O. [Peoples’ Friendship University of Russia (Russian Federation)

    2016-03-15

    The parameters of the injector of an axial plasma beam injected into a plasma accelerator operating on the basis of gyroresonance acceleration of electrons in the reverse magnetic field are determined. The trapping of the beam electrons into the regime of gyroresonance acceleration is numerically simulated by the particle- in-cell method. The optimal time of axial injection of the beam into a magnetic mirror trap is determined. The beam parameters satisfying the condition of efficient particle trapping into the gyromagnetic autoresonance regime are found.

  12. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  13. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  14. Non-thermal plasmas for non-catalytic and catalytic VOC abatement

    International Nuclear Information System (INIS)

    Vandenbroucke, Arne M.; Morent, Rino; De Geyter, Nathalie; Leys, Christophe

    2011-01-01

    Highlights: → We review the current status of catalytic and non-catalytic VOC abatement based on a vast number of research papers. → The underlying mechanisms of plasma-catalysis for VOC abatement are discussed. → Critical process parameters that determine the influent are discussed and compared. - Abstract: This paper reviews recent achievements and the current status of non-thermal plasma (NTP) technology for the abatement of volatile organic compounds (VOCs). Many reactor configurations have been developed to generate a NTP at atmospheric pressure. Therefore in this review article, the principles of generating NTPs are outlined. Further on, this paper is divided in two equally important parts: plasma-alone and plasma-catalytic systems. Combination of NTP with heterogeneous catalysis has attracted increased attention in order to overcome the weaknesses of plasma-alone systems. An overview is given of the present understanding of the mechanisms involved in plasma-catalytic processes. In both parts (plasma-alone systems and plasma-catalysis), literature on the abatement of VOCs is reviewed in close detail. Special attention is given to the influence of critical process parameters on the removal process.

  15. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  16. Experimental study on plasma parameters in the mushroom limiter shadow in the T-10 tokamak

    International Nuclear Information System (INIS)

    Alferov, A.A.; Vershkov, V.A.; Grashin, S.A.; Chankin, A.V.

    1988-01-01

    Plasma parameters in the shadow of mashroom limiter installed in the lower tokamak outlet are studied. Investigation into asymmetry of plasma fluxes to the ion and electrone limiter sides leads to a consumption concerning two meachanisms of its occurrance-toroidal plasma rotation and prevailing plasma departure to the wall through the external torus encirclement. Asymmetry of plasma drift potentials near the limiter observed during the experiment leads to current drift through the limiter close to Spitzer j s one. It is shown that with the increase of mean plasma density the plasma density in the limiter channels grows and its temperature is decreased so the charged particle losses for the limiter are weakly dependent on the mean density which is connected with plasma confinement degradation under the density reduction. A complete flux of charged particles to the limiter is comparable to their flux from plasma filament. Plasma flux into the channels is close to ambipolar one and the power fluxes to neutralization plates are of the order of 10 j s Te/e. Neutral gas pressure dependence in the volume under the limiter on the plasma fluxes to channels is nonlinear, the maximum pressure achieves 3x10 -2 T

  17. Effects of spray parameters on the microstructure and property of Al2O3 coatings sprayed by a low power plasma torch with a novel hollow cathode

    International Nuclear Information System (INIS)

    Li Changjiu; Sun Bo

    2004-01-01

    Al 2 O 3 coating is deposited using a low power plasma torch with a novel hollow cathode through axial powder injection under a plasma power up to several kilowatts. The effects of the main processing parameters including plasma arc power, operating gas flow and spray distance on particle velocity during spraying, and the microstructure and property of the coating are investigated. The microstructure of the Al 2 O 3 coating is examined using optical microscopy and X-ray diffraction analysis. The property of the coating is characterized by dry rubber wheel abrasive wear test. The velocity of in-flight particle is measured using a velocity/temperature measurement system for spray particle based on thermal radiation from the particle. The dependency of the microstructure and property of the coating on spray particle conditions are examined by comparing the particle velocity, and microstructure and abrasive wear weight loss of subsequent coating deposited by low power plasma spray with those of the coating by conventional plasma spray at a power one order higher. X-ray diffraction analysis of the coating revealed that Al 2 O 3 particles during low power plasma spraying reach to sufficiently melting state prior to impact on the substrate with a velocity comparable to that in conventional plasma spraying. The experiment results have shown that processing parameters have significant influence on the particle conditions and performance of deposited Al 2 O 3 coating. The coating of comparable microstructure and properties to that deposited by conventional plasma spray can be produced under a power one order lower. From the present study, it can be suggested that a comparable coating can be produced despite plasma power level if the comparable particle velocity and molten state are achieved

  18. Wettability and XPS analyses of nickel–phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    International Nuclear Information System (INIS)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K.L.; Morelle, J.M.; Etcheberry, A.; Chalumeau, L.

    2013-01-01

    Electroless nickel-high-phosphorus Ni–P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni–P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni–P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni–P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni–P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni–P surface preparation has been established. The sessile drop method can

  19. Wettability and XPS analyses of nickel-phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    Science.gov (United States)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K. L.; Morelle, J. M.; Etcheberry, A.; Chalumeau, L.

    2013-06-01

    Electroless nickel-high-phosphorus Ni-P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni-P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni-P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni-P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni-P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni-P surface preparation has been established. The sessile drop method can be

  20. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  1. [Measurement of plasma parameters in cluster hexagon pattern discharge by optical emission spectrum].

    Science.gov (United States)

    Dong, Li-Fang; Shen, Zhong-Kai; Li, Xin-Chun; Liu, Liang; Lu, Ning; Shang, Jie

    2012-09-01

    The cluster hexagon pattern was obtained in a dielectric barrier discharge in air/argon for the first time. Three plasma parameters, i. e. the molecular vibrational temperature, the molecular rotational temperature and the average electron energy of individual cluster in cluster hexagon pattern discharge, were studied by changing the air content. The molecular vibrational temperature and the molecular rotational temperature were calculated using the second positive band system of nitrogen molecules (C 3IIu --> B 3IIg) and the first negative band system of nitrogen molecular ions (B 2Sigma(u)+ --> Chi2 Sigma(g)+). The relative intensities of the first negative system of nitrogen molecular ions (391. 4 nm) and nitrogen molecules emission spectrum line (337.1 nm) were analyzed for studying the variations of the electron energy. It was found that the three plasma parameters of individual cluster in cluster hexagon pattern increase with air content increasing from 16% to 24%.

  2. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  3. Investigation of PA-CVD of TiN: relations between process parameters, spectroscopic measurements and layer properties

    International Nuclear Information System (INIS)

    Rie, K.T.; Gebauer, A.; Woehle, J.

    1993-01-01

    The plasma-assisted chemical vapour deposition of TiN layers on steel substrates was investigated for various process parameters in this work. Optical emission spectroscopy (OES) was used to identify the species in the electrical discharge during the deposition process. The layer properties of the deposited TiN layers were determined by various methods (scanning electron microscopy, energy- and wavelength-dispersive X-ray analysis). The deposited layers have a constant amount of titanium, while the contents of nitrogen and chlorine show a contrary behaviour for different deposition parameters. The hardness of the layers is related among other things to the chlorine content. The OES investigations show that the Ti + and N 2 + emission is related to the layer growth rate. The formation of TiN in a gas phase reaction degrades the quality of the layers, such as their hardness. (orig.)

  4. Magnetospheric plasma waves

    International Nuclear Information System (INIS)

    Shawhan, S.D.

    1977-01-01

    A brief history of plasma wave observations in the Earth's magnetosphere is recounted and a classification of the identified plasma wave phenomena is presented. The existence of plasma waves is discussed in terms of the characteristic frequencies of the plasma, the energetic particle populations and the proposed generation mechanisms. Examples are given for which plasmas waves have provided information about the plasma parameters and particle characteristics once a reasonable theory has been developed. Observational evidence and arguments by analogy to the observed Earth plasma wave processes are used to identify plasma waves that may be significant in other planetary magnetospheres. The similarities between the observed characteristics of the terrestrial kilometric radiation and radio bursts from Jupiter, Saturn and possibly Uranus are stressed. Important scientific problems concerning plasma wave processes in the solar system and beyond are identified and discussed. Models for solar flares, flare star radio outbursts and pulsars include elements which are also common to the models for magnetospheric radio bursts. Finally, a listing of the research and development in terms of instruments, missions, laboratory experiments, theory and computer simulations needed to make meaningful progress on the outstanding scientific problems of plasma wave research is given. (Auth.)

  5. Characteristics and performance of the variable polarity plasma arc welding process used in the Space Shuttle external tank

    Science.gov (United States)

    Hung, R. J.; Lee, C. C.; Liu, J. W.

    1990-01-01

    Significant advantages of the Variable Polarity Plasma Arc (VPPA) Welding Process include faster welding, fewer repairs, less joint preparation, reduced weldment distortion, and absence of porosity. Flow profiles and power distribution of argon plasma gas as a working fluid to produce plasma arc jet in the VPPA welding process was analyzed. Major loss of heat transfer for flow through the nozzle is convective heat transfer; for the plasma jet flow between the outlet of the nozzle and workpiece is radiative heat transfer; and for the flow through the keyhole of the workpiece is convective heat transfer. The majority of the power absorbed by the keyhole of the workpiece is used for melting the solid metal workpiece into a molten metallic puddle. The crown and root widths and the crown and root heights can be predicted. An algorithm for promoting automatic control of flow parameters and the dimensions of the final product of the welding specification to be used for the VPPA Welding System operated at MSFC are provided.

  6. Theoretical performance of plasma driven railguns

    Science.gov (United States)

    Thio, Y. C.; McNab, I. R.; Condit, W. C.

    1983-07-01

    The overall efficiency of a railgun launch system is the product of efficiencies of its subsystems: prime mover, energy storage, pulse forming network, and accelerator. In this paper, the efficiency of the accelerator is examined in terms of the processes occurring in the accelerator. The principal loss mechanisms include Joule heating in the plasma, in the rails, kinetic energy of the driving plasma and magnetic energy remaining in the accelerator after projectile exit. The mass of the plasma and the atomic weight of the ionic species are important parameters in determining the energy loss in the plasma. Techniques are developed for selecting these parameters of minimize this loss.

  7. Neural network-based preprocessing to estimate the parameters of the X-ray emission of a single-temperature thermal plasma

    Science.gov (United States)

    Ichinohe, Y.; Yamada, S.; Miyazaki, N.; Saito, S.

    2018-04-01

    We present data preprocessing based on an artificial neural network to estimate the parameters of the X-ray emission spectra of a single-temperature thermal plasma. The method finds appropriate parameters close to the global optimum. The neural network is designed to learn the parameters of the thermal plasma (temperature, abundance, normalization and redshift) of the input spectra. After training using 9000 simulated X-ray spectra, the network has grown to predict all the unknown parameters with uncertainties of about a few per cent. The performance dependence on the network structure has been studied. We applied the neural network to an actual high-resolution spectrum obtained with Hitomi. The predicted plasma parameters agree with the known best-fitting parameters of the Perseus cluster within uncertainties of ≲10 per cent. The result shows that neural networks trained by simulated data might possibly be used to extract a feature built in the data. This would reduce human-intensive preprocessing costs before detailed spectral analysis, and would help us make the best use of the large quantities of spectral data that will be available in the coming decades.

  8. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  9. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with neon

    Science.gov (United States)

    Malinina, A. A.; Malinin, A. N.

    2013-12-01

    Results are presented from studies of the optical characteristics and parameters of plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with neon—the working medium of a non-coaxial exciplex gas-discharge emitter. The electron energy distribution function, the transport characteristics, the specific power losses for electron processes, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering by the working mixture components are determined as functions of the reduced electric field. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules is found to be 1.6 × 10-14 m3/s for a reduced electric field of E/ N = 15 Td, at which the maximum emission intensity in the blue-green spectral region (λmax = 502 nm) was observed in this experiment.

  10. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  11. Dynamic plasma screening effects on semiclassical inelastic electron endash ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on 1s→2p dipole transition probabilities for electron-impact excitation of hydrogenic ions. The electron endash ion interaction potential is considered by introduction of the plasma dielectric function. A semiclassical straight-line trajectory method is applied to the path of the projectile electron in order to visualize the semiclassical transition probability as a function of the impact parameter, projectile energy, and plasma parameters. The transition probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the electron thermal velocity, then the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low-energy projectiles. It is also found that the static plasma screening formula obtained by the Debye endash Hueckel model overestimates the plasma screening effects on the atomic excitation processes in dense plasmas. copyright 1997 American Institute of Physics

  12. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  13. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  14. Plasma-wall interactions

    International Nuclear Information System (INIS)

    Behrisch, Rainer

    1978-01-01

    The plasma wall interactions for two extreme cases, the 'vacuum model' and the 'cold gas blanket' are outlined. As a first step for understanding the plasma wall interactions the elementary interaction processes at the first wall are identified. These are energetic ion and neutral particle trapping and release, ion and neutral backscattering, ion sputtering, desorption by ions, photons and electrons and evaporation. These processes have only recently been started to be investigated in the parameter range of interest for fusion research. The few measured data and their extrapolation into regions not yet investigated are reviewed

  15. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  16. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  17. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  18. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  19. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  20. Nanohydroxyapatite synthesis using optimized process parameters ...

    Indian Academy of Sciences (India)

    3Energy Research Group, School of Engineering, Taylor's University, 47500 ... influence of different ultrasonication parameters on the prop- ... to evaluate multiple process parameters and their interaction. ..... dent and dependent variables by a 3-D representation of .... The intensities of O–H functional groups are seen to.

  1. Reconstruction of the ion plasma parameters from the current measurements: mathematical tool

    Directory of Open Access Journals (Sweden)

    E. Séran

    Full Text Available Instrument d’Analyse du Plasma (IAP is one of the instruments of the newly prepared ionospheric mission Demeter. This analyser was developed to measure flows of thermal ions at the altitude of ~ 750 km and consists of two parts: (i retarding potential analyser (APR, which is utilised to measure the energy distribution of the ion plasma along the sensor look direction, and (ii velocity direction analyser (ADV, which is used to measure the arrival angle of the ion flow with respect to the analyser axis. The necessity to obtain quick and precise estimates of the ion plasma parameters has prompted us to revise the existing mathematical tool and to investigate different instrumental limitations, such as (i finite angular aperture, (ii grid transparency, (iii potential depression in the space between the grid wires, (iv losses of ions during their passage between the entrance diaphragm and the collector. Simple analytical expressions are found to fit the currents, which are measured by the APR and ADV collectors, and show a very good agreement with the numerical solutions. It was proven that the fitting of the current with the model functions gives a possibility to properly resolve even minor ion concentrations and to find the arrival angles of the ion flow in the multi-species plasma. The discussion is illustrated by an analysis of the instrument response in the ionospheric conditions which are predicted by the International Reference Ionosphere (IRI model.

    Key words. Ionosphere (plasma convection; instruments and techniques – Space plasma physics (experimental and mathematical techniques

  2. Reconstruction of the ion plasma parameters from the current measurements: mathematical tool

    Directory of Open Access Journals (Sweden)

    E. Séran

    2003-05-01

    Full Text Available Instrument d’Analyse du Plasma (IAP is one of the instruments of the newly prepared ionospheric mission Demeter. This analyser was developed to measure flows of thermal ions at the altitude of ~ 750 km and consists of two parts: (i retarding potential analyser (APR, which is utilised to measure the energy distribution of the ion plasma along the sensor look direction, and (ii velocity direction analyser (ADV, which is used to measure the arrival angle of the ion flow with respect to the analyser axis. The necessity to obtain quick and precise estimates of the ion plasma parameters has prompted us to revise the existing mathematical tool and to investigate different instrumental limitations, such as (i finite angular aperture, (ii grid transparency, (iii potential depression in the space between the grid wires, (iv losses of ions during their passage between the entrance diaphragm and the collector. Simple analytical expressions are found to fit the currents, which are measured by the APR and ADV collectors, and show a very good agreement with the numerical solutions. It was proven that the fitting of the current with the model functions gives a possibility to properly resolve even minor ion concentrations and to find the arrival angles of the ion flow in the multi-species plasma. The discussion is illustrated by an analysis of the instrument response in the ionospheric conditions which are predicted by the International Reference Ionosphere (IRI model.Key words. Ionosphere (plasma convection; instruments and techniques – Space plasma physics (experimental and mathematical techniques

  3. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  4. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  5. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  6. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  7. Numerical study on discharge process of microcavity plasma

    International Nuclear Information System (INIS)

    Xia Guangqing; Xue Weihua; Wang Dongxue; Zhu Guoqiang; Zhu Yu

    2012-01-01

    The evolution of plasma parameters during high pressure discharge in the microcavity with a hollow anode was numerically studied, with a two-dimensional self-consistent fluid model. The simulations were performed with argon at 13.3 kPa. The numerical results show that during the discharge the electric field around the cathode transforms from an axial field to a radial field, the plasma density gets the maximum value on the central line of the cavity and the location of the maximum density moves from the region near anode at the initial stage to the cathode vicinity at the stable stage, and the maximum electron temperature occurs in the ring sheath of cathode. (authors)

  8. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  9. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  10. Plasma-parameter measurements using neutral-particle-beam attenuation

    International Nuclear Information System (INIS)

    Foote, J.H.; Molvik, A.W.; Turner, W.C.

    1982-01-01

    Intense and energetic neutral-particle-beam injection used for fueling or heating magnetically confined, controlled-fusion experimental plasmas can also provide diagnostic measurements of the plasmas. The attenuation of an atomic beam (mainly from charge-exchange and ionization interactions) when passing through a plasma gives the plasma line density. Orthogonal arrays of highly collimated detectors of the secondary-electron-emission type have been used in magnetic-mirror experiments to measure neutral-beam attenuation along chords through the plasma volume at different radial and axial positions. The radial array is used to infer the radial plasma-density profile; the axial array, to infer the axial plasma-density profile and the ion angular distribution at the plasma midplane

  11. On scattering diagnostics with periodically pulsed lasers to follow the continuous evolution of time dependent plasma parameters

    International Nuclear Information System (INIS)

    Hellermann, M. von; Hirsch, K.; Doeble, H.F.

    1977-04-01

    The possibilities to use periodically pulsed lasers for plasma scattering diagnostics are discussed. An experiment with succesful application of a periodically pulsed frequency-doubled Nd:YAG laser is described and results are given. Application of the method to monitor continuously, with millisecond time resolution, parameters of Tokamak type plasmas, is considered. (orig.) [de

  12. Plasma Electronics. Theoretical and Experimental Investigations of Plasma Nonlinearity in the Powerful Microwave Oscillators

    International Nuclear Information System (INIS)

    Bliokh, Yu.P.

    2001-01-01

    During more than 50 years of Plasma Electronics development a great number of experimental and theoretical results have been achieved. These results allow understanding of physical processes which originate under charged particles beams interaction with a plasma. However, one essential aspect of such interaction remains insufficiently studied. The question is about a correlation between conditions of microwave excitation by a beam in plasma and plasma parameters. Each of these effects, namely the influence of plasma parameters on conditions of microwave excitation by a beam and plasma parameters variations under the influence of propagating microwave radiation are well known and investigated enough. However their common action under beam-plasma instability (BPI) development were not studied systematically, although the role of such reciprocal influence on character of these processes may be very large. The aim of this report is a review of recent theoretical and experimental investigations of such plasma nonlinearity in plasma-filled trawling-wave tubes. N.M.Zemlyansky and E.A.Kornilov have done experiments in Kharkov Institute of Physics and Technology (KhPhTI). Development of the theoretical model was started in KhPhTI (Yu.P.Bliokh, Ya.B.Fainberg, M.G.Lyubarsky, and V.O.Podobinsky) and continues by author in Technion. The developed theory takes into account two main reasons of the plasma density redistribution: high frequency pressure (HFP) force which ''push out'' plasma from the regions with increased microwave amplitude, or microwave discharge, which appears in the region where amplitude is large enough. Displaced (under HFP action) or additionally originating (under (BPD) development) plasma propagates from the disturbance source in the form of slow plasma waves (for example, ion-sound or magneto-sound waves), and the BPI develops in the nonhomogeneous plasma. It changes both magnitude and longitudinal distribution of excited microwave amplitude. As a result

  13. Effect of transient scrotal hyperthermia on sperm parameters, seminal plasma biochemical markers, and oxidative stress in men

    Directory of Open Access Journals (Sweden)

    Meng Rao

    2015-01-01

    Full Text Available In this experimental prospective study, we aimed to analyze the effect of transient scrotal hyperthermia on the male reproductive organs, from the perspective of sperm parameters, semen plasma biochemical markers, and oxidative stress, to evaluate whether different frequencies of heat exposure cause different degrees of damage to spermatogenesis. Two groups of volunteers (10 per group received testicular warming in a 43°C water bath 10 times, for 30 min each time: group 1: 10 consecutive days; group 2: once every 3 days. Sperm parameters, epididymis and accessory sex gland function, semen plasma oxidative stress and serum sex hormones were tested before treatment and in the 16-week recovery period after treatment. At last, we found an obvious reversible decrease in sperm concentration (P = 0.005 for Group 1 and P= 0.008 for Group 2 when the minimums were compared with baseline levels, the same below, motility (P = 0.009 and 0.021, respectively, the hypoosmotic swelling test score (P = 0.007 and 0.008, respectively, total acrosin activity (P = 0.018 and 0.009, respectively, and an increase in the seminal plasma malondialdehyde concentration (P = 0.005 and 0.017, respectively. The decrease of sperm concentration was greater for Group 2 than for Group 1 (P = 0.031. We concluded that transient scrotal hyperthermia seriously, but reversibly, negatively affected the spermatogenesis, oxidative stress may be involved in this process. In addition, intermittent heat exposure more seriously suppresses the spermatogenesis compared to consecutive heat exposure. This may be indicative for clinical infertility etiology analysis and the design of contraceptive methods based on heat stress.

  14. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  15. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  16. Fractal approach to surface roughness of TiO{sub 2}/WO{sub 3} coatings formed by plasma electrolytic oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Rožić, L.J., E-mail: ljrozic@nanosys.ihtmbg.ac.rs [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia); Petrović, S.; Radić, N. [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia); Stojadinović, S. [University of Belgrade, Faculty of Physics, Studentski trg 12-16, Belgrade (Serbia); Vasilić, R. [Faculty of Environmental Governance and Corporate Responsibility, Educons University, Vojvode Putnika 87, Sremska Kamenica (Serbia); Stefanov, P. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Sofia 1113 (Bulgaria); Grbić, B. [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia)

    2013-07-31

    In this study, we have shown that atomic force microscopy is a powerful technique to study the fractal parameters of TiO{sub 2}/WO{sub 3} coatings prepared by plasma electrolytic oxidation (PEO) process. Since the surface roughness of obtained oxide coatings affects their physical properties, an accurate description of roughness parameters is highly desirable. The surface roughness, described by root mean squared and arithmetic average values, is analyzed considering the scans of a series of atomic force micrographs. The results show that the oxide coatings exhibit lower surface roughness in initial stage of PEO process. Also, the surfaces of TiO{sub 2}/WO{sub 3} coatings exhibit fractal behavior. Positive correlation between the fractal dimension and surface roughness of the surfaces of TiO{sub 2}/WO{sub 3} coatings in initial stage of PEO process was found. - Highlights: • TiO{sub 2}/WO{sub 3} coatings were obtained by plasma electrolytic oxidation. • Oxide coatings exhibit lower surface roughness in initial stage of process. • The surfaces of TiO{sub 2}/WO{sub 3} coatings exhibit fractal behavior.

  17. Study of plasma-maser instability in an inhomogeneous plasma

    International Nuclear Information System (INIS)

    Singh, Mahinder

    2006-01-01

    The plasma-maser, an interesting nonlinear process in plasmas, is an effective means of energy up-conversion in frequency from low-frequency turbulence to a high-frequency wave. A theoretical study is made of the amplification mechanism of an electrostatic Bernstein mode wave in presence of Langmuir wave turbulence in a magnetized inhomogeneous plasma on the basis of a plasma-maser interaction. It is shown that a test high-frequency electrostatic Bernstein mode wave is unstable in the presence of low-frequency Langmuir wave turbulence. The growth rate of a test high-frequency Bernstein mode wave is calculated with the involvement of a spatial density gradient parameter. A comparative study on the role of density gradient in the generation of the Bernstein mode on the basis of the plasma-maser effect is presented

  18. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  19. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  20. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  2. The producing of an ECR plasma using 2450MHz Whistler Wave and the investigating of its parameters

    International Nuclear Information System (INIS)

    Fang Yude; Zhang Jiande; Fu Keming; Lu Xiangyu; Liu Dengcheng; Wang Xianyu; Xie Weidong; Bao Dinghua; Yin Xiejin

    1988-12-01

    A stable ECR plasma was produced and sustained in HER mirror using 2450MHz Whistler wave. The parameters of the ECR plasma and their chaining characters were studied in detail and were compared with those of the DC discharge plasmas. The conclusion is that the ECR plasma is a high ionizability, low temperature, middle density plasma, its peak density may much exceed the cutoff density of the pump wave (when ω = ω pe ) and arrive at the order of 10 12 cm -3 . The ECR plasma includes some high energy hot electrons (20Kev-200Kev) and middle energy warm electrons (< 20Kev). Those two kinds of electron created some strong X-ray emissions in a wide frequency range. The ECR plasma has higher edge density and can strongly interact with the wall. (author). 9 refs, 17 figs

  3. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  4. Determination of Stark parameters by cross-calibration in a multi-element laser-induced plasma

    Science.gov (United States)

    Liu, Hao; Truscott, Benjamin S.; Ashfold, Michael N. R.

    2016-05-01

    We illustrate a Stark broadening analysis of the electron density Ne and temperature Te in a laser-induced plasma (LIP), using a model free of assumptions regarding local thermodynamic equilibrium (LTE). The method relies on Stark parameters determined also without assuming LTE, which are often unknown and unavailable in the literature. Here, we demonstrate that the necessary values can be obtained in situ by cross-calibration between the spectral lines of different charge states, and even different elements, given determinations of Ne and Te based on appropriate parameters for at least one observed transition. This approach enables essentially free choice between species on which to base the analysis, extending the range over which these properties can be measured and giving improved access to low-density plasmas out of LTE. Because of the availability of suitable tabulated values for several charge states of both Si and C, the example of a SiC LIP is taken to illustrate the consistency and accuracy of the procedure. The cross-calibrated Stark parameters are at least as reliable as values obtained by other means, offering a straightforward route to extending the literature in this area.

  5. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  6. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with neon

    International Nuclear Information System (INIS)

    Malinina, A. A.; Malinin, A. N.

    2013-01-01

    Results are presented from studies of the optical characteristics and parameters of plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with neon—the working medium of a non-coaxial exciplex gas-discharge emitter. The electron energy distribution function, the transport characteristics, the specific power losses for electron processes, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering by the working mixture components are determined as functions of the reduced electric field. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules is found to be 1.6 × 10 −14 m 3 /s for a reduced electric field of E/N = 15 Td, at which the maximum emission intensity in the blue-green spectral region (λ max = 502 nm) was observed in this experiment

  7. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with neon

    Energy Technology Data Exchange (ETDEWEB)

    Malinina, A. A., E-mail: alexandr_malinin@rambler.ru; Malinin, A. N. [Uzhhorod National University (Ukraine)

    2013-12-15

    Results are presented from studies of the optical characteristics and parameters of plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with neon—the working medium of a non-coaxial exciplex gas-discharge emitter. The electron energy distribution function, the transport characteristics, the specific power losses for electron processes, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering by the working mixture components are determined as functions of the reduced electric field. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules is found to be 1.6 × 10{sup −14} m{sup 3}/s for a reduced electric field of E/N = 15 Td, at which the maximum emission intensity in the blue-green spectral region (λ{sub max} = 502 nm) was observed in this experiment.

  8. The effect of axial ion parameters on the properties of glow discharge polymer in T2B/H2 plasma

    Science.gov (United States)

    Ai, Xing; He, Xiao-Shan; Huang, Jing-Lin; He, Zhi-Bing; Du, Kai; Chen, Guo

    2018-03-01

    Glow discharge polymer (GDP) films were fabricated using plasma-enhanced chemical vapor deposition. The main purpose of this work was to explore the correlations of plasma parameters with the surface morphology and chemical structure of GDP films. The intensities of main positive ions and ion energy as functions of axial distances in T2B/H2 plasma were diagnosed using energy-resolved mass spectrometry. The surface morphology and chemical structure were characterized as functions of axial distances using a scanning electron microscope and Fourier transform infrared spectroscopy, respectively. As the axial distance increases, both the intensities of positive ions and high energy ions decreases, and dissociation weakens while polymerization enhances. This leads to the weakening of the cross-linking structure of GDP films and the formation of dome defects on films. Additionally, high energy ions could introduce a strong etching effect to form etching pits. Therefore, an axial distance of about 20 mm was found to be the optimal plasma parameter to prepare the defect-free GDP films. These results could help one to find the optimal plasma parameters for GDP film deposition.

  9. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  10. Coaxial discharge plasma parameters and radiation emission

    International Nuclear Information System (INIS)

    Solimen, H.M.

    1993-01-01

    Results are reported for experiments carried out on a Mather type coaxial discharge plasma device. Experimental measurements of the electron temperature and density for the plasma propagated from the coaxial discharge are determined by using a biased double electric probe. The experimental results illustrated that , there are two groups of the plasma in the ejected plasma bulk, at 9 cm from the muzzle axis, the plasma reached the probe at 20 μsec from the start of discharge. The first group has electron temperature and density 27 eV and 3 x 10 14 cm -3 respectively,while The second group has 25 eV and 3 x 10 14 cm -3 respectively. The decay rate of the electron temperature and density of each group is presented. The plasma radiation spectrum is detected by a dielectric filter at 3500 A degree or 6100 A degree . The experimental measurements showed that, without or with dielectric filters, the visible radiation consists from two pulses with different magnitudes within the same half cycle of discharge. The time resolution of the soft x-ray is achieved by means of scintillator detector. The detected x-ray pulse during the first half cycle of discharge had a double peaks with different structures. All the experimental results present in this paper showed that the plasma bulk propagated in the expansion chamber, consists of two-groups. 6 fig

  11. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  12. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  13. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  14. X-Ray photoelectron spectroscopy analysis of plasma-polymer interactions for development of low-damage plasma processing of soft materials

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    Plasma-polymer interactions have been investigated using atomic force microscopy (AFM) and x-ray photoelectron spectroscopy (XPS) of polyethyleneterephthalate (PET) films, which have been exposed to argon plasmas driven by low-inductance antenna modules as a parameter of ion energy. The AFM images indicated that the argon plasma exposure exhibited a significant change in surface roughness. The XPS analyses suggested that the degradation of chemical bonding structure and/or bond scission of PET could be effectively suppressed in the plasma exposures with ion energies below 6 eV. However, significant degradations of O = C-O bond, C-O bond and phenyl group were observed with increasing ion energy above 6 eV.

  15. Plasma nitridation optimization for sub-15 A gate dielectrics

    NARCIS (Netherlands)

    Cubaynes, F.N; Schmitz, Jurriaan; van der Marel, C.; Snijders, J.H.M.; Veloso, A.; Rothschild, A.; Olsen, C.; Date, L.

    The work investigates the impact of plasma nitridation process parameters upon the physical properties and upon the electrical performance of sub-15 A plasma nitrided gate dielectrics. The nitrogen distribution and chemical bonding of ultra-thin plasma nitrided films have been investigated using

  16. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  17. Princeton Plasma Physics Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990.

  18. Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990

  19. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  20. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  1. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  2. MCO closure welding process parameter development and qualification

    International Nuclear Information System (INIS)

    CANNELL, G.R.

    2003-01-01

    One of the key elements in the SNF process is final closure of the MCO by welding. Fuel is loaded into the MCO (approximately 2 ft. in diameter and 13 ft. long) and a heavy shield plug is inserted into the top, creating a mechanical seal. The plug contains several process ports for various operations, including vacuum drying and inert-gas backfilling of the packaged fuel. When fully processed, the Canister Cover Assembly (CCA) is placed over the shield plug and final closure made by welding. The following reports the effort between the Amer Industrial Technology (AIT) and Fluor Hanford (FH) to develop and qualify the welding process for making the final closure--with primary emphasis on developing a set of robust parameters for deposition of the root pass. Work was carried out in three phases: (1) Initial welding process and equipment selection with subsequent field demonstration testing; (2) Development and qualification of a specific process technique and parameters; and (3) Validation of the process and parameters at the CSB under mock production conditions. This work establishes the process technique and parameters that provide a high level of confidence that acceptable MCO closure welds will be made on a consistent and repeatable basis

  3. Jet quenching parameter in an expanding QCD plasma arXiv

    CERN Document Server

    Iancu, Edmond; Wu, Bin

    We study the phenomenon of transverse momentum broadening for a high-$p_T$ parton propagating through a weakly-coupled quark-gluon plasma undergoing boost-invariant longitudinal expansion. We propose a boost-invariant description for this phenomenon, in which the broadening refers to the angular variables $\\eta$ (the pseudo-rapidity) and $\\phi$ (the azimuthal angle). The jet quenching parameter $\\hat{q}$ which enters this description depends upon the proper time alone. We furthermore consider radiative corrections to $\\hat q$. As in the case of a static medium, we find potentially large corrections enhanced by a double logarithm. But unlike for the static medium, these corrections are now local in time: they depend upon the local (proper) time characterizing the expansion, and not upon the overall path length. We resum such corrections to all orders into a renormalized jet quenching parameter. The main effect of this resummation is to slow down the decrease of $\\hat q$ with increasing proper time.

  4. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  5. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  6. Effect of plasma spraying parameter on wear resistance of NiCrBSiCFe plasma coatings on austenitic stainless steel at elevated temperatures at various loads

    International Nuclear Information System (INIS)

    Parthasarathi, N.L.; Duraiselvam, Muthukannan; Borah, Utpal

    2012-01-01

    Highlights: ► Effect of plasma spraying parameters, especially the stand-off distance. ► Effect of microstructure and applied load on coating in sliding wear. ► The reason for maximum wear rate at 250 °C and the minimum wear at 350 °C were explained. ► The worn debris were characterised by SEM analysis and correlated with wear rate. -- Abstract: The dry sliding wear tests were carried out on AISI 316 austenitic stainless steel (ASS) plasma coated with NiCrBSiCFe alloy powder under two set of plasma spraying parameters (PSP-1 and PSP-2). EN 8 medium carbon steel was used as a counterface material. The tests were carried out at loads of 20 N and 40 N with a constant sliding velocity of 1 m/s at room temperature (35°), 150 °C, 250 °C and 350 °C. Metallographic characterisation was carried out by optical microscope (OM), scanning electron microscope (SEM) and X-ray diffraction (XRD). Between the two plasma parameters tested, stand-off distance of 125 mm was found to be more suitable for producing uniform lamellar microstructure with fewer amounts of pores which shows better wear resistance. The wear rate at 250 °C was comparatively more due to the material softening and adhesion by intermolecular bonding. The worn debris collected during sliding at 350 °C turn into oxides which further behaves like a protective and lubricative film eliminating the chances of severe material loss. SEM was used to characterise the worn track and debris to identity the wear mechanism.

  7. Recombination effect on the expansion process of the laser-producted plasma in the absence and presence of an external magnetic field

    International Nuclear Information System (INIS)

    Sudo, S.

    1979-05-01

    The change of the ionization ratio of the laser-produced plasma during expansion is calculated with a simple model. The results for expansion in the absence of a magnetic field are compared with the experimental results obtained by Baumhacker et al. The recombination is not negligible and it takes place mainly in the first stage of expansion. The ionization ratio of the expanding plasma remains distinctly higher in the presence of a magnetic field. However, in order to maintain full ionization in the plasma during the filling process in a magnetic container, the lower initial density is more favorable and the use of a CO 2 laser (at least in the final stage of plasma heating) seems necessary in the range of currently possible parameters. (orig.)

  8. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  9. Plasma technology for treatment of waste

    Energy Technology Data Exchange (ETDEWEB)

    Cohn, D [Massachusetts Inst. of Technology, Cambridge, MA (United States). Plasma Fusion Center

    1997-12-31

    Meeting goals for waste cleanup will require new technology with improved environmental attractiveness and reduced cost. Plasma technology appears promising because of the high degree of controllability; capability to process waste without the adverse effects of combustion; and a very wide temperature range of operation. At the Plasma Fusion Center at the Massachusetts Institute of Technology, a range of plasma technologies are being investigated. `Hot` thermal plasmas produced by DC arc technology are being examined for treatment of solid waste. In conjunction with this activity, new diagnostics are being developed for monitoring arc furnace operating parameters and gaseous emissions. Electron-beam generated plasma technology is being investigated as a means of producing non-thermal `cold` plasmas for selective processing of dilute concentrations of gaseous waste. (author). 4 figs., 5 refs.

  10. Effect of impurities on kinetic transport processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Braun, Stefanie

    2010-12-10

    Within the framework of this thesis, different problems arising in connection with impurities have been investigated. Collisional damping of zonal flows in tokamaks: Since the Coulomb collision frequency increases with increasing ion charge, heavy, highly charged impurities play an important role in this process. The effect of such impurities on the linear response of the plasma to an external potential perturbation, as caused by zonal flows, is calculated with analytical methods. In comparison with a pure plasma, the damping of the flows occurs, as expected, considerably faster; for experimentally relevant parameters, the enhancement exceeds the effective charge Z{sub eff} of the plasma. Impurity transport driven by microturbulence in tokamaks: With regard to impurities, it is especially important whether the resulting flows are directed inwards or outwards, since they are deleterious for core energy confinement on the one hand, but on the other hand help protecting plasma-facing components from too high energy fluxes in the edge region. A semi-analytical model is presented describing the resulting impurity fluxes and the stability boundary of the underlying mode. The main goal is to bridge the gap between, on the one hand, costly numerical simulations, which are applicable to a broad range of problems but yield scarcely traceable results, and, on the other hand, analytical theory, which might ease the interpretation of the results but is so far rather rudimentary. The model is based on analytical formulae whenever possible but resorts to a numerical treatment when the approximations necessary for an analytical solution would lead to a substantial distortion of the results. Both the direction of the impurity flux and the stability boundary are found to depend sensitively on the plasma parameters such as the impurity density and the temperature gradient. Pfirsch-Schlueter transport in stellarators: Due to geometry effects, collisional transport plays a much more

  11. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  12. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  13. The Effect of Plasma Surface Treatment on a Porous Green Ceramic Film with Polymeric Binder Materials

    International Nuclear Information System (INIS)

    Yun Jeong Woo

    2013-01-01

    To reduce time and energy during thermal binder removal in the ceramic process, plasma surface treatment was applied before the lamination process. The adhesion strength in the lamination films was enhanced by oxidative plasma treatment of the porous green ceramic film with polymeric binding materials. The oxygen plasma characteristics were investigated through experimental parameters and weight loss analysis. The experimental results revealed the need for parameter analysis, including gas material, process time, flow rate, and discharge power, and supported a mechanism consisting of competing ablation and deposition processes. The weight loss analysis was conducted for cyclic plasma treatment rather than continuous plasma treatment for the purpose of improving the film's permeability by suppressing deposition of the ablated species. The cyclic plasma treatment improved the permeability compared to the continuous plasma treatment.

  14. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  15. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Experimental investigation of plasma relaxation using a compact coaxial magnetized plasma gun in a background plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott; University of New Mexico Collaboration; Los Alamos National Laboratory Collaboration

    2013-10-01

    A compact coaxial plasma gun is employed for experimental studies of plasma relaxation in a low density background plasma. Experiments are being conducted in the linear HelCat device at UNM. These studies will advance the knowledge of basic plasma physics in the areas of magnetic relaxation and space and astrophysical plasmas, including the evolution of active galactic jets/radio lobes within the intergalactic medium. The gun is powered by a 120pF ignitron-switched capacitor bank which is operated in a range of 5-10 kV and ~100 kA. Multiple diagnostics are employed to investigate plasma relaxation process. Magnetized Argon plasma bubbles with velocities ~1.2Cs and densities ~1020 m-3 have been achieved. Different distinct regimes of operation with qualitatively different dynamics are identified by fast CCD camera images, with the parameter determining the operation regime. Additionally, a B-dot probe array is employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify detached plasma bubble configurations. Experimental data and analysis will be presented.

  18. Thermal plasma properties for Ar–Al, Ar–Fe and Ar–Cu mixtures used in welding plasmas processes: I. Net emission coefficients at atmospheric pressure

    International Nuclear Information System (INIS)

    Cressault, Y; Gleizes, A

    2013-01-01

    This article is devoted to the calculation of the net emission coefficient (NEC) of Ar–Al, Ar–Fe and Ar–Cu mixtures at atmospheric pressure for arc welding processes. The results are given in data tables for temperatures between 3 kK and 30 kK, for five plasma thicknesses (0, 0.5, 1, 2, 5 mm) and ten concentrations of metallic vapours (pure gas, 0.01%, 0.1%, 1%, 5%, 10%, 25%, 50%, 75% and pure metal vapours in mass proportions). The results are in good agreement with most of the works published on the subject for such mixtures. They highlight the influence of three parameters on the radiation of the plasma: the NEC is directly related to temperature and inversely related to plasma radius and is highly sensitive to the presence of metal vapours. Finally, numerical data are supplied in tables in order to develop accurate computational modelling of welding arc and to estimate both qualitatively and quantitatively the influence of each metallic vapour on the size and on the shape of the weld pool. (paper)

  19. Electroacoustic Process Study of Plasma Sparker Under Different Water Depth

    KAUST Repository

    Huang, Yifan

    2015-01-05

    The plasma sparker has been applied in oceanic high-resolution seismic exploration for decades. Normally it is towed on the water surface. This is suitable for shallow water, but if the water depth is great, the resolution will decrease dramatically, especially in the horizontal direction. This paper proposes the concept of a deep-towed plasma sparker and presents an experimental study of plasma sparker performance in terms of electric parameters, bubble behavior, and acoustic characteristics. The results show that hydrostatic pressure at a source depth ranging from 1 to 2000 m has a negligible influence on the electric parameters but a strong influence on bubble behavior, wherein both the maximum bubble radius and oscillation period are decreased. The collapse pulse vanishes when the source depth reaches 1000 m or deeper, and no bubble oscillation can be distinguished. The source level (evaluated by the expansion pulse) is also decreased as the source depth increases; moreover, the greater the discharge energy, the smaller the source level loss. The discharge energy per electrode should be greater than 20 J for the deep-towed plasma sparker, which can make the source level loss induced by hydrostatic pressure smaller than the transmission loss. The fast Fourier transform (FFT) results show that the dominant energy is around 20 kHz, which is mainly induced by the expansion pulse and its oscillation. According to the simulation results, the fundamental frequency of the acoustic waveform increases with source depth in accord with a log linear trend, and also reaches tens of kilohertz in deep water. So, before the development of deep-towed plasma sparker, a new technical solution will need to be developed to solve this problem. © 1976-2012 IEEE.

  20. Influence of Bondcoat Spray Process on Lifetime of Suspension Plasma-Sprayed Thermal Barrier Coatings

    Science.gov (United States)

    Gupta, M.; Markocsan, N.; Li, X.-H.; Östergren, L.

    2018-01-01

    Development of thermal barrier coatings (TBCs) manufactured by suspension plasma spraying (SPS) is of high commercial interest as SPS has been shown capable of producing highly porous columnar microstructures similar to the conventionally used electron beam-physical vapor deposition. However, lifetime of SPS coatings needs to be improved further to be used in commercial applications. The bondcoat microstructure as well as topcoat-bondcoat interface topography affects the TBC lifetime significantly. The objective of this work was to investigate the influence of different bondcoat deposition processes for SPS topcoats. In this work, a NiCoCrAlY bondcoat deposited by high velocity air fuel (HVAF) was compared to commercial vacuum plasma-sprayed NiCoCrAlY and PtAl diffusion bondcoats. All bondcoat variations were prepared with and without grit blasting the bondcoat surface. SPS was used to deposit the topcoats on all samples using the same spray parameters. Lifetime of these samples was examined by thermal cyclic fatigue testing. Isothermal heat treatment was performed to study bondcoat oxidation over time. The effect of bondcoat deposition process and interface topography on lifetime in each case has been discussed. The results show that HVAF could be a suitable process for bondcoat deposition in SPS TBCs.

  1. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  2. Effect of the resonant magnetic perturbation on the plasma parameters in COMPASS tokamak’s divertor region

    Science.gov (United States)

    Dimitrova, M.; Cahyna, P.; Peterka, M.; Hasan, E.; Popov, Tsv K.; Ivanova, P.; Vasileva, E.; Panek, R.; Cavalier, J.; Seidl, J.; Markovic, T.; Havlicek, J.; Dejarnac, R.; Weinzettl, V.; Hacek, P.; Tomes, M.; the COMPASS Team; the EUROfusion MST1 Team

    2018-02-01

    The resonant magnetic perturbation (RMP) has proven to be a useful way to suppress edge-localized modes that under certain conditions can damage the device by the large power fluxes carried from the bulk plasma to the wall. The effect of RMP on the L-mode plasma parameters in the divertor region of the COMPASS tokamak was studied using the array of 39 Langmuir probes embedded into the divertor target. The current-voltage (IV) probe characteristics were processed by the first-derivative probe technique to obtain the plasma potential and the electron energy distribution function (EEDF) which was approximated by a bi-Maxwellian EEDF with a low-energy (4-6 eV) fraction and a high-energy (11-35 eV) one, the both factions having similar electron density. Clear splitting was observed during the RMP pulse in the low-field-side scrape-off-layer profiles of the floating potential U fl and the ion saturation current density J sat; these two quantities were obtained both by direct continuous measurement and by evaluation of the IV characteristics of probes with swept bias. The negative peaks of U fl induced by RMP spatially overlaps with the local minima of J sat (and n e) rather than with its local maxima which is partly caused by the spatial variation of the plasma potential and partly by the changed shape of the EEDF. The effective temperature of the whole EEDF is not correlated with the negative peaks of U fl, and the profile of the parallel power flux density shows secondary maxima due to RMP which mimic those of J sat.

  3. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  4. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  5. Principal parameters of classical multiply charged ion sources

    International Nuclear Information System (INIS)

    Winter, H.; Wolf, B.H.

    1974-01-01

    A review is given of the operational principles of classical multiply charged ion sources (operating sources for intense beams of multiply charged ions using discharge plasmas; MCIS). The fractional rates of creation of multiply charged ions in MCIS plasmas cannot be deduced from the discharge parameters in a simple manner; they depend essentially on three principal parameters, the density and energy distribution of the ionizing electrons, and the confinement time of ions in the ionization space. Simple discharge models were used to find relations between principal parameters, and results of model calculations are compared to actually measured charge state density distributions of extracted ions. Details of processes which determine the energy distribution of ionizing electrons (heating effects), confinement times of ions (instabilities), and some technical aspects of classical MCIS (cathodes, surface processes, conditioning, life time) are discussed

  6. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  7. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  8. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  9. Parallel processing for a 1-D time-dependent solution to impurity rate equations for fusion plasma simulations

    International Nuclear Information System (INIS)

    Veerasingam, R.

    1990-01-01

    In fusion plasmas impurities such as carbon, oxygen or nickel can contaminate the plasma and cause degradation of the performance of a fusion device through radiation. However, impurities can also be used as diagnostics to obtain information about a plasma through spectroscopic experiments which can then be used in plasma modeling and simulations. In the past, serial algorithms have been described for either the time dependent or steady state problem. In this paper, we describe a parallel procedure adopted to solve the time-dependent problem. It can be shown that for the steady state problem a parallel procedure would not be a useful application of parallelization because a few seconds of the Central Processing Unit time on a CRAY-XMP or IBM 3090/600S would suffice to obtain the solution, while this is not the case for the time-dependent problem. In order to study the effects of low Z and high Z impurities on the final state of a plasma, time-dependent solutions are necessary. For purposes of diagnostics and comparisons with experiments, a fast turn around time of the simulations would be advantageous. We have implemented a parallel algorithm on and IBM 3090/600S and tested its performance for a typical set of fusion plasma parameters. 4 refs., 1 tab

  10. Parameter optimization of electrochemical machining process using black hole algorithm

    Science.gov (United States)

    Singh, Dinesh; Shukla, Rajkamal

    2017-12-01

    Advanced machining processes are significant as higher accuracy in machined component is required in the manufacturing industries. Parameter optimization of machining processes gives optimum control to achieve the desired goals. In this paper, electrochemical machining (ECM) process is considered to evaluate the performance of the considered process using black hole algorithm (BHA). BHA considers the fundamental idea of a black hole theory and it has less operating parameters to tune. The two performance parameters, material removal rate (MRR) and overcut (OC) are considered separately to get optimum machining parameter settings using BHA. The variations of process parameters with respect to the performance parameters are reported for better and effective understanding of the considered process using single objective at a time. The results obtained using BHA are found better while compared with results of other metaheuristic algorithms, such as, genetic algorithm (GA), artificial bee colony (ABC) and bio-geography based optimization (BBO) attempted by previous researchers.

  11. Relationship of zinc concentrations in blood and seminal plasma with various semen parameters in infertile subjects

    International Nuclear Information System (INIS)

    Ali, H.; Ahmed, M.; Baig, M.; Ali, M.

    2007-01-01

    To find out relationship of zinc concentrations in blood and seminal plasma with various semen parameters between fertile and infertile men. (JPMC), Karachi and Department of Biochemistry. Basic Medical Sciences Institute, JPMC, Karachi. Fifty eight primary infertile male subjects, without any treatment, who had regular unprotected intercourse for at least 12 months without conception with their partners, aged 20-40 years, were selected from Infertility Clinic Jinnah Postgraduate Medical Center, Karachi. After semen analyses they were grouped as, oligospermic (30), and azoospermic (28). Twenty five known fertile male selected from general population and after semen analysis were taken as normospermic control group. Semen analyzed according to WHO criteria. Serum and seminal plasma zinc were estimated by 5Br. PAPS Colorimetric method. This study showed significant difference in serum and seminal zinc levels in normospermic, oligospermic (p<0.05) and azoospermic (p<0.005). Seminal plasma zinc showed a positive correlation with sperm count and negative with sperm motility in normospermic and oligospermic and negative correlation with volume, pH, WBC concentration in all three groups. There was no correlation found with sperm morphology. On the basis of the findings of this study and those of other reports, zinc may contribute to fertility through its significant effects on various semen parameters. It seems that the estimation of seminal plasma zinc may help in investigation and treatment of infertile males. (author)

  12. Nanohydroxyapatite synthesis using optimized process parameters

    Indian Academy of Sciences (India)

    Nanohydroxyapatite; ultrasonication; response surface methodology; calcination; ... Three independent process parameters: temperature () (70, 80 and 90°C), ... Bangi, Selangor, Malaysia; Energy Research Group, School of Engineering, ...

  13. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  14. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  15. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  16. Effect of atmospheric pressure plasma treatment condition on adhesion of ramie fibers to polypropylene for composite

    Energy Technology Data Exchange (ETDEWEB)

    Li, Ying [College of Material and Textile Engineering, Jiaxing University, Jiaxing 314033 (China); Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Manolache, Sorin [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); US Forest Products Laboratory, Madison, WI 53726 (United States); Qiu, Yiping, E-mail: ypqiu@dhu.edu.cn [College of Textiles, Donghua University, Shanghai 201620 (China); Sarmadi, Majid, E-mail: majidsar@wisc.edu [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Materials Science Program, University of Wisconsin-Madison, Madison, WI 53706 (United States)

    2016-02-28

    Graphical abstract: - Highlights: • The continuous ethanol flow technique can successfully modify ramie fiber surface with an increase in IFSS value up to 50%. • Response surface methodology was applied to design the plasma treatment parameters for ramie fiber modification. • The ethanol flow rate was the most influential treatment parameter in plasma modification process. - Abstract: In order to improve the interfacial adhesion between hydrophilic ramie fibers and hydrophobic polypropylene (PP) matrices, ramie fibers are modified by atmospheric pressure dielectric barrier discharge (DBD) plasma with our continuous ethanol flow technique in helium environment. A central composite design of experiments with different plasma processing parameter combinations (treatment current, treatment time and ethanol flow rate) is applied to find the most influential parameter and to obtain the best modification effect. Field emission scanning electron microscope (SEM) shows the roughened surfaces of ramie fibers from the treated groups due to plasma etching effect. Dynamic contact angle analysis (DCAA) demonstrates that the wettability of the treated fibers drastically decreases. Microbond pullout test shows that the interfacial shear strength (IFSS) between treated ramie fibers and PP matrices increases significantly. Residual gas analysis (RGA) confirms the creation of ethyl groups during plasma treatment. This study shows that our continuous ethanol flow technique is effective in the plasma modification process, during which the ethanol flow rate is the most influential parameter but all parameters have simultaneous influence on plasma modification effect of ramie fibers.

  17. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  18. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  19. Performance Testing of Suspension Plasma Sprayed Thermal Barrier Coatings Produced with Varied Suspension Parameters

    Directory of Open Access Journals (Sweden)

    Nicholas Curry

    2015-07-01

    Full Text Available Suspension plasma spraying has become an emerging technology for the production of thermal barrier coatings for the gas turbine industry. Presently, though commercial systems for coating production are available, coatings remain in the development stage. Suitable suspension parameters for coating production remain an outstanding question and the influence of suspension properties on the final coatings is not well known. For this study, a number of suspensions were produced with varied solid loadings, powder size distributions and solvents. Suspensions were sprayed onto superalloy substrates coated with high velocity air fuel (HVAF -sprayed bond coats. Plasma spray parameters were selected to generate columnar structures based on previous experiments and were maintained at constant to discover the influence of the suspension behavior on coating microstructures. Testing of the produced thermal barrier coating (TBC systems has included thermal cyclic fatigue testing and thermal conductivity analysis. Pore size distribution has been characterized by mercury infiltration porosimetry. Results show a strong influence of suspension viscosity and surface tension on the microstructure of the produced coatings.

  20. Dielectric parameters of blood plasma of rats treated with cerium-144 and external irradiation

    International Nuclear Information System (INIS)

    Hadzhidekova, E.; Kiradzhiev, G.; Paskalev, Z.; Miloslavov, V.

    1988-01-01

    Investigation was carried out of the dielectric parameters of blood plasma of male Wistar rats treated with cerium 144 in doses of 370 kBq/animal and external gamma irradiation in doses of 200 cGy and 400 cGy. The radioactive cerium was introduced intraperitoneally 1 h after the external irradiation with dose rate of 1,6 cGy/sec. The permittivity ε, the time of relaxation τ and the coefficient of Debaye κ of plasma protein molecules were determined at the 1st, 3rd, 10th, 15th, and 30th days after treatement for frequence ranges of 1,4, 2,2, 3,6 and 6 MHz. At the same terms the content of cerium 144 was measured in the organs of predilectional accumulation of cerium. It was established that the treatment only with cerium lead to most essential changes of dielectric parameters at frequence of 3,6 MHz. The external irradiation didn't influence essentially the kinetics of absorbed cerium. In combination of both radiation factors the action of cerium was predominant

  1. Numerical Simulation of Spheroidization Process of TiAl Alloy Powders in Radio Frequency Plasma

    Directory of Open Access Journals (Sweden)

    ZHU Langping

    2017-06-01

    Full Text Available A numerical simulation method was used to study the radio frequency plasma spheroidization process of TiAl alloy powder. The effects of velocity field and temperature field on the motion trajectory and mass change of TiAl alloy powder with different particle size were analyzed.The results show that the temperature of powder particles increases rapidly under high temperature plasma, surface evaporation cause the reduction of particle size, and particles with small size tend to evaporate quickly. The motion trajectory of particles with different sizes in the lower end of the cooling tube is different obviously, small particles tend to enter the air outlet,while the larger particles are easy to fall down to the bottom of the cooling tube to be collected. Increasing air flow rate can improve the velocity of air flow in the spheroidizing system, causing larger particles to be taken away by the air, resulting in yield reduction. The simulation results of TiAl alloy powder spheroidization are close to the experimental results refer to parameters such as powder size distribution, average particle size and powder yield, and the model is in good accordance with the actual process of the spheroidization.

  2. A Taguchi approach on optimal process control parameters for HDPE pipe extrusion process

    Science.gov (United States)

    Sharma, G. V. S. S.; Rao, R. Umamaheswara; Rao, P. Srinivasa

    2017-06-01

    High-density polyethylene (HDPE) pipes find versatile applicability for transportation of water, sewage and slurry from one place to another. Hence, these pipes undergo tremendous pressure by the fluid carried. The present work entails the optimization of the withstanding pressure of the HDPE pipes using Taguchi technique. The traditional heuristic methodology stresses on a trial and error approach and relies heavily upon the accumulated experience of the process engineers for determining the optimal process control parameters. This results in setting up of less-than-optimal values. Hence, there arouse a necessity to determine optimal process control parameters for the pipe extrusion process, which can ensure robust pipe quality and process reliability. In the proposed optimization strategy, the design of experiments (DoE) are conducted wherein different control parameter combinations are analyzed by considering multiple setting levels of each control parameter. The concept of signal-to-noise ratio ( S/ N ratio) is applied and ultimately optimum values of process control parameters are obtained as: pushing zone temperature of 166 °C, Dimmer speed at 08 rpm, and Die head temperature to be 192 °C. Confirmation experimental run is also conducted to verify the analysis and research result and values proved to be in synchronization with the main experimental findings and the withstanding pressure showed a significant improvement from 0.60 to 1.004 Mpa.

  3. Adhesion properties of inverted polymer solarcells: Processing and film structure parameters

    KAUST Repository

    Dupont, Stephanie R.

    2013-05-01

    We report on the adhesion of weak interfaces in inverted P3HT:PCBM-based polymer solar cells (OPV) with either a conductive polymer, PEDOT:PSS, or a metal oxide, molybdenum trioxide (MoO3), as the hole transport layer. The PEDOT:PSS OPVs were prepared by spin or spray coating on glass substrates, or slot-die coating on flexible PET substrates. In all cases, we observed adhesive failure at the interface between the P3HT:PCBM with PEDOT:PSS layer. The adhesion energy measured for the solar cells made on glass substrates was about 1.8 J/m2, but only 0.5 J/m2 for the roll-to-roll processed flexible solar cells. The adhesion energy was insensitive to the PEDOT:PSS layer thickness in the range of 10-40 nm. A marginal increase in adhesion energy was measured with increased O2 plasma power. Compared to solution processed PEDOT:PSS, we found that thermally evaporated MoO 3 adheres less to the P3HT:PCBM layer, which we attributed to the reduced mixing at the MoO3/P3HT:PCBM interface during the thermal evaporation process. Insights into the mechanisms of delamination and the effect of different material properties and processing parameters yield general guidelines for the design of more reliable organic photovoltaic devices.© 2013 Elsevier B.V. All rights reserved.

  4. Adhesion properties of inverted polymer solarcells: Processing and film structure parameters

    KAUST Repository

    Dupont, Stephanie R.; Voroshazi, Eszter; Heremans, Paul; Dauskardt, Reinhold H.

    2013-01-01

    We report on the adhesion of weak interfaces in inverted P3HT:PCBM-based polymer solar cells (OPV) with either a conductive polymer, PEDOT:PSS, or a metal oxide, molybdenum trioxide (MoO3), as the hole transport layer. The PEDOT:PSS OPVs were prepared by spin or spray coating on glass substrates, or slot-die coating on flexible PET substrates. In all cases, we observed adhesive failure at the interface between the P3HT:PCBM with PEDOT:PSS layer. The adhesion energy measured for the solar cells made on glass substrates was about 1.8 J/m2, but only 0.5 J/m2 for the roll-to-roll processed flexible solar cells. The adhesion energy was insensitive to the PEDOT:PSS layer thickness in the range of 10-40 nm. A marginal increase in adhesion energy was measured with increased O2 plasma power. Compared to solution processed PEDOT:PSS, we found that thermally evaporated MoO 3 adheres less to the P3HT:PCBM layer, which we attributed to the reduced mixing at the MoO3/P3HT:PCBM interface during the thermal evaporation process. Insights into the mechanisms of delamination and the effect of different material properties and processing parameters yield general guidelines for the design of more reliable organic photovoltaic devices.© 2013 Elsevier B.V. All rights reserved.

  5. Interaction of an ion bunch with a plasma slab

    Energy Technology Data Exchange (ETDEWEB)

    Krasovitskiy, V. B., E-mail: krasovit@mail.ru [Russian Academy of Sciences, Keldysh Institute of Applied Mathematics (Russian Federation); Turikov, V. A. [Peoples’ Friendship University of Russia (Russian Federation)

    2016-11-15

    Charge neutralization of a short ion bunch passing through a plasma slab is studied by means of numerical simulation. It is shown that a fraction of plasma electrons are trapped by the bunch under the action of the collective charge separation field. The accelerated electrons generated in this process excite beam−plasma instability, thereby violating the trapping conditions. The process of electron trapping is also strongly affected by the high-frequency electric field caused by plasma oscillations at the slab boundaries. It is examined how the degree of charge neutralization depends on the parameters of the bunch and plasma slab.

  6. Plasma parameters, fluctuations and kinetics in a magnetic field line reconnection experiment

    International Nuclear Information System (INIS)

    Wild, N.C. Jr.

    1983-01-01

    The processes associated with reconnecting magnetic field lines have been studied in a large experimental laboratory plasma. Detailed time- and space-resolved probe measurements of the plasma density, temperature, potential and electric and magnetic fields are discussed. Plasma currents are seen to modify the vacuum magnetic field topology. A flat neutral sheet develops along the separatrix where magnetic flux is transferred from regions of private to common flux. Forced tearing and magnetic island formation are also observed. Rapid electron heating, density and temperature nonuniformities and plasma potential gradients are all observed. The pressure is found to peak at the two edges of the neutral sheet. The dissipation E.J is determined and analyzed in terms of particle heating and fluid acceleration. A consistent, detailed picture of the energy flow via Poynting's theorem is also described. Significant temporal fluctuations in the magnetic fields and electron velocity distribution are measured and seen to give rise to anomalously high values for the plasma resistivity, the ion viscosity and the cross-field thermal conductivity. Electron temperature fluctuations, double layers associated with partial current disruptions, and whistler wave magnetic turbulence have all been identified and studied during the course of the reconnection event

  7. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  8. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  9. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  10. Graphics processing unit accelerated three-dimensional model for the simulation of pulsed low-temperature plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Fierro, Andrew, E-mail: andrew.fierro@ttu.edu; Dickens, James; Neuber, Andreas [Center for Pulsed Power and Power Electronics, Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, Texas 79409 (United States)

    2014-12-15

    A 3-dimensional particle-in-cell/Monte Carlo collision simulation that is fully implemented on a graphics processing unit (GPU) is described and used to determine low-temperature plasma characteristics at high reduced electric field, E/n, in nitrogen gas. Details of implementation on the GPU using the NVIDIA Compute Unified Device Architecture framework are discussed with respect to efficient code execution. The software is capable of tracking around 10 × 10{sup 6} particles with dynamic weighting and a total mesh size larger than 10{sup 8} cells. Verification of the simulation is performed by comparing the electron energy distribution function and plasma transport parameters to known Boltzmann Equation (BE) solvers. Under the assumption of a uniform electric field and neglecting the build-up of positive ion space charge, the simulation agrees well with the BE solvers. The model is utilized to calculate plasma characteristics of a pulsed, parallel plate discharge. A photoionization model provides the simulation with additional electrons after the initial seeded electron density has drifted towards the anode. Comparison of the performance benefits between the GPU-implementation versus a CPU-implementation is considered, and a speed-up factor of 13 for a 3D relaxation Poisson solver is obtained. Furthermore, a factor 60 speed-up is realized for parallelization of the electron processes.

  11. Plasma spheroidization of iron powders in a non-transferred DC thermal plasma jet

    International Nuclear Information System (INIS)

    Kumar, S.; Selvarajan, V.

    2008-01-01

    In this paper, the results of plasma spheroidization of iron powders using a DC non-transferred plasma spray torch are presented. The morphology of the processed powders was characterized through scanning electron microscopy (SEM) and optical microscopy (OM). The percentages of spheroidized powders were calculated by the shape factors such as the Irregularity Parameter (IP) and Roundness (RN). A maximum of 83% of spheroidization can be achieved. The spheroidization results are compared with the theoretical estimation and they are found to be in good agreement. The phase composition of the spheroidized powder was analyzed by XRD. The effect of plasma jet temperature and plasma gas flow rate on spheroidization is discussed. At low plasma gas flow rates and at high plasma jet temperatures, the percentage of spheroidization is high

  12. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  13. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  14. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  15. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  16. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  17. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  18. Measurement of peripheral plasma using double probe in TRIAM-IM

    International Nuclear Information System (INIS)

    Yamagami, Masahiro; Kawasaki; Shoji; Jyotaki, Eriko; Fujita, Takaaki; Sakamoto, Mizuki; Nakamura, Kazuo; Nakamura, Yukio; Ito, Satoshi

    1994-01-01

    Behind the poloidal limiter of the TRIAM-IM, the change with time lapse of the electron temperature and the plasma density at the time of OH discharge was examined by using a double probe. Scrape-off plasma parameters, namely the correlations of electron temperature, plasma density and ion flux with main plasma parameters were obtained. It was found that scrape-off density is almost proportional to square of beam average electron density. Further, it was determined by calculation that the density on the outermost shell magnetic surface is about 1.5 x 10 15 /m 2 . In the TRIAM-IM, it is expected that accompanying the increase of plasma current at the time of OH discharge, the effects of thermal load and particle load given to the limiter and the first wall increase, and the increase of the metallic impurities due to sputtering becomes a serious problem. The merits of double probe method are explained. The objective of this experiment is to examine the temperature and density of the plasma that comes in contact with the limiter and the wall by determining the basic parameters of peripheral plasma at the time of OH discharge. In order to heighten the reliability of data, the examination was carried out from both aspects of hardware and software. The TRIAM-IM, double probe measuring system and its theory, applied voltage sweeping part, signal processing system, data processing system, and the experimental results of scrape-off layer parameters are described. (K.I.)

  19. Laser Processing of Multilayered Thermal Spray Coatings: Optimal Processing Parameters

    Science.gov (United States)

    Tewolde, Mahder; Zhang, Tao; Lee, Hwasoo; Sampath, Sanjay; Hwang, David; Longtin, Jon

    2017-12-01

    Laser processing offers an innovative approach for the fabrication and transformation of a wide range of materials. As a rapid, non-contact, and precision material removal technology, lasers are natural tools to process thermal spray coatings. Recently, a thermoelectric generator (TEG) was fabricated using thermal spray and laser processing. The TEG device represents a multilayer, multimaterial functional thermal spray structure, with laser processing serving an essential role in its fabrication. Several unique challenges are presented when processing such multilayer coatings, and the focus of this work is on the selection of laser processing parameters for optimal feature quality and device performance. A parametric study is carried out using three short-pulse lasers, where laser power, repetition rate and processing speed are varied to determine the laser parameters that result in high-quality features. The resulting laser patterns are characterized using optical and scanning electron microscopy, energy-dispersive x-ray spectroscopy, and electrical isolation tests between patterned regions. The underlying laser interaction and material removal mechanisms that affect the feature quality are discussed. Feature quality was found to improve both by using a multiscanning approach and an optional assist gas of air or nitrogen. Electrically isolated regions were also patterned in a cylindrical test specimen.

  20. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO2 films

    Directory of Open Access Journals (Sweden)

    Partha Saikia

    2016-04-01

    Full Text Available We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO2 films. The parameters of the hydrogen-added Ar/O2 plasma influence the properties and the structural phases of the deposited TiO2 film. Therefore, the variation of plasma parameters such as electron temperature (Te, electron density (ne, ion density (ni, degree of ionization of Ar and degree of dissociation of H2 as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma. On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO2 film.

  1. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  2. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  3. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  4. Plasma sheath dynamics and parameters in focus and defocus conditions. Vol. 2

    International Nuclear Information System (INIS)

    Masoud, M.M.; Soliman, H.M.; El-Aragi, G.M.

    1996-01-01

    The study deals with the effect of the inner electrode polarity on the dynamic behaviour and parameters of plasma sheath in a coaxial discharge. The experimental investigations presented here were carried out in a coaxial plasma focus discharge device of mather geometry. It consisted of coaxial stainless steel hollow cylindrical electrodes with inner electrode 18.2 cm length and outer-electrode 31.5 cm length. The diameter of the inner and outer electrodes are 3.2 cm and 6.6 cm, respectively. The two electrodes are separated by a teflon disc at the breech. The outer electrode muzzle is connected to stainless steel expansion chamber of 23 cm length and 17 cm diameter. The discharge takes place in hydrogen gas with a base pressure of 1 torr. The experiments were conducted with 10 kV bank voltage, which corresponds to 100 K A peak discharge current. By using a double electric probe, It was found that the plasma electron density was higher near the negative electrode. Investigations using a miniature rogovsky coil have shown that, the radial and azimuthal current density increased with radial distance from negative electrode to positive electrode. The shape and the axial velocity of plasma sheath were measured using a magnetic probe. The experimental results indicate that, the plasma is thick near the negative electrode, in both cases of the outer or the inner electrode. Also it has been found that the axial plasma sheath velocity reaches its maximum value at the muzzle for positive and negative inner electrode. The magnitude of maximum axial velocity reaches 1.7 x 10 60 cm/s for positive inner electrode and decreased by 25% for negative inner electrode further investigations revealed that on interchanging the polarity from normal operation (positive inner electrode), it was found that with negative inner electrode the soft x-ray emission intensity dropped by three orders of magnitude from that with positive inner electrode. 9 figs

  5. Plasma sheath dynamics and parameters in focus and defocus conditions. Vol. 2

    Energy Technology Data Exchange (ETDEWEB)

    Masoud, M M; Soliman, H M; El-Aragi, G M [Plasma Physics and Nuclear Fusion Department, Nuclear Research Centre, Atomic Energy Aurhority, Cairo (Egypt)

    1996-03-01

    The study deals with the effect of the inner electrode polarity on the dynamic behaviour and parameters of plasma sheath in a coaxial discharge. The experimental investigations presented here were carried out in a coaxial plasma focus discharge device of mather geometry. It consisted of coaxial stainless steel hollow cylindrical electrodes with inner electrode 18.2 cm length and outer-electrode 31.5 cm length. The diameter of the inner and outer electrodes are 3.2 cm and 6.6 cm, respectively. The two electrodes are separated by a teflon disc at the breech. The outer electrode muzzle is connected to stainless steel expansion chamber of 23 cm length and 17 cm diameter. The discharge takes place in hydrogen gas with a base pressure of 1 torr. The experiments were conducted with 10 kV bank voltage, which corresponds to 100 K A peak discharge current. By using a double electric probe, It was found that the plasma electron density was higher near the negative electrode. Investigations using a miniature rogovsky coil have shown that, the radial and azimuthal current density increased with radial distance from negative electrode to positive electrode. The shape and the axial velocity of plasma sheath were measured using a magnetic probe. The experimental results indicate that, the plasma is thick near the negative electrode, in both cases of the outer or the inner electrode. Also it has been found that the axial plasma sheath velocity reaches its maximum value at the muzzle for positive and negative inner electrode. The magnitude of maximum axial velocity reaches 1.7 x 10{sup 60} cm/s for positive inner electrode and decreased by 25% for negative inner electrode further investigations revealed that on interchanging the polarity from normal operation (positive inner electrode), it was found that with negative inner electrode the soft x-ray emission intensity dropped by three orders of magnitude from that with positive inner electrode. 9 figs.

  6. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  7. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  8. Temporal evolution of the spectral lines emission and temperatures in laser induced plasmas through characteristic parameters

    International Nuclear Information System (INIS)

    Bredice, F.; Pacheco Martinez, P.; Sánchez-Aké, C.; Villagrán-Muniz, M.

    2015-01-01

    In this work, we propose an extended Boltzmann plot method to determine the usefulness of spectral lines for plasma parameter calculations. Based on the assumption that transient plasmas are under ideal conditions during an specific interval of time Δt, (i.e. thin, homogeneous and in local thermodynamic equilibrium (LTE)), the associated Boltzmann plots describe a surface in the space defined by the coordinates X = Energy, Y = Time and Z = ln (λ jl I j /g j A jl ), where I j is the integrated intensity of the spectral line, g j is the statistical weight of the level j, λ jl is the wavelength of the considered line and A jl is its transition rate. In order to express the Boltzmann plot surface in terms of a reduced set of constants B i , and δ i , we developed as a power series of time, the logarithm of I n (t)/I n (t 0 ), where I n (t) is the integrated intensity of any spectral line at time t, and I n (t 0 ) at initial time. Moreover, the temporal evolution of the intensity of any spectral line and consequently the temperature of the plasma can be also expressed with these constants. The comparison of the temporal evolution of the line intensity calculated using these constants with their experimental values, can be used as a criterion for selecting useful lines in plasma analysis. Furthermore, this method can also be applied to determine self-absorption or enhancement of the spectral lines, to evaluate a possible departure of LTE, and to check or estimate the upper level energy value of any spectral line. An advantage of this method is that the value of these constants does not depend on the spectral response of the detection system, the uncertainty of the transition rates belonging to the analyzed spectral lines or any other time-independent parameters. In order to prove our method, we determined the constants B i and δ i and therefore the Boltzmann plot surface from the temporal evolution of carbon lines obtained from a plasma generated by a Nd:YAG laser

  9. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  10. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  11. Surface temperature: A key parameter to control the propanethiol plasma polymer chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Thiry, Damien, E-mail: damien.thiry@umons.ac.be; Aparicio, Francisco J. [Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium); Laha, Priya; Terryn, Herman [Research Group Electrochemical and Surface Engineering (SURF), Department of Materials and Chemistry (MACH), Pleinlaan 2, 1050 Brussel (Belgium); Snyders, Rony [Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons, Belgium and Materia Nova Research Center, Parc Initialis, B-7000 Mons (Belgium)

    2014-09-01

    In this work, the influence of the substrate temperature (T{sub s}) on the chemical composition of propanethiol plasma polymers was investigated for a given set of plasma conditions. In a first study, a decrease in the atomic sulfur content (at. %S) with the deposition time (t{sub d}) was observed. This behavior is explained by the heating of the growing film during deposition process, limiting the incorporation of stable sulfur-based molecules produced in the plasma. Experiments carried out by controlling the substrate temperature support this hypothesis. On the other hand, an empirical law relating the T{sub s} and the at. %S was established. This allows for the formation of gradient layer presenting a heterogeneous chemical composition along the thickness, as determined by depth profile analysis combining X-ray photoelectron spectroscopy and C{sub 60} ion gun sputtering. The experimental data fit with the one predicted from our empiric description. The whole set of our results provide new insights in the relationship between the substrate temperature and the sulfur content in sulfur-based plasma polymers, essential for future developments.

  12. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA: Spectroscopic investigation of thermodynamic parameters of a plasma plume formed by the action of cw CO2 laser radiation on a metal substrate

    Science.gov (United States)

    Vasil'chenko, Zh V.; Azharonok, V. V.; Filatova, I. I.; Shimanovich, V. D.; Golubev, V. S.; Zabelin, A. M.

    1996-09-01

    Emission spectroscopy methods were used in an investigation of thermodynamic parameters of a surface plasma formed by the action of cw CO2 laser radiation of (2-5)×106 W cm-2 intensity on stainless steel in a protective He or Ar atmosphere. The spatiotemporal structure and pulsation characteristics of the plasma plume were used to determine the fields of the plasma electron density and temperature.

  13. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  14. Updating parameters of the chicken processing line model

    DEFF Research Database (Denmark)

    Kurowicka, Dorota; Nauta, Maarten; Jozwiak, Katarzyna

    2010-01-01

    A mathematical model of chicken processing that quantitatively describes the transmission of Campylobacter on chicken carcasses from slaughter to chicken meat product has been developed in Nauta et al. (2005). This model was quantified with expert judgment. Recent availability of data allows...... updating parameters of the model to better describe processes observed in slaughterhouses. We propose Bayesian updating as a suitable technique to update expert judgment with microbiological data. Berrang and Dickens’s data are used to demonstrate performance of this method in updating parameters...... of the chicken processing line model....

  15. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  16. Temperature measurement in low pressure plasmas. Temperaturmessungen im Niederdruckplasma

    Energy Technology Data Exchange (ETDEWEB)

    Rosenbauer, K.A.; Wilting, H.; Schramm, G. (Duesseldorf Univ. (Germany, F.R.). Abt. fuer Histologie und Embryologie)

    1989-11-01

    The present work discusses the influence of various parameters on the substrate temperature in a low pressure plasma. The measurement method chosen utilized Signotherm (Merck) temperature sensors embedded in silicon between two glass substrates. All measurements were made in a 200 G Plasma Processor from Technics Plasma GmbH. The substrate temperature is dependent on the process time, the RF power, the process gas and the position in the chamber. The substrate temperature increases with increasing process time and increasing power. Due to the location of the microwave port from the magnetron to the chamber, the substrate temperature is highest in the center of the chamber. Measurements performed in an air plasma yielded higher results than in an oxygen plasma. (orig.).

  17. Optimizing the electrical excitation of an atmospheric pressure plasma advanced oxidation process.

    Science.gov (United States)

    Olszewski, P; Li, J F; Liu, D X; Walsh, J L

    2014-08-30

    The impact of pulse-modulated generation of atmospheric pressure plasma on the efficiency of organic dye degradation has been investigated. Aqueous samples of methyl orange were exposed to low temperature air plasma and the degradation efficiency was determined by absorbance spectroscopy. The plasma was driven at a constant frequency of 35kHz with a duty cycle of 25%, 50%, 75% and 100%. Relative concentrations of dissolved nitrogen oxides, pH, conductivity and the time evolution of gas phase ozone were measured to identify key parameters responsible for the changes observed in degradation efficiency. The results indicate that pulse modulation significantly improved dye degradation efficiency, with a plasma pulsed at 25% duty showing a two-fold enhancement. Additionally, pulse modulation led to a reduction in the amount of nitrate contamination added to the solution by the plasma. The results clearly demonstrate that optimization of the electrical excitation of the plasma can enhance both degradation efficiency and the final water quality. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. The ASDEX Upgrade Parameter Server

    Energy Technology Data Exchange (ETDEWEB)

    Neu, Gregor, E-mail: gregor.neu@ipp.mpg.de [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); Cole, Richard [Unlimited Computer Systems, Seeshaupter Str. 15, 82393 Iffeldorf (Germany); Gräter, Alex [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); Lüddecke, Klaus [Unlimited Computer Systems, Seeshaupter Str. 15, 82393 Iffeldorf (Germany); Rapson, Christopher J.; Raupp, Gerhard; Treutterer, Wolfgang; Zasche, Dietrich; Zehetbauer, Thomas [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany)

    2015-10-15

    Highlights: • We describe our main tool in the plasma control configuration process. • Parameter access and computation are configurable with XML files. • Simple implementation of in situ tests by rerouting requests to test data. • Pulse specific overriding of parameters. - Abstract: Concepts for the configuration of plant systems and plasma control of modern devices such as ITER and W7-X are based on global data structures, or “pulse schedules” or “experiment programs”, which specify all physics characteristics (waveforms for controlled actuators and plasma quantities) and all technical characteristics of the plant systems (diagnostics and actuators operation settings) for a planned pulse. At ASDEX Upgrade we use different approach. We observed that the physics characteristics driving the discharge control system (DCS) are frequently modified on a pulse-to-pulse basis. Plant system operation, however, relies on technical standard settings, or “basic configurations” to provide guaranteed resources or services, which evolve according to longer term session or campaign operation schedules. This is why AUG manages technical configuration items separately from physics items. Consistent computation of the DCS configuration requires access to all this physics and technical data, which include the discharge programme (DP), settings of actuator systems and real-time diagnostics, the current system state and a database of static parameters. A Parameter Server provides a unified view on all these parameter sets and acts as the central point of access. We describe the functionality and architecture of the Parameter Server and its embedding into the control environment.

  19. Electrical Processes in a Flowing Plasma with Cold Electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Distefano, E.; Fraidenraich, N. [Facultad de Ciencias Fisicas y Matematicas, University of Chile, Santiago (Chile)

    1968-11-15

    The voltage-current characteristics of a flowing plasma between two electrodes is of interest for MHD power generation because of the high voltage drop necessary to make a current flow through the cool boundary layer of the plasma, lowering the efficiency of the MHD generator when the duct walls are cooled. The V-I characteristics are obtained for a combustion driven shock-tube generated plasma, and the voltage distribution is measured by probes inserted across the plasma. The gas used is argon and the plasma parameters are: T = 9000 Degree-Sign K, p = 130 mmHg, u = 2500 m/sec, n{sub e} = 1.60 x 10{sup 15} cm{sup -3}. The probe technique has allowed experimental confirmation of the high voltage drop obtained in the vicinity of the cathode. A theoretical model has been set up in order to explain the main features of this phenomenon. The model considers the voltage drop along the following regions: the turbulent boundary layer and the viscous sublayer. The structure of the first two regions are taken into account according to the Coles transformation theory. The model considers three fluids, ions, electrons and neutrals: the mass and momentum particle conservation together with the Poisson equation and continuity of electric current allows us to set up a system of four differential equations with four unknowns. Pair production is taken into account in order to explain the necessary change over from electron current in the main body of the plasma to the predominantly ionic current in the neighbourhood of the cathode wall. Numerical computation of the system of equations has been done and the main features of the experimental results are explained. (author)

  20. Erosion of marker coatings exposed to Pilot-PSI plasma

    NARCIS (Netherlands)

    Paris, P.; Hakola, A.; Bystrov, K.; De Temmerman, G.; Aints, M.; I. Jõgi,; Kiisk, M.; Kozlova, J.; Laan, M.; Likonen, J.; Lissovski, A.

    2013-01-01

    In this article, laser induced breakdown spectroscopy (LIBS) has been used to study plasma-induced erosion processes. Samples with ITER-relevant coatings were exposed to controlled plasma fluxes whose parameters were characteristic to those occurring in the reactor walls. After the experiments,

  1. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  2. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  3. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  4. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  5. Effects of magnesium on erythrocyte sodium-lithium countertransport and some of plasma biochemical parameters in rabbit

    Directory of Open Access Journals (Sweden)

    Samad Akbarzadeh

    2009-02-01

    Full Text Available Background: Magnesium acts as an essential cofactor for the activity of many enzymes. It regulates the work of cardiovascular system. The activity of sodium–lithium countertransport (SLC and the concentrations of plasma biochemical parameters such as VLDL, LDL-cholesterol, HDL-cholesterol, sodium, potassium, urea and creatinine are changed in cardiovascular diseases. The aim of this study was to determine the effects of magnesium on SLC activity and some of the plasma biochemical parameters. Methods: New Zealand white rabbits (weighed 1350 ± 50g were chosen for these experiments. This study was conducted through two in vitro and in vivo techniques. Through in vitro method, the effects of different concentrations of magnesium on SLC activity were investigated. In order to conduct in vivo method, the rabbits were divided into two groups (5 /group. One group was treated by MgSo4 (40 mg/kg body weight through peritoneum for two weeks. For the second group, deionized water was used. The activity of SLC and mentioned biochemical parameters were determined. Results: The results of both in vitro and in vivo studies showed that magnesium can significantly decrease the SLC activity and also causes an increase in Km and decreased Vmax/Km of the system and plasma concentrations of VLDL, LDL-cholesterol, total cholesterol and triglycerides were significantly decreased. Conclusion: Magnesium may cause a reduction in blood pressure through decreasing the SLC activity and affecting the concentrations of VLDL, LDL-cholesterol, total cholesterol and triglycerides and so improvement the cardiovascular diseases.

  6. Analyses of plasma parameter profiles in JT-60U

    Energy Technology Data Exchange (ETDEWEB)

    Shirai, Hiroshi; Shimizu, Katsuhiro; Hayashi, Nobuhiko [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment; Itakura, Hirofumi; Takase, Keizou [CSK Co. Ltd., Tokyo (Japan)

    2001-01-01

    The methods how diagnostics data are treated as the surface quantity of magnetic surface and processed to the profile data in the JT-60U plasmas are summarized. The MHD equilibrium obtained by solving Grad-Shafranov equation on the MHD equilibrium calculation and registration software FBEQU are saved shot by shot as a database. Various experimental plasma data measured at various geometrical positions on JT-60 are mapped onto the MHD equilibrium and treated as functions of the volume averaged minor radius {rho} on the experimental data time slice monitoring software SLICE. Experimental data are integrated and edited on SLICE. The experimental data measured as the line integral values are transformed by Able inversion. The mapped data are fitted to a functional form and saved to the profile database MAP-DB. SLICE can also read data from MAP-DB and redisplay and transform them. In addition, SLICE can generate the profile data TOKRD as run data for orbit following Monte-Carlo (OFMC) code, analyzer for current drive consistent with MHD equilibrium (ACCOME) code and tokamak predictive and interpretive code system (TOPICS). (author)

  7. Analyses of plasma parameter profiles in JT-60U

    International Nuclear Information System (INIS)

    Shirai, Hiroshi; Shimizu, Katsuhiro; Hayashi, Nobuhiko

    2001-01-01

    The methods how diagnostics data are treated as the surface quantity of magnetic surface and processed to the profile data in the JT-60U plasmas are summarized. The MHD equilibrium obtained by solving Grad-Shafranov equation on the MHD equilibrium calculation and registration software FBEQU are saved shot by shot as a database. Various experimental plasma data measured at various geometrical positions on JT-60 are mapped onto the MHD equilibrium and treated as functions of the volume averaged minor radius ρ on the experimental data time slice monitoring software SLICE. Experimental data are integrated and edited on SLICE. The experimental data measured as the line integral values are transformed by Able inversion. The mapped data are fitted to a functional form and saved to the profile database MAP-DB. SLICE can also read data from MAP-DB and redisplay and transform them. In addition, SLICE can generate the profile data TOKRD as run data for orbit following Monte-Carlo (OFMC) code, analyzer for current drive consistent with MHD equilibrium (ACCOME) code and tokamak predictive and interpretive code system (TOPICS). (author)

  8. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  9. Numerical simulation of edge plasma in tokamak

    International Nuclear Information System (INIS)

    Chen Yiping; Qiu Lijian

    1996-02-01

    The transport process and transport property of plasma in edge layer of Tokamak are simulated by solving numerically two-dimensional and multi-fluid plasma transport equations using suitable simulation code. The simulation results can show plasma parameter distribution characteristics in the area of edge layer, especially the characteristics near the first wall and divertor target plate. The simulation results play an important role in the design of divertor and first wall of Tokamak. (2 figs)

  10. Towards automatic parameter tuning of stream processing systems

    KAUST Repository

    Bilal, Muhammad; Canini, Marco

    2017-01-01

    for automating parameter tuning for stream-processing systems. Our framework supports standard black-box optimization algorithms as well as a novel gray-box optimization algorithm. We demonstrate the multiple benefits of automated parameter tuning in optimizing

  11. Gas and plasma dynamics of RF discharge jet of low pressure in a vacuum chamber with flat electrodes and inside tube, influence of RF discharge on the steel surface parameters

    Science.gov (United States)

    Khristoliubova, V. I.; Kashapov, N. F.; Shaekhov, M. F.

    2016-06-01

    Researches results of the characteristics of the RF discharge jet of low pressure and the discharge influence on the surface modification of high speed and structural steels are introduced in the article. Gas dynamics, power and energy parameters of the RF low pressure discharge flow in the discharge chamber and the electrode gap are studied in the presence of the materials. Plasma flow rate, discharge power, the concentration of electrons, the density of RF power, the ion current density, and the energy of the ions bombarding the surface materials are considered for the definition of basic properties crucial for the process of surface modification of materials as they were put in the plasma jet. The influence of the workpiece and effect of products complex configuration on the RF discharge jet of low pressure is defined. The correlation of the input parameters of the plasma unit on the characteristics of the discharge is established.

  12. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  13. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  14. Low pressure plasma spray deposition of W-Ni-Fe alloy

    International Nuclear Information System (INIS)

    Mutasim, Z.Z.; Smith, R.W.

    1991-01-01

    The production of net shape refractory metal structural preforms are increasing in importance in chemical processing, defense and aerospace applications. Conventional methods become limited for refractory metal processing due to the high melting temperatures and fabrication difficulties. Plasma spray forming, a high temperature process, has been shown to be capable of refractory metal powder consolidation in net shape products. The research reported here has evaluated this method for the deposition of heavy tungsten alloys. Plasma Melted Rapidly Solidified (PMRS) W 8%Ni-2%Fe refractory metal powders were spray formed using vacuum plasma spray (VPS) process and produced 99% dense, fine grain and homogeneous microstructures. In this paper plasma operating parameters (plasma arc gas type and flowrate plasma gun nozzle size and spray distance) were studied and their effects on deposit's density and microstructure are reported

  15. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  16. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  17. High Throughput Plasma Water Treatment

    Science.gov (United States)

    Mujovic, Selman; Foster, John

    2016-10-01

    The troublesome emergence of new classes of micro-pollutants, such as pharmaceuticals and endocrine disruptors, poses challenges for conventional water treatment systems. In an effort to address these contaminants and to support water reuse in drought stricken regions, new technologies must be introduced. The interaction of water with plasma rapidly mineralizes organics by inducing advanced oxidation in addition to other chemical, physical and radiative processes. The primary barrier to the implementation of plasma-based water treatment is process volume scale up. In this work, we investigate a potentially scalable, high throughput plasma water reactor that utilizes a packed bed dielectric barrier-like geometry to maximize the plasma-water interface. Here, the water serves as the dielectric medium. High-speed imaging and emission spectroscopy are used to characterize the reactor discharges. Changes in methylene blue concentration and basic water parameters are mapped as a function of plasma treatment time. Experimental results are compared to electrostatic and plasma chemistry computations, which will provide insight into the reactor's operation so that efficiency can be assessed. Supported by NSF (CBET 1336375).

  18. Impact of gas puffing location on density control and plasma parameters in TJ-II

    International Nuclear Information System (INIS)

    Tabares, F.L.; Garcia-Cortes, I.; Estrada, T.; Tafalla, D.; Hidalgo, A.; Ferreira, J.A.; Pastor, I.; Herranz, J.; Ascasibar, E.

    2005-01-01

    Under pure Electron Cyclotron Resonance Heating (ECRH) conditions in TJ-II plasmas (P<300 kW, 53.2 GHz, 2nd harmonic X-mode, power density < 25 W/m''3), plasma start-up and good density control are obtained only by the proper combination of wall conditions and gas puffing characteristics. Such a control is particularly critical for the optimisation of the NBI power transfer to the target plasmas. The relatively low cut-off limit is easily reached due not only to the unfavourable wall/puffing-fuelling ratio but also due to the steep density profiles developed during the Enhanced Particle Confinement (EPC) modes. These modes are triggered by the gas puffing waveform, and they cannot be achieved for high iota magnetic configurations in TJ-II. Comparative experiments under metallic and boronised wall conditions have shown that the sensitivity of the EPC modes to the puffing rate is at least partially related to the energy balance at the plasma periphery under central heating scenarios. In this work, the impact of gas-fuelling location on the plasma parameters and density control is described. For that purpose, three different fuelling locations have been investigated; broad distribution from a side ports, localized injection from long tubes at different poloidal positions and highly localized injection through a movable limiter. Edge density and temperature profiles from a broad set of diagnostics (atomic beams, reflectometry, Thompson Scattering ECE, etc...) are analysed and compared. It has been found that preventing from transition to the EPC mode is achieved by using slow puffing rates, while neutral penetration into the plasma core can be enhanced for highly localized gas sources. Wall inventory, however, has been found to pl ay a dominant role in the fuelling of the plasma under most conditions. (author)

  19. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  20. Development of innovative thermal plasma and particle diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Bachmann, Benjamin-Leon

    2013-09-24

    Three original plasma diagnostic systems have been developed to investigate transient three-dimensional plasma processes with high spatial and temporal resolution. The developed diagnostics have been analyzed and tested by increasing the complexity from a stationary free burning Argon arc to a dc pulsed process and finally to a transient gas metal arc including droplet transfer through the plasma. The transient plasma parameters that have been determined include three-dimensional axially symmetric plasma densities (n{sub e}, n{sub A}, n{sub A+}, n{sub A++}), electron temperatures (T{sub e}), electrical conductivities (σ{sub el}), magnetic flux densities (B) and current densities (j{sub el}). In the case of a droplet transfer through an arc consisting of an Iron/Argon plasma, the droplet density, surface tension, viscosity, and temperature have been determined.

  1. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  2. Temporal evolution of the spectral lines emission and temperatures in laser induced plasmas through characteristic parameters

    Energy Technology Data Exchange (ETDEWEB)

    Bredice, F., E-mail: faustob@ciop.unlp.edu.ar [Centro de Investigaciones Ópticas, P.O. Box 3 C. P.1897 Gonnet, La Plata (Argentina); Pacheco Martinez, P. [Grupo de Espectroscopía Óptica de Emisión y Láser, Universidad del Atlántico, Barranquilla (Colombia); Sánchez-Aké, C.; Villagrán-Muniz, M. [Laboratorio de Fotofísica, Centro de Ciencias Aplicadas y Desarrollo Tecnológico, Universidad Nacional Autónoma de México, Apartado Postal 70-186, México D.F. 04510 (Mexico)

    2015-05-01

    In this work, we propose an extended Boltzmann plot method to determine the usefulness of spectral lines for plasma parameter calculations. Based on the assumption that transient plasmas are under ideal conditions during an specific interval of time Δt, (i.e. thin, homogeneous and in local thermodynamic equilibrium (LTE)), the associated Boltzmann plots describe a surface in the space defined by the coordinates X = Energy, Y = Time and Z = ln (λ{sub jl}I{sub j}/g{sub j}A{sub jl}), where I{sub j} is the integrated intensity of the spectral line, g{sub j} is the statistical weight of the level j, λ{sub jl} is the wavelength of the considered line and A{sub jl} is its transition rate. In order to express the Boltzmann plot surface in terms of a reduced set of constants B{sub i}, and δ{sub i}, we developed as a power series of time, the logarithm of I{sub n}(t)/I{sub n}(t{sub 0}), where I{sub n}(t) is the integrated intensity of any spectral line at time t, and I{sub n}(t{sub 0}) at initial time. Moreover, the temporal evolution of the intensity of any spectral line and consequently the temperature of the plasma can be also expressed with these constants. The comparison of the temporal evolution of the line intensity calculated using these constants with their experimental values, can be used as a criterion for selecting useful lines in plasma analysis. Furthermore, this method can also be applied to determine self-absorption or enhancement of the spectral lines, to evaluate a possible departure of LTE, and to check or estimate the upper level energy value of any spectral line. An advantage of this method is that the value of these constants does not depend on the spectral response of the detection system, the uncertainty of the transition rates belonging to the analyzed spectral lines or any other time-independent parameters. In order to prove our method, we determined the constants B{sub i} and δ{sub i} and therefore the Boltzmann plot surface from the temporal

  3. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  4. Affect of different ICT processing parameters to the quality of tomograms

    International Nuclear Information System (INIS)

    Zhou Jiang; Sun Lingxia; Ye Yunchang

    2009-01-01

    The quality of ICT tomograms is affected by detecting processing parameters and image processing methods besides the performances of ICT systems. Optimal processing parameters and image processing methods can promote not only the quality of tomogram but also the resolution. Some research work was carried out about processing parameters and image processing methods including choice of collimator, filter, false color composite image. And some examples were given in this paper, which can provide the ICT analyst with reference. (authors)

  5. A study of evolution/suppression parameters of equatorial postsunset plasma instability

    Directory of Open Access Journals (Sweden)

    O. S. Oyekola

    2009-01-01

    Full Text Available Evening equatorial pre-reversal vertical ion E×B drift (VZP and the peak of the ionospheric F2 maximum altitude (hmF2P of the postsunset equatorial F-layer, which are the essential parameters requisite for the generation or inhibition of postsunset bottomside equatorial irregularities were deduced from ionosonde observations made in the Africa region (Ouagadougou: ~3° N dip latitude between January 1987 and December 1990 for solar activity minimum, medium, and maxima (F10.7=85, 141, 214, and 190, respectively for quiet geomagnetic conditions. We investigate variations of evening equatorial pre-reversal drift and the corresponding altitude at four levels of solar activity. Our observations show strong variations with solar variability. Correlation analysis between these parameters indicates that the correlation coefficient value between hmF2P versus VZP decreases considerably with increasing solar flux value. There seems to be no significant link between these parameters under high solar activity, especially for solar intensity F10.7>200 units. We conclude that meridional neutral wind in the F-region contributes substantially to the variations of the pre-reversal vertical plasma drifts enhancement and the peak hmF2, particularly the electrodynamics during twilight high solar flux conditions.

  6. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  7. Plasma under control: Advanced solutions and perspectives for plasma flux management in material treatment and nanosynthesis

    Science.gov (United States)

    Baranov, O.; Bazaka, K.; Kersten, H.; Keidar, M.; Cvelbar, U.; Xu, S.; Levchenko, I.

    2017-12-01

    Given the vast number of strategies used to control the behavior of laboratory and industrially relevant plasmas for material processing and other state-of-the-art applications, a potential user may find themselves overwhelmed with the diversity of physical configurations used to generate and control plasmas. Apparently, a need for clearly defined, physics-based classification of the presently available spectrum of plasma technologies is pressing, and the critically summary of the individual advantages, unique benefits, and challenges against key application criteria is a vital prerequisite for the further progress. To facilitate selection of the technological solutions that provide the best match to the needs of the end user, this work systematically explores plasma setups, focusing on the most significant family of the processes—control of plasma fluxes—which determine the distribution and delivery of mass and energy to the surfaces of materials being processed and synthesized. A novel classification based on the incorporation of substrates into plasma-generating circuitry is also proposed and illustrated by its application to a wide variety of plasma reactors, where the effect of substrate incorporation on the plasma fluxes is emphasized. With the key process and material parameters, such as growth and modification rates, phase transitions, crystallinity, density of lattice defects, and others being linked to plasma and energy fluxes, this review offers direction to physicists, engineers, and materials scientists engaged in the design and development of instrumentation for plasma processing and diagnostics, where the selection of the correct tools is critical for the advancement of emerging and high-performance applications.

  8. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  9. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  10. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  11. Study of plasma parameters influencing fractionation in laser ablation-inductively coupled plasma-mass spectrometry

    Science.gov (United States)

    Gäckle, M.; Merten, D.

    2010-12-01

    Methods permitting to test the influence of the matrix as well as of its local and temporal distribution on the plasma conditions in laser ablation-inductively coupled plasma mass spectrometry (LA-ICP-MS) are developed. For this purpose, the MS interface is used as plasma probe allowing to investigate the average plasma condition within the ICP zone observed in terms of temporal and spatial distribution of the matrix. Inserted matrix particles, particularly when being atomized and ionized, can cause considerable changes in both electron density and plasma temperature thus influencing the ionization equilibrium of the individual analytes. In this context, the plasma probe covers a region of the plasma for which no local thermodynamic equilibrium can be assumed. The differences in temperature, identified within the region of the plasma observed, amounted up to 3000 K. While in the central region conditions were detected that would not allow efficient atomization and ionization of the matrix, these conditions improve considerably towards the margin of the area observed. Depending on the nature as well as on the temporally and locally variable density of the matrix, this can lead to varying intensity ratios of the analytes and explain fractionation effects. By means of a derived equation it is shown that the deviation of the intensity ratio from the concentration ratio turns out to be more serious the higher the difference of the ionization potential of the analytes observed, the lower the plasma temperature and the higher the matrix concentration within the area observed.

  12. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  13. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  14. The impact of BMI on sperm parameters and the metabolite changes of seminal plasma concomitantly.

    Science.gov (United States)

    Guo, Dan; Wu, Wei; Tang, Qiuqin; Qiao, Shanlei; Chen, Yiqiu; Chen, Minjian; Teng, Mengying; Lu, Chuncheng; Ding, Hongjuan; Xia, Yankai; Hu, Lingqing; Chen, Daozhen; Sha, Jiahao; Wang, Xinru

    2017-07-25

    The development of male infertility increased rapidly worldwide, which coinciding with the epidemic of obesity. However, the impact of weight abnormalities on sperm quality is still contestable. To assess the correlation between BMI and sperm parameters, we searched relevant articles in PubMed, Embase, Web of science, and Wanfang database published until June 2015 without language restriction. Otherwise, we also recruited some participants who attended fertility clinic as well as some general populations in this report. We performed a systematic review and meta-analysis about BMI and sperm parameters containing total sperm count, concentration, semen volume and sperm motility (overall and progressive). Metabolomic analysis of seminal plasma was performed to explore the mechanism from a new perspective. This study found standardized weighted mean differences (SMD) in sperm parameters (total sperm count, sperm concentration, and semen volume) of abnormal weight groups decreased to different degree compared to normal weight. Dose-response analysis found SMD of sperm count, sperm concentration and semen volume respectively fell 2.4%, 1.3% and 2.0% compared with normal weight for every 5-unit increase in BMI. Metabolomic analysis of seminal plasma showed that spermidine and spermine were likely to play a vital role in the spermatogenesis progress. This systematic review with meta-analysis has confirmed there was a relationship between BMI and sperm quality, suggesting obesity may be a detrimental factor of male infertility.

  15. Choice of the parameters of the cusum algorithms for parameter estimation in the markov modulated poisson process

    OpenAIRE

    Burkatovskaya, Yuliya Borisovna; Kabanova, T.; Khaustov, Pavel Aleksandrovich

    2016-01-01

    CUSUM algorithm for controlling chain state switching in the Markov modulated Poissonprocess was investigated via simulation. Recommendations concerning the parameter choice were givensubject to characteristics of the process. Procedure of the process parameter estimation was described.

  16. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  17. A complex probe for tokamak plasma edge conditions

    International Nuclear Information System (INIS)

    Castro, R.M. de; Silva, R.P. da; Heller, M.V.A.P.; Caldas, I.L.; Nascimento, I.C.; Degasperi, F.T.

    1995-01-01

    The study of the physical processes that occur in the plasma edge of tokamak machines has recently grown due to the evidence that these processes influence those that occur in the center of the plasma column. Experimental studies show the existence of a strong level of fluctuations in the plasma edge. The results of these studies indicate that these fluctuations enhance particle and energy transport and degrade the confinement. In order to investigate these processes in the plasma edge of the TBR-1 Tokamak, a Langmuir probe array, a triple and a set of magnetic probes have been designed and constructed. With this set probes the mean and fluctuation values of the magnetic field were detected and correlated with the fluctuating parameters obtained with the electrostatic probes. (author). 7 refs., 5 figs

  18. Analytical investigation on domain of decentered parameter for self-focusing of Hermite-cosh-Gaussian laser beam in collisional plasma

    Science.gov (United States)

    Valkunde, Amol T.; Patil, Sandip D.; Vhanmore, Bandopant D.; Urunkar, Trupti U.; Gavade, Kusum M.; Takale, Mansing V.; Fulari, Vijay J.

    2018-03-01

    In the present paper, an analytically investigated domain of decentered parameter and its effect on the self-focusing of Hermit-cosh-Gaussian (HChG) laser beams in a collisional plasma have been studied theoretically. The nonlinearity in the dielectric constant of plasma arising due to the nonuniform heating of carriers along the wavefront of the laser beam has been employed in the present investigation. The nonlinear differential equation of beam width parameter for various laser modes of HChG beam is obtained by following the standard Akhamanov's parabolic equation approach under Wentzel-Kramers-Brillouin and paraxial approximations. The analytical treatment has enabled us to define three distinct regions: self-focusing, self-trapping and defocusing, which are presented graphically.

  19. Raw material changes and their processing parameters in an extrusion cooking process

    DEFF Research Database (Denmark)

    Cheng, Hongyuan; Friis, Alan

    In this work, the effects of raw material and process parameters on product expansion in a fish feed extrusion process were investigated. Four different recipes were studied with a pilot-scale twin-screw co-rotating extruder according to a set of pre-defined processing conditions. In the four rec...

  20. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  1. Development of procedure using plasma welding process to produce {sup 125}I seeds; Desenvolvimento de procedimento utilizando processo de soldagem plasma para confeccao de sementes de {sup 125}I

    Energy Technology Data Exchange (ETDEWEB)

    Feher, Anselmo

    2006-07-01

    The prostate cancer, which is the second cause of death by cancer in men, overcome only by lung cancer, is a problem of public health in Brazil. Brachytherapy is among the possible available treatments for prostate cancer, in which small seeds containing {sup 125}I radioisotope are implanted in the prostate. The seed consists of a titanium sealed capsule with 0.8 mm external diameter and 4.5 mm length, containing a central silver wire with adsorbed {sup 125}I. The plasma arc welding is one of the viable techniques for the sealing process. The equipment used in this technique is less costly than in other processes. The main objective of this work was the development and the validation of the welding procedure using plasma welding process and the elaboration of a sealing routine according to Good Manufacturing Practices. The development of this work has presented the following phases: cut and cleaning of the titanium material, determination of the welding parameters, development of a device for holding the titanium tube during the welding process, validation of sealed sources according to ISO 2919 Sealed Radioactive Sources - General Requirements and Classification, leakage test according to ISO 9978 Sealed Radioactive Sources - Leakage Test Methods and metallographic assays. The developed procedure, to seal {sup 125}I seeds using plasma welding process, has shown to be efficient, satisfying all the established requirements of ISO 2919. The results obtained in this work have given the possibility to establish a routine production process according to the orientations presented in resolution RDC number 59 - Good Manufacturing Practices do Medical Products of the ANVISA - Brazilian Nacional Agency of Sanitary Surveillance. (author)

  2. Reflow Process Parameters Analysis and Reliability Prediction Considering Multiple Characteristic Values

    Directory of Open Access Journals (Sweden)

    Guo Yu

    2016-01-01

    Full Text Available As a major step surface mount technology, reflow process is the key factor affecting the quality of the final product. The setting parameters and characteristic value of temperature curve shows a nonlinear relationship. So parameter impacts on characteristic values are analyzed and the parameters adjustment process based on orthogonal experiment is proposed in the paper. First, setting parameters are determined and the orthogonal test is designed according to production conditions. Then each characteristic value for temperature profile is calculated. Further, multi-index orthogonal experiment is analyzed for acquiring the setting parameters which impacts the PCBA product quality greater. Finally, reliability prediction is carried out considering the main influencing parameters for providing a theoretical basis of parameters adjustment and product quality evaluation in engineering process.

  3. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  4. Wall conditioning of the TBR-1 Tokamak by plasma generated by microwaves

    International Nuclear Information System (INIS)

    Elizondo, J.I.

    1986-01-01

    A new system of vaccum chamber wall conditioning in the TBR-1 Tokamak, using electron cyclotron resonance plasma of hydrogen for the discharge cleaning process is presented. The construction and performance of equipments are described, and the cleaning process to otimize the conditioning efficiency by chase of plasma parameters. (author) [pt

  5. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  7. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  8. Plasma sprayed alumina-titania coatings

    International Nuclear Information System (INIS)

    Steeper, T.J.; Rotolico, A.J.; Nerz, J.E.; Riggs, W.L. II; Varacalle, D.J. Jr.; Wilson, G.C.

    1992-01-01

    This paper presents an experimental study of the air plasma spraying (APS) of alumina-titania powder using argon-hydrogen working gases. This powder system is being used in the fabrication of heater tubes that emulate nuclear fuel tubes for use in thermal-hydraulic testing. Experiments were conducted using a Taguchi fractional-factorial design parametric study. Operating parameters were varied around the typical spray parameters in a systematic design of experiments in order to display the range of plasma processing conditions and their effect on the resultant coatings. The coatings were characterized by hardness and electrical tests, surface profilometry, image analysis, optical metallography, and x-ray diffraction. Coating qualities are discussed with respect to dielectric strength, hardness, porosity, surface roughness, deposition efficiency, and microstructure. attempts are made to correlate the features of the coatings with the changes in operating parameters

  9. Nonequilibrium phenomena and determination of plasma parameters in the hot core of the cathode region in free-burning arc discharges

    International Nuclear Information System (INIS)

    Kuehn, Gerrit; Kock, Manfred

    2007-01-01

    We present spectroscopic measurements of plasma parameters (electron density n e , electron temperature T e , gas temperature T g , underpopulation factor b) in the hot-core region in front of the cathode of a low-current, free-burning arc discharge in argon under atmospheric pressure. The discharge is operated in the hot-core mode, creating a hot cathode region with plasma parameters similar to high-current arcs in spite of the fact that we use comparatively low currents (less than 20 A). We use continuum emission and (optically thin) line emission to determine n e and T e . We apply relaxation measurements based on a power-interruption technique to investigate deviations from local thermodynamic equilibrium (LTE). These measurements let us determine the gas temperature T g . All measurements are performed side-on with charge-coupled-device cameras as detectors, so that all measured plasma parameters are spatially resolved after an Abel inversion. This yields the first ever spatially resolved observation of the non-LTE phenomena of the hot core in the near-cathode region of free-burning arcs. The results only partly coincide with previously published predictions and measurements in the literature

  10. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  11. Spectroscopic diagnostics of industrial plasmas

    International Nuclear Information System (INIS)

    Joshi, N.K.

    2004-01-01

    Plasmas play key role in modern industry and are being used for processing micro electronic circuits to the destruction of toxic waste. Characterization of industrial plasmas which includes both 'thermal plasmas' and non-equilibrium plasmas or 'cold plasmas' in industrial environment offers quite a challenge. Numerous diagnostic techniques have been developed for the measurement of these partially ionized plasma and/or particulate parameters. The 'simple' non-invasive spectroscopic methods for characterization of industrial plasmas will be discussed in detail in this paper. The excitation temperature in thermal (DC/RF) plasma jets has been determined using atomic Boltzmann technique. The central axis temperature of thermal plasma jets in a spray torch can be determined using modified atomic Boltzmann technique with out using Abel inversion. The Stark broadening of H β and Ar-I (430 nm) lines have been used to determine the electron number density in thermal plasma jets. In low-pressure non-equilibrium argon plasma, electron temperature has been measured using the Corona model from the ratio of line intensities of atomic and ionic transitions. (author)

  12. Relationship between process parameters and properties of multifunctional needlepunched geotextiles

    CSIR Research Space (South Africa)

    Rawal, A

    2006-04-01

    Full Text Available , and filtration. In this study, the effect of process parameters, namely, feed rate, stroke frequency, and depth of needle penetration has been investigated on various properties of needlepunched geotextiles. These process parameters are then empirically related...

  13. Effect of Mucuna pruriens on semen profile and biochemical parameters in seminal plasma of infertile men.

    Science.gov (United States)

    Ahmad, Mohammad Kaleem; Mahdi, Abbas Ali; Shukla, Kamla Kant; Islam, Najmul; Jaiswar, Shyam Pyari; Ahmad, Sohail

    2008-09-01

    To investigate the impact of Mucuna pruriens seeds on semen profiles and biochemical levels in seminal plasma of infertile men. Prospective study. Departments of Biochemistry and Obstetrics and Gynecology, King George's Medical University, Lucknow, India. Sixty normal healthy fertile men (controls) and 60 men undergoing infertility screening. High-performance liquid chromatography assay procedure for quantitation of vitamin A and E in seminal plasma. Biochemical parameters in seminal plasma, namely lipids, fructose, and vitamin C, were estimated by standard spectrophotometric procedures. Before and after the treatment, seminal plasma lipid profile, lipid peroxide, fructose, and antioxidant vitamin levels were measured. Treatment with M. pruriens significantly inhibited lipid peroxidation, elevated spermatogenesis, and improved sperm motility. Treatment also recovered the levels of total lipids, triglycerides, cholesterol, phospholipids, and vitamin A, C, and E and corrected fructose in seminal plasma of infertile men. Treatment with M. pruriens increased sperm concentration and motility in all the infertile study groups. Oligozoospermic patients recovered sperm concentration significantly, but sperm motility was not restored to normal levels in asthenozoospermic men. Furthermore, in the seminal plasma of all the infertile groups, the levels of lipids, antioxidant vitamins, and corrected fructose were recovered after a decrease in lipid peroxides after treatment. The present study is likely to open new vistas on the possible role of M. pruriens seed powder as a restorative and invigorating agent for infertile men.

  14. Induced Current Characteristics Due to Laser Induced Plasma and Its Application to Laser Processing Monitoring

    International Nuclear Information System (INIS)

    Madjid, Syahrun Nur; Idris, Nasrullah; Kurniawan, Koo Hendrik; Kagawa, Kiichiro

    2011-01-01

    In laser processing, suitable conditions for laser and gas play important role in ensuring a high quality of processing. To determine suitable conditions, we employed the electromagnetic phenomena associated with laser plasma generation. An electrode circuit was utilised to detect induced current due to the fast electrons propelled from the material during laser material processing. The characteristics of induced current were examined by changing parameters such as supplied voltage, laser pulse energy, number of laser shots, and type of ambient gas. These characteristics were compared with the optical emission characteristics. It was shown that the induced current technique proposed in this study is much more sensitive than the optical method in monitoring laser processing, that is to determine the precise focusing condition, and to accurately determine the moment of completion of laser beam penetration. In this study it was also shown that the induced current technique induced by CW CO 2 laser can be applied in industrial material processing for monitoring the penetration completion in a stainless steel plate drilling process.

  15. Laser plasma simulations of the generation processes of Alfven and collisionless shock waves in space plasma

    International Nuclear Information System (INIS)

    Prokopov, P A; Zakharov, Yu P; Tishchenko, V N; Shaikhislamov, I F; Boyarintsev, E L; Melekhov, A V; Ponomarenko, A G; Posukh, V G; Terekhin, V A

    2016-01-01

    Generation of Alfven waves propagating along external magnetic field B 0 and Collisionless Shock Waves propagating across B 0 are studied in experiments with laser- produced plasma and magnetized background plasma. The collisionless interaction of interpenetrating plasma flows takes place through a so-called Magnetic Laminar Mechanism (MLM) or Larmor Coupling. At the edge of diamagnetic cavity LP-ions produce induction electric field E φ which accelerates BP-ions while LP-ions rotate in opposite direction. The ions movement generates sheared azimuthal magnetic field B φ which could launches torsional Alfven wave. In previous experiments at KI-1 large scale facility a generation of strong perturbations propagating across B 0 with magnetosonic speed has been studied at a moderate value of interaction parameter δ∼0.3. In the present work we report on experiments at conditions of 5∼R2 and large Alfven-Mach number M A ∼10 in which strong transverse perturbations traveling at a scale of ∼1 m in background plasma at a density of ∼3*10 13 cm -3 is observed. At the same conditions but smaller M A ∼ 2 a generation, the structure and dynamic of Alfven wave with wavelength ∼0.5 m propagating along fields B 0 ∼100÷500 G for a distance of ∼2.5 m is studied. (paper)

  16. Atmospheric Plasma Blade for Surgical Purposes

    Science.gov (United States)

    Oksuz, Lutfi; Yurdabak Karaca, Gozde; Özkaptan, Emir; Uygun, Emre; Uygun Oksuz, Aysegul

    2017-10-01

    Atmospheric plasma cut is a process at the minimum level due to the ions, radicals and free electrons generated by the active electrode and target tissue. Atmospheric plasma cutting devices provide significant advantages as a non-contact electrocautery system that can operate in isotonic environment. During operations where plasma cutting is applied, bleeding is controlled and the side effects that would create the isotonic environment are eliminated. In this study in vivo and in vitro studies will be carried out by producing and optimizing the atmospheric plasma blade. Once the optimum parameters of the instrument are determined, in vivo studies will be performed and the pathology results will be evaluated.

  17. Influence of processing parameters on the characteristics of surface layers of low temperature plasma nitrocarburized AISI 630 martensitic stainless steel

    Science.gov (United States)

    Lee, Insup

    2017-11-01

    Plasma nitrocarburizing was performed on solution-treated AISI 630 martensitic precipitation hardening stainless steel samples with a gas mixture of H2, N2, and CH4 with changing temperature, discharge voltage and amount of CH4. When nitrocarburized with increasing temperature from 380 °C to 430 °C at fixed 25% N2 and 6% CH4, the thickness of expanded martensite (α'N) layer and surface hardness increased up to 10 μm and 1323 HV0.05, respectively but the corrosion resistance decreased. Though the increase of discharge voltage from 400 V to 600 V increased α'N layer thickness and surface hardness (up to 13 μm and 1491 HV0.05, respectively), the treated samples still showed very poor corrosion behavior. Thus, to further improve the corrosion resistance, the influence of variation of the amount of CH4 in the nitrocarburizing process was investigated. Increasing the CH4 percentage aided higher corrosion resistance, although it decreased the α'N layer thickness. The most appropriate conditions for moderate α'N layer thickness, high surface hardness and better corrosion resistance than the solution-treated bare sample were established, which is plasma nitrocarburizing at 400 °C with 400 V discharge voltage and containing 25% N2 and 4% CH4.

  18. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    Science.gov (United States)

    Malinina, A. A.; Malinin, A. N.

    2015-03-01

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λmax = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/ N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10-15 m3/s.

  19. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    Energy Technology Data Exchange (ETDEWEB)

    Malinina, A. A., E-mail: alexandr-malinin@rambler.ru; Malinin, A. N. [Uzhhorod National University (Ukraine)

    2015-03-15

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λ{sub max} = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10{sup −15} m{sup 3}/s.

  20. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    International Nuclear Information System (INIS)

    Malinina, A. A.; Malinin, A. N.

    2015-01-01

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λ max = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10 −15 m 3 /s

  1. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  2. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  3. Advanced oxidation technology for H2S odor gas using non-thermal plasma

    Science.gov (United States)

    Tao, ZHU; Ruonan, WANG; Wenjing, BIAN; Yang, CHEN; Weidong, JING

    2018-05-01

    Non-thermal plasma technology is a new type of odor treatment processing. We deal with H2S from waste gas emission using non-thermal plasma generated by dielectric barrier discharge. On the basis of two criteria, removal efficiency and absolute removal amount, we deeply investigate the changes in electrical parameters and process parameters, and the reaction process of the influence of ozone on H2S gas removal. The experimental results show that H2S removal efficiency is proportional to the voltage, frequency, power, residence time and energy efficiency, while it is inversely proportional to the initial concentration of H2S gas, and ozone concentration. This study lays the foundations of non-thermal plasma technology for further commercial application.

  4. Princeton University Plasma Physics Laboratory, Princeton, New Jersey

    International Nuclear Information System (INIS)

    1991-01-01

    This report discusses the following topics: Principal parameters of experimental devices; Tokamak Fusion Test Reactor; Burning Plasma Experiment; Princeton Beta Experiment-Modification; Current Drive Experiment-Upgrade; International Thermonuclear Experimental Reactor; International Collaboration; X-Ray Laser Studies; Hyperthermal Atomic Beam Source; Pure Electron Plasma Experiments; Plasma Processing: Deposition and Etching of Thin Films; Theoretical Studies; Tokamak Modeling; Engineering Department; Environment, Safety, and Health and Quality Assurance; Technology Transfer; Office of Human Resources and Administration; PPPL Patent Invention Disclosures; Office of Resource Management; Graduate Education: Plasma Physics; Graduate Education: Program in Plasma Science and Technology; and Science Education Program

  5. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  6. Effects of pulsed electric field on ULQ and RFP plasmas

    International Nuclear Information System (INIS)

    Watanabe, M.; Saito, K.; Suzuki, T.

    1997-01-01

    Dynamo activity and self-organization processes are investigated using the application of pulsed poloidal and toroidal electric fields on ULQ and RFP plasmas. Synchronized to the application of the pulsed electric fields, the remarkable responses of the several plasma parameters are observed. The plasma has a preferential magnetic field structure, and the external perturbation activates fluctuation to maintain the structure through dynamo effect. This process changes the total dissipation with the variation of magnetic helicity in the system, showing that self organization accompanies an enhanced dissipation. (author)

  7. Selection of parameters for advanced machining processes using firefly algorithm

    Directory of Open Access Journals (Sweden)

    Rajkamal Shukla

    2017-02-01

    Full Text Available Advanced machining processes (AMPs are widely utilized in industries for machining complex geometries and intricate profiles. In this paper, two significant processes such as electric discharge machining (EDM and abrasive water jet machining (AWJM are considered to get the optimum values of responses for the given range of process parameters. The firefly algorithm (FA is attempted to the considered processes to obtain optimized parameters and the results obtained are compared with the results given by previous researchers. The variation of process parameters with respect to the responses are plotted to confirm the optimum results obtained using FA. In EDM process, the performance parameter “MRR” is increased from 159.70 gm/min to 181.6723 gm/min, while “Ra” and “REWR” are decreased from 6.21 μm to 3.6767 μm and 6.21% to 6.324 × 10−5% respectively. In AWJM process, the value of the “kerf” and “Ra” are decreased from 0.858 mm to 0.3704 mm and 5.41 mm to 4.443 mm respectively. In both the processes, the obtained results show a significant improvement in the responses.

  8. Ellagitannins and Flavan-3-ols from Raspberry Pomace Modulate Caecal Fermentation Processes and Plasma Lipid Parameters in Rats.

    Science.gov (United States)

    Fotschki, Bartosz; Juśkiewicz, Jerzy; Sójka, Michał; Jurgoński, Adam; Zduńczyk, Zenon

    2015-12-21

    Raspberry pomace is a source of polyphenols, which nutritional and health promoting properties are not sufficiently known. The aim of this 8-weeks study was to scrutinize if raspberry extracts (REs) with different ellagitannins to flavan-3-ols ratios might favorably affect the caecal fermentation processes and blood lipid profile in rats. Forty male Wistar rats were fed with a standard diet or its modification with two types of REs (E1 and E2) characterized by different ratios of ellagitannins to flavan-3-ols (7.7 and 3.1 for E1 and E2, respectively) and added to a diet at two dosages of polyphenolic compounds (0.15 and 0.30% of a diet; L and H treatments, respectively). Irrespective of polyphenols dietary level, both REs reduced the activity of bacterial β-glucuronidase, increased production of butyric acid in the caecum and reduced triacylglycerols in blood plasma. The E1 treatment at both dosages caused more effective reduction in the concentration of ammonia and elevated acetate level in the caecal digesta than E2. On the other hand, only the E2 treatment lowered value of the atherogenic index when compared with control group. When comparing dosages of REs, a higher one was more potent to reduce the activity of bacterial β-glucosidase, β-, α-galactosidase and lowered value of the HDL profile in plasma. To conclude, REs may favorably modulate the activity of the caecal microbiota and blood lipid profile in rats; however, the intensity of these effects may be related to the dosages of dietary polyphenols and to their profile, e.g., ellagitannins to flavan-3-ols ratio.

  9. Ellagitannins and Flavan-3-ols from Raspberry Pomace Modulate Caecal Fermentation Processes and Plasma Lipid Parameters in Rats

    Directory of Open Access Journals (Sweden)

    Bartosz Fotschki

    2015-12-01

    Full Text Available Raspberry pomace is a source of polyphenols, which nutritional and health promoting properties are not sufficiently known. The aim of this 8-weeks study was to scrutinize if raspberry extracts (REs with different ellagitannins to flavan-3-ols ratios might favorably affect the caecal fermentation processes and blood lipid profile in rats. Forty male Wistar rats were fed with a standard diet or its modification with two types of REs (E1 and E2 characterized by different ratios of ellagitannins to flavan-3-ols (7.7 and 3.1 for E1 and E2, respectively and added to a diet at two dosages of polyphenolic compounds (0.15 and 0.30% of a diet; L and H treatments, respectively. Irrespective of polyphenols dietary level, both REs reduced the activity of bacterial β-glucuronidase, increased production of butyric acid in the caecum and reduced triacylglycerols in blood plasma. The E1 treatment at both dosages caused more effective reduction in the concentration of ammonia and elevated acetate level in the caecal digesta than E2. On the other hand, only the E2 treatment lowered value of the atherogenic index when compared with control group. When comparing dosages of REs, a higher one was more potent to reduce the activity of bacterial β-glucosidase, β-, α-galactosidase and lowered value of the HDL profile in plasma. To conclude, REs may favorably modulate the activity of the caecal microbiota and blood lipid profile in rats; however, the intensity of these effects may be related to the dosages of dietary polyphenols and to their profile, e.g., ellagitannins to flavan-3-ols ratio.

  10. Spheroidization of molybdenum powder by radio frequency thermal plasma

    Science.gov (United States)

    Liu, Xiao-ping; Wang, Kuai-she; Hu, Ping; Chen, Qiang; Volinsky, Alex A.

    2015-11-01

    To control the morphology and particle size of dense spherical molybdenum powder prepared by radio frequency (RF) plasma from irregular molybdenum powder as a precursor, plasma process parameters were optimized in this paper. The effects of the carrier gas flow rate and molybdenum powder feeding rate on the shape and size of the final products were studied. The molybdenum powder morphology was examined using high-resolution scanning electron microscopy. The powder phases were analyzed by X-ray diffraction. The tap density and apparent density of the molybdenum powder were investigated using a Hall flow meter and a Scott volumeter. The optimal process parameters for the spherical molybdenum powder preparation are 50 g/min powder feeding rate and 0.6 m3/h carrier gas rate. In addition, pure spherical molybdenum powder can be obtained from irregular powder, and the tap density is enhanced after plasma processing. The average size is reduced from 72 to 62 µm, and the tap density is increased from 2.7 to 6.2 g/cm3. Therefore, RF plasma is a promising method for the preparation of high-density and high-purity spherical powders.

  11. Association of Irisin Plasma Levels with Anthropometric Parameters in Children with Underweight, Normal Weight, Overweight, and Obesity

    Directory of Open Access Journals (Sweden)

    Leticia Elizondo-Montemayor

    2017-01-01

    Full Text Available The correlations between irisin levels, physical activity, and anthropometric measurements have been extensively described in adults with considerable controversy, but little evidence about these relationships has been found in children. The objective of this study is to correlate the plasma levels of irisin in underweight, normal weight, overweight, and obese children with anthropometric parameters and physical activity levels. A cross-sample of 40 children was divided into the following groups on the basis of body mass index (BMI percentile. The correlations of plasma irisin levels with physical activity, anthropometric, and metabolic measurements were determined. Plasma irisin levels (ng/mL were lower for the underweight group (164.2 ± 5.95 than for the normal weight and obese groups (182.8 ± 5.58; p<0.05. Irisin levels correlated positively with BMI percentile (0.387, waist circumference (0.373, and fat-free mass (0.353; p<0.05, but not with body muscle mass (−0.027. After a multiple linear regression analysis, only BMI percentile (0.564; p<0.008 showed a positive correlation with irisin. Our results indicated no association with metabolic parameters. A negative correlation with physical activity was observed. Interrelationships among body components might influence irisin levels in children.

  12. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  13. Vacuum-plasma-sprayed silicon coatings

    International Nuclear Information System (INIS)

    Varacalle, D.J. Jr.; Herman, H.; Bancke, G.A.; Burchell, T.D.; Romanoski, G.R.

    1991-01-01

    Vacuum plasma spraying produces well-bonded dense stress-free coatings for a variety of materials on a wide range of substrates. The process is used in many industries for the excellent wear, corrosion resistance and high temperature behavior of the fabricated coatings. In this study, silicon metal was deposited on graphite to study the feasibility of preventing corrosion and oxidation of graphite components for nuclear reactors. Operating parameters were varied in a Taguchi design of experiments to display the range of the plasma processing conditions and their effect on the measured coating characteristics. The coating attributes evaluated were thickness, porosity, microhardness and phase content. This paper discusses the influence of the processing parameters on as-sprayed coating qualities. The paper also discusses the effect of thermal cycling on silicon samples in an inert helium atmosphere. The diffraction spectrum for a sample that experienced a 1600degC temperature cycle indicated that more than 99% of the coating transformed to β-SiC. The silicon coatings protected the graphite substrates from oxidation in one experiment. (orig.)

  14. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  15. Investigation of the Anode Attachment Process in Plasma Arc Cutting

    International Nuclear Information System (INIS)

    Eichler, Stefan; Schein, Jochen; Hussary, Nakhleh; Siewert, Erwan

    2014-01-01

    The anode attachment process in plasma arc cutting is still not well understood in spite of decades of industrial use. Previously, several approaches were made to analyze the attachment mechanisms including imaging, discharge current and voltage measurements as well as the use of thermocouples. In this paper a different approach is described to evaluate the attachment position. Six electrically separated water-cooled copper plates arranged in layers are used as an anode emulating a workpiece. The current through each layer is measured individually using current Hall sensors. The thus obtained information about the current distribution across each plate is used to deduce the anode attachment position inside the workpiece. This diagnostics allows a quick determination of the influence of process parameter variations like the cutting current, gas flow rate, cutting speed or the torch distance on the current distribution inside the workpiece. Using this setup, it is observed that no single attachment appears; the current is divided to flow through all anode segments. The torch distance and cutting speed proved to have the biggest influence on the anode current distribution. Comparison between measurements conducted with the new setup and an experiment using steel plates instead of copper plates is provided

  16. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  17. Variation of physicochemical parameters during a composting process

    International Nuclear Information System (INIS)

    Faria C, D.M.; Ballesteros, M.I.; Bendeck, M.

    1999-01-01

    Two composting processes were carried out; they lasted for about 165 days. In one of the processes the decomposition of the material was performed only by microorganisms only (direct composting) and in the other one, by microorganisms and earthworms -Eisenla foetida- (indirect composting). The first one was carried out in a composting system called c amas a nd the indirect one was carried out in its initial phase in a system of p anelas , then the wastes were transferred to a c ama . The materials were treated in both processes with lime, ammonium nitrate and microorganisms. Periodical samples were taken from different places of the pile and a temperature control was made weekly. The following physicochemical parameters were analyzed in each sample: Humidity, color, pH soil : water in ratios of 1:5 and 1:10, ash, organic matter, CIC, contents of carbon and nitrogen and C/N ratio. In the aqueous extract, C/N ratio and percentage of hydro solubles were analyzed. It was also made a germination assay taking measurements of the percentage of garden cress seeds (Lepidium sativum) that germinated in the aqueous extract. The parameters variation in each process let us to establish that the greatest changes in the material happened in the initial phases of the process (thermophilic and mesophilic phases); the presence of microorganisms was the limiting factor in the dynamic of the process; on the other hand, the earthworm addition did not accelerate the mineralization of organic matter. The results let us to establish that the color determination is not an effective parameter in order to evaluate the degree of maturity of the compost. Other parameters such as temperature and germination percentage can be made as routine test to determine the process rate. Determination of CIC, ash and hydro solubles content are recommended to evaluate the optimal maturity degree in the material. It is proposed changes such as to reduce the composting time to a maximum of 100 days and to

  18. Study on electrostatic and electromagnetic probes operated in ceramic and metallic depositing plasmas

    International Nuclear Information System (INIS)

    Styrnoll, T; Bienholz, S; Awakowicz, P; Lapke, M

    2014-01-01

    This paper discusses plasma probe diagnostics, namely the multipole resonance probe (MRP) and Langmuir probe (LP), operated in depositing plasmas. The aim of this work is to show that the combination of both probes provides stable and robust measurements and clear determination of plasma parameters for metallic and ceramic coating processes. The probes use different approaches to determine plasma parameters, e.g. electron density n e and electron temperature T e . The LP is a well-established plasma diagnostic, and its applicability in technological plasmas is well documented. The LP is a dc probe that performs a voltage sweep and analyses the measured current, which makes it insensitive against conductive metallic coating. However, once the LP is dielectrically coated with a ceramic film, its functionality is constricted. In contrast, the MRP was recently presented as a monitoring tool, which is insensitive to coating with dielectric ceramics. It is a new plasma diagnostic based on the concept of active plasma resonance spectroscopy, which uses the universal characteristic of all plasmas to resonate on or near the electron plasma frequency. The MRP emits a frequency sweep and the absorption of the signal, the |S 11 | parameter, is analysed. Since the MRP concept is based on electromagnetic waves, which are able to transmit dielectrics, it is insensitive to dielectric coatings. But once the MRP is metallized with a thin conductive film, no undisturbed RF-signal can be emitted into the plasma, which leads to falsified plasma parameter. In order to compare both systems, during metallic or dielectric coating, the probes are operated in a magnetron CCP, which is equipped with a titanium target. We present measurements in metallic and dielectric coating processes with both probes and elaborate advantages and problems of each probe operated in each coating environment. (paper)

  19. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  20. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  1. Self-adaptive Green-Ampt infiltration parameters obtained from measured moisture processes

    Directory of Open Access Journals (Sweden)

    Long Xiang

    2016-07-01

    Full Text Available The Green-Ampt (G-A infiltration model (i.e., the G-A model is often used to characterize the infiltration process in hydrology. The parameters of the G-A model are critical in applications for the prediction of infiltration and associated rainfall-runoff processes. Previous approaches to determining the G-A parameters have depended on pedotransfer functions (PTFs or estimates from experimental results, usually without providing optimum values. In this study, rainfall simulators with soil moisture measurements were used to generate rainfall in various experimental plots. Observed runoff data and soil moisture dynamic data were jointly used to yield the infiltration processes, and an improved self-adaptive method was used to optimize the G-A parameters for various types of soil under different rainfall conditions. The two G-A parameters, i.e., the effective hydraulic conductivity and the effective capillary drive at the wetting front, were determined simultaneously to describe the relationships between rainfall, runoff, and infiltration processes. Through a designed experiment, the method for determining the G-A parameters was proved to be reliable in reflecting the effects of pedologic background in G-A type infiltration cases and deriving the optimum G-A parameters. Unlike PTF methods, this approach estimates the G-A parameters directly from infiltration curves obtained from rainfall simulation experiments so that it can be used to determine site-specific parameters. This study provides a self-adaptive method of optimizing the G-A parameters through designed field experiments. The parameters derived from field-measured rainfall-infiltration processes are more reliable and applicable to hydrological models.

  2. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  4. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  5. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  6. Interpolation of final geometry and result fields in process parameter space

    NARCIS (Netherlands)

    Misiun, Grzegorz Stefan; Wang, Chao; Geijselaers, Hubertus J.M.; van den Boogaard, Antonius H.; Saanouni, K.

    2016-01-01

    Different routes to produce a product in a bulk forming process can be described by a limited set of process parameters. The parameters determine the final geometry as well as the distribution of state variables in the final shape. Ring rolling has been simulated using different parameter settings.

  7. Evaluation of Control Parameters for the Activated Sludge Process

    Science.gov (United States)

    Stall, T. Ray; Sherrard, Josephy H.

    1978-01-01

    An evaluation of the use of the parameters currently being used to design and operate the activated sludge process is presented. The advantages and disadvantages for the use of each parameter are discussed. (MR)

  8. Hot plasma parameters in Neptune's magnetosphere

    International Nuclear Information System (INIS)

    Krimigis, S.M.; Mauk, B.H.; Cheng, A.F.; Keath, E.P.; Kane, M.; Armstrong, T.P.; Gloeckler, G.; Lanzerotti, L.J.

    1990-01-01

    Energy spectra of energetic protons and electrons (E p approx-gt 28 keV, E e approx-gt 22 keV, respectively) obtained with the Low Energy Charged Particle (LECP) instrument during the Voyager 2 encounter with Neptune on August 24-25, 1989 are presented. The proton spectral form was a power law (dj/dE = KE -γ ), outside the orbit of Triton (∼14.3 R N ); inside that distance, it was found to be a hot (kT ≅ 60 keV) Maxwellian distribution. Such distributions, observed in other planets as well, have yet to be explained theoretically. Similarly, the electron spectral form changed from a simple power law outside Triton to a two-slope power law with a high energy tail inside. Intensity and spectral features in both proton and electron fluxes were identified in association with the crossings of the Triton and 1989 N1 L-shells, but these features do not occur simultaneously in both species. Such signatures were manifested by relative peaks in both kT and γ spectral indices. Peak proton pressures of ∼2x10 -9 dynes cm -2 , and β ∼ 0.2 were measured at successive magnetic equatorial crossings, both inbound and outbound. These parameters show Neptune's magnetosphere to be relatively undistorted by hot plasma loading, similar to that of Uranus and unlike those of Saturn and Jupiter. Trapped electron fluxes at Neptune, as at Uranus, exceed the whistler mode stably trapped flux limit. Whistler-induced pitch angle scattering of energetic electrons in the radiation belts can yield a precipitating energy flux sufficient to drive Neptune's aurora

  9. Influence of spray parameters on the microstructure and mechanical properties of gas-tunnel plasma sprayed hydroxyapatite coatings

    International Nuclear Information System (INIS)

    Morks, M.F.; Kobayashi, Akira

    2007-01-01

    For biomedical applications, hydroxyapatite (HA) coatings were deposited on 304 stainless steel substrate by using a gas tunnel type plasma spraying process. The influences of spraying distances and plasma arc currents on the microstructure, hardness and adhesion properties of HA coatings were investigated. Microstructure observation by SEM showed that HA coatings sprayed at low plasma power have a porous structure and poor hardness. HA coatings sprayed at high plasma power and short spraying distance are characterized by good adhesion and low porosity with dense structure. Hardness increased for HA coatings sprayed at shorter spraying distance and higher plasma power, mainly due to the formation of dense coatings

  10. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  11. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  12. An experimental study on effect of process parameters in deep ...

    African Journals Online (AJOL)

    The effects of various deep drawing process parameters were determined by experimental study with the use of Taguchi fractional factorial design and analysis of variance for AA6111 Aluminum alloy. The optimum process parameters were determined based on their influence on the thickness variation at different regions ...

  13. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  14. Design Considerations in Capacitively Coupled Plasmas

    Science.gov (United States)

    Song, Sang-Heon; Ventzek, Peter; Ranjan, Alok

    2015-11-01

    Microelectronics industry has driven transistor feature size scaling from 10-6 m to 10-9 m during the past 50 years, which is often referred to as Moore's law. It cannot be overstated that today's information technology would not have been so successful without plasma material processing. One of the major plasma sources for the microelectronics fabrication is capacitively coupled plasmas (CCPs). The CCP reactor has been intensively studied and developed for the deposition and etching of different films on the silicon wafer. As the feature size gets to around 10 nm, the requirement for the process uniformity is less than 1-2 nm across the wafer (300 mm). In order to achieve the desired uniformity, the hardware design should be as precise as possible before the fine tuning of process condition is applied to make it even better. In doing this procedure, the computer simulation can save a significant amount of resources such as time and money which are critical in the semiconductor business. In this presentation, we compare plasma properties using a 2-dimensional plasma hydrodynamics model for different kinds of design factors that can affect the plasma uniformity. The parameters studied in this presentation include chamber accessing port, pumping port, focus ring around wafer substrate, and the geometry of electrodes of CCP.

  15. Impact of error fields on plasma identification in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Martone, R., E-mail: Raffaele.Martone@unina2.it [Ass. EURATOM/ENEA/CREATE, Seconda Università di Napoli, Via Roma 29, Aversa (CE) (Italy); Appel, L. [EURATOM/CCFE Fusion Association, Culham Science Centre, Abingdon (United Kingdom); Chiariello, A.G.; Formisano, A.; Mattei, M. [Ass. EURATOM/ENEA/CREATE, Seconda Università di Napoli, Via Roma 29, Aversa (CE) (Italy); Pironti, A. [Ass. EURATOM/ENEA/CREATE, Università degli Studi di Napoli “Federico II”, Via Claudio 25, Napoli (Italy)

    2013-10-15

    Highlights: ► The paper deals with the effect on plasma identification of error fields generated by field coils manufacturing and assembly errors. ► EFIT++ is used to identify plasma gaps when poloidal field coils and central solenoid coils are deformed, and the gaps sensitivity with respect to such errors is analyzed. ► Some examples of reconstruction errors in the presence of deformations are reported. -- Abstract: The active control of plasma discharges in present Tokamak devices must be prompt and accurate to guarantee expected performance. As a consequence, the identification step, calculating plasma parameters from diagnostics, should provide in a very short time reliable estimates of the relevant quantities, such as plasma centroid position, plasma-wall distances at given points called gaps, and other geometrical parameters as elongation and triangularity. To achieve the desired response promptness, a number of simplifying assumptions are usually made in the identification algorithms. Among those clearly affecting the quality of the plasma parameters reconstruction, one of the most relevant is the precise knowledge of the magnetic field produced by active coils. Since uncertainties in their manufacturing and assembly process may cause misalignments between the actual and expected geometry and position of magnets, an analysis on the effect of possible wrong information about magnets on the plasma shape identification is documented in this paper.

  16. Debye shielding in a nonextensive plasma

    International Nuclear Information System (INIS)

    Ait Gougam, Leila; Tribeche, Mouloud

    2011-01-01

    The phenomenon of Debye Shielding is revisited within the theoretical framework of the Tsallis statistical mechanics. The plasma consists of nonextensive electrons and ions. Both the effective Debye length λ D q and the fall-off of the electrostatic potential Φ are considered and a parameter study conducted. Owing to electron nonextensivity, the critical Mach number derived from the modified Bohm sheath criterion may become less than unity allowing therefore ions with speed less than ion-acoustic speed to enter the sheath from the main body of the plasma. Considering the wide relevance of collective processes, our analysis may be viewed as a first step toward a more comprehensive Debye shielding and electrostatic plasma sheath in nonequilibrium plasmas.

  17. (1) H-MRS processing parameters affect metabolite quantification

    DEFF Research Database (Denmark)

    Bhogal, Alex A; Schür, Remmelt R; Houtepen, Lotte C

    2017-01-01

    investigated the influence of model parameters and spectral quantification software on fitted metabolite concentration values. Sixty spectra in 30 individuals (repeated measures) were acquired using a 7-T MRI scanner. Data were processed by four independent research groups with the freedom to choose their own...... + NAAG/Cr + PCr and Glu/Cr + PCr, respectively. Metabolite quantification using identical (1) H-MRS data was influenced by processing parameters, basis sets and software choice. Locally preferred processing choices affected metabolite quantification, even when using identical software. Our results......Proton magnetic resonance spectroscopy ((1) H-MRS) can be used to quantify in vivo metabolite levels, such as lactate, γ-aminobutyric acid (GABA) and glutamate (Glu). However, there are considerable analysis choices which can alter the accuracy or precision of (1) H-MRS metabolite quantification...

  18. Investigation of thick grid plasma switches for thermionic system output voltage

    International Nuclear Information System (INIS)

    Alekseev, N.I.; Kaplan, V.B.; Martsinovski, A.M.

    1992-01-01

    Plasma switches (Cs and Cs-Ba tacitrons PS) with thick grid have grid with thickness more than mesh aperture size. These grids have some advantages as compared with small-scale/1.2/ones. For instance, much more electrical strength. This paper contains the thick-grid investigation results: the grid controls efficiency, the plasma parameters, probe researches of these parameters at conductive state and their variety during the process of quenching. The results showed the thick-grid PS plasma differed from the thin-grid PS significantly at the stationary state as well as by quenching dynamic features

  19. Determination of reference ranges for full blood count parameters in neonatal cord plasma in Hilla, Babil, Iraq

    Directory of Open Access Journals (Sweden)

    Al-Marzoki JM

    2012-10-01

    Full Text Available Jasim M Al-Marzoki1, Zainab W Al-Maaroof2, Ali H Kadhum31Department of Pediatrics, 2Department of Pathology, Babylon Medical College, 3Babylon Gynecology and Pediatric Teaching Hospital, Hilla, IraqBackground: The health of an individual is known to vary in different countries, in the same country at different times, and in the same individuals at different ages. This means that the condition of individuals must be related to or compared with reference data. Determination of a reference range for the healthy term newborn is clinically important in terms of various complete blood count parameters. The purpose of this study was to establish a local reference range for full blood count parameters in neonatal cord plasma in Hilla, Babil, Iraq.Methods: A total of 220 mothers and their neonates were enrolled in this cross-sectional study from February 2011 to January 2012. Maternal inclusion criteria were age 15–45 years, an uneventful pregnancy, and hemoglobin ≥ 10 g. Neonatal inclusion criteria were full term (37–42 weeks and normal birth weight. The umbilical cord was immediately clamped after delivery of the baby; 3 mL of cord blood was then taken from the umbilical vein and collected in a tube containing ethylenediamine tetra-acetic acid, its plasma was analyzed for full blood count parameters by standard Coulter gram, and the differential leukocyte count was done manually.Results: Mean neonatal hemoglobin was 13.88 ± 1.34 (range 11–17.3 g/dL and mean white cell count was 10.12 ± 2.8 (range 3.1–21.6 × 109/L. Mean platelet count was 267.63 ± 60.62 (range 152–472 × 109/L. No significant differences in red cell, white cell, or platelet counts were found between males and females, except for neutrophil count. The current study shows lower levels of hemoglobin, white cells, and red cells compared with other studies, and there is agreement with some studies and disagreement with others concerning platelet count.Conclusion: Most results

  20. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    International Nuclear Information System (INIS)

    Tewari, Aarti

    2016-01-01

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.