WorldWideScience

Sample records for plasma implanted boron

  1. Boron Profile Sharpening in Ultra-Shallow p+-n Junction Produced by Plasma Immersion Ion Implantation from BF3 Plasma

    International Nuclear Information System (INIS)

    Lukichev, V.; Rudenko, K.; Orlikovsky, A.; Pustovit, A.; Vyatkin, A.

    2008-01-01

    We have investigated plasma immersion ion implantation (PI 3 ) of boron with energies of 500 eV (doses up to 2x10 15 cm -2 ) from BF 3 plasma with He pre-amorphizing implantation (PAI)(energy 3 keV, dose 5x10 16 cm -2 ). Implanted samples were subjected to RTA (T = 900 to 1050 deg. C, t = 2 to 24 sec and spike anneal). SIMS analysis of boron profiles revealed its anomalous behavior. For short RTA times the profile tail (below 5x10 19 cm -3 ) moves toward the surface and then, as in the usual diffusion, toward the bulk at longer annealing times.

  2. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  3. Implantation of boron in silicon

    International Nuclear Information System (INIS)

    Hofker, W.K.

    1975-01-01

    The distribution versus depth of boron implanted in silicon and the corresponding electrical activity obtained after annealing are studied. The boron distributions are measured by secondary-ion mass spectrometry. Boron distributions implanted at energies in the range from 30 keV to 800 keV in amorphous and polycrystalline silicon are analysed. Moments of these distributions are determined by a curve-fitting programme and compared with moments calculated by Winterbon. Boron distributions obtained by implantations along a dense crystallographic direction in monocrystalline silicon are found to have penetrating tails. After investigation of some possible mechanisms of tail formation it is concluded that the tails are due to channelling. It was found that the behaviour of boron during annealing is determined by the properties of three boron fractions consisting of precipitated boron, interstitial boron and substitutional boron. The electrical activity of the boron versus depth is found to be consistent with the three boron fractions. A peculiar redistribution of boron is found which is induced by the implantation of a high dose of heavy ions and subsequent annealing. Different mechanisms which may cause the observed effects, such as thermal diffusion which is influenced by lattice strain and damage, are discussed. (Auth.)

  4. Improved tribological behavior of boron implanted Ti-6Al-4V

    International Nuclear Information System (INIS)

    Baker, N.P.; Walter, K.C.; Nastasi, M.

    1998-03-01

    Boron implanted of Ti6Al4V has been conducted at combinations of 32 and 40 keV to supplement that done previously at 75 keV. Shallower boron depth profiles with higher B-concentrations in the Ti64 surface have been obtained by tailoring the combinations of ion energy and dose. This work used three different ion energy and dose combinations of 4 x 10 17 B-at/cm 2 at 40 keV plus 2 x 10 17 B-at/cm 2 at 32 keV, 4 x 10 17 B-at/cm 2 at 40 keV, and 4 x 10 17 B-at/cm 2 at 32 keV plus 2 x 10 17 B-at/cm 2 at 40 keV. Comparisons are made between Ti6Al4V with a shallow implanted boron depth profile, Ti6Al4V with a deeper boron depth profile and nitrogen implanted using a plasma source ion implantation process. It has been previously shown that while boron implanted Ti64 has a ∼ 30% higher surface hardness than nitrogen implanted Ti64, the N-implantation reduced the wear coefficient of Ti64 by 25--120x, while B-implantation reduced the wear coefficient by 6.5x or less. The results show that no significant improvement is made in the wear resistance of boron implanted Ti6Al4V by increasing the concentration of boron at the surface from approximately 10% to 43%. Transmission electron microscopy (TEM) and selected area diffraction (SAD) indicated the formation of crystalline TiB in the implanted surface layer. Shallower depth profiles result in reductions of the Ti6Al4V wear coefficient by 6.5x or less which is the same result obtained earlier with the deeper boron depth profile. Surface hardness of Ti6Al4V with shallower boron depth profiles was improved approximately 10% compared to the results previously acquired with deeper boron depth profiles

  5. Tribological properties of nitrogen implanted and boron implanted steels

    International Nuclear Information System (INIS)

    Kern, K.T.

    1996-01-01

    Samples of a steel with high chrome content was implanted separately with 75 keV nitrogen ions and with 75 keV boron ions. Implanted doses of each ion species were 2-, 4-, and 8 x 10 17 /cm 2 . Retained doses were measured using resonant non-Rutherford Backscattering Spectrometry. Tribological properties were determined using a pin-on-disk test with a 6-mm diameter ruby pin with a velocity of 0.94 m/min. Testing was done at 10% humidity with a load of 377 g. Wear rate and coefficient of friction were determined from these tests. While reduction in the wear rate for nitrogen implanted materials was observed, greater reduction (more than an order of magnitude) was observed for boron implanted materials. In addition, reduction in the coefficient of friction for high-dose boron implanted materials was observed. Nano-indentation revealed a hardened layer near the surface of the material. Results from grazing incidence x-ray diffraction suggest the formation of Fe 2 N and Fe 3 N in the nitrogen implanted materials and Fe 3 B in the boron implanted materials. Results from transmission electron microscopy will be presented

  6. Boron-enhanced diffusion of boron from ultralow-energy boron implantation

    International Nuclear Information System (INIS)

    Agarwal, A.; Eaglesham, D.J.; Gossmann, H.J.; Pelaz, L.; Herner, S.B.; Jacobson, D.C.

    1998-01-01

    The authors have investigated the diffusion enhancement mechanism of BED (boron enhanced diffusion), wherein the boron diffusivity is enhanced three to four times over the equilibrium diffusivity at 1,050 C in the proximity of a silicon layer containing a high boron concentration. It is shown that BED is associated with the formation of a fine-grain polycrystalline silicon boride phase within an initially amorphous Si layer having a high B concentration. For 0.5 keV B + , the threshold implantation dose which leads to BED lies between 3 x 10 14 and of 1 x 10 15 /cm -2 . Formation of the shallowest possible junctions by 0.5 keV B + requires that the implant dose be kept lower than this threshold

  7. Microhardness of boron, titanium, and nitrogen implanted steel

    International Nuclear Information System (INIS)

    Sowa, M.; Szyszko, W.; Sielanko, J.; Glusiec, L.

    1989-01-01

    Mechanically polished steel (1H18N9T) and (15GTM) samples are implanted with boron, titanium, and nitrogen ions, with dose ranging from 10 16 to 10 17 ions/cm 2 . The implantation energy varied from 100 to 250 keV. Implanted samples are heat-treated at 400 to 800 0 C in vacuum. The microhardness of implanted samples is measured by using a Hanneman tester with loads ranging from 2 to 40 g. The influence of annealing temperature on microhardness of the implanted layers is determined. The diffusion of boron from the implanted layers is also investigated by using the secondary ion mass spectrometer. The diffusion coefficients of boron in steel are determined. (author)

  8. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  9. Depth resolved investigations of boron implanted silicon

    Science.gov (United States)

    Sztucki, M.; Metzger, T. H.; Milita, S.; Berberich, F.; Schell, N.; Rouvière, J. L.; Patel, J.

    2003-01-01

    We have studied the depth distribution and structure of defects in boron implanted silicon (0 0 1). Silicon wafers were implanted with a boron dose of 6×10 15 ions/cm -2 at 32 keV and went through different annealing treatments. Using diffuse X-ray scattering at grazing incidence and exit angles we are able to distinguish between different kinds of defects (point defect clusters and extrinsic stacking faults on {1 1 1} planes) and to determine their depth distribution as a function of the thermal budget. Cross-section transmission electron microscopy was used to gain complementary information. In addition we have determined the strain distribution caused by the boron implantation as a function of depth from rocking curve measurements.

  10. Modeling of interstitial diffusion of ion-implanted boron

    International Nuclear Information System (INIS)

    Velichko, O.I.; Knyazheva, N.V.

    2009-01-01

    A model of the interstitial diffusion of ion-implanted boron during rapid thermal annealing of silicon layers previously amorphized by implantation of germanium has been proposed. It is supposed that the boron interstitials are created continuously during annealing due to generation, dissolution, or rearrangement of the clusters of impurity atoms which are formed in the ion-implanted layers with impurity concentration above the solubility limit. The local elastic stresses arising due to the difference of boron atomic radius and atomic radius of silicon also contribute to the generation of boron interstitials. A simulation of boron redistribution during thermal annealing for 60 s at a temperature of 850 C has been carried out. The calculated profile agrees well with the experimental data. A number of the parameters of interstitial diffusion have been derived. In particular, the average migration length of nonequilibrium boron interstitials is equal to 12 nm. It was also obtained that approximately 1.94% of boron atoms were converted to the interstitial sites, participated in the fast interstitial migration, and then became immobile again transferring into a substitutional position or forming the electrically inactive complexes with crystal lattice defects. (authors)

  11. In vitro biocompatibility of titanium after plasma surface alloying with boron

    Energy Technology Data Exchange (ETDEWEB)

    Kaczmarek, Mariusz, E-mail: markacz@ump.edu.pl [Department of Immunology, Chair of Clinical Immunology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Mieczysława U. [Division Mother' s and Child' s Health, Poznan University of Medical Sciences, Polna 33, 60-535 Poznan (Poland); Miklaszewski, Andrzej [Institute of Materials Science and Engineering, Poznan University of Technology, Jana Pawla II 24, 61-138 Poznan (Poland); Paszel-Jaworska, Anna; Romaniuk, Aleksandra; Lipińska, Natalia [Department of Clinical Chemistry and Molecular Diagnostics, Poznan University of Medical Sciences, Przybyszewskiego 49, 60-355 Poznan (Poland); Żurawski, Jakub [Department of Immunobiochemistry, Chair of Biology and Environmental Sciences, Poznan University of Medical Sciences, Rokietnicka 8, 60-806 Poznan (Poland); Urbaniak, Paulina [Department of Cell Biology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Karolina [Department of Conservative Dentistry and Periodontology, Poznan University of Medical Sciences, Bukowska 70, 60-812 Poznan (Poland)

    2016-12-01

    Recently, the effect of different sizes of precursor powders during surface plasma alloying modification on the properties of titanium surface was studied. In this work we show in vitro test results of the titanium (α-Ti) after plasma surface alloying with boron (B). Ti-B nanopowders with 2 and 10 wt% B were deposited onto microcrystalline Ti substrate. The in vitro cytocompatibility of these biomaterials was evaluated and compared with a conventional microcrystalline Ti. During the studies, established cell line of human gingival fibroblasts and osteoblasts were cultured in the presence of tested materials, and its survival rate and proliferation activity were examined. For this purpose, MTT assay, flow cytometric and fluorescent microscopic evaluation were made. Biocompatibility tests carried out indicate that the Ti after plasma surface alloying with B could be a possible candidate for dental implants and other medicinal applications. Plasma alloying is a promising method for improving the properties of titanium, thus increasing the field of its applications. - Highlights: • this is first article carried out on the titanium after plasma surface alloying with different contents of boron; • microcrystalline titanium modified with boron changes the physicochemical features of conventional material; • Ti modified by boron is proper in terms of effects on survival and proliferative activity of cells of dental alveoli; • precursors with different content of boron in different ways influence the intensity and stability of cell growth;.

  12. Study of the boron levels in serum after implantation of different ratios nano-hexagonal boron nitride–hydroxy apatite in rat femurs

    Energy Technology Data Exchange (ETDEWEB)

    Atila, Alptug, E-mail: alptugatila@yahoo.com [Department of Analytical Chemistry, Faculty of Pharmacy, Ataturk University, Erzurum 25240 (Turkey); Halici, Zekai; Cadirci, Elif [Department of Pharmacology, Faculty of Medicine, Ataturk University, Erzurum 25240 (Turkey); Karakus, Emre [Department of Pharmacology and Toxicology, School of Veterinary Medicine, Ataturk University, Erzurum 25240 (Turkey); Palabiyik, Saziye Sezin [Department of Pharmaceutical Toxicology, Faculty of Pharmacy, Ataturk University, Erzurum 25240 (Turkey); Ay, Nuran [Department of Material Science and Engineering, Faculty of Engineering, Anadolu University, Eskisehir 26555 (Turkey); Bakan, Feray [Sabancı University Nanotechnology Research and Application Center (SUNUM), Istanbul 34956 (Turkey); Yilmaz, Sahin [Department of Genetics and Bioengineering, Faculty of Engineering and Architecture, Yeditepe University, Istanbul 34755 (Turkey)

    2016-01-01

    ABSTRACT: Boron and its derivatives are effective in bone recovery and osteointegration. However, increasing the boron levels in body liquids may cause toxicity. The aim of our study is to investigate serum boron levels using ICP-MS after implantation of different ratios of nano-hBN–HA composites in rat femurs. All rats were (n = 126) divided into five experimental groups (n = 24) and one healthy group (6 rats); healthy (Group1), femoral defect + %100HA (Group2), femoral defect + %2.5hBN + %97.5HA (Group3), femoral defect + %5hBN + %95HA (Group4), femoral defect + %10hBN + %90 HA (Group5), femoral defect + %100hBN (Group6). The femoral defect was created in the distal femur (3 mm drill-bit). Each implant group was divided into four different groups (n = 24) also 6 rats sacrificed for each groups in one week intervals during four weeks. In our results; at 1, 2, 3, and 4 weeks after implantation near bone tissue, serum levels of boron were evaluated using ICP-MS. We demonstrated that neither short-term nor long-term implantation of hBN–HA composite resulted in statistically increased serum boron levels in experimental groups compared to healthy group. In conclusion, this study investigated the implant material produced form hBN–HA for the first time. Our data suggest that hBN is a new promising target for biomaterial and implant bioengineers. - Highlights: • Nano-hBN–HA composites are new targets for biomaterial and implant bioengineers. • Serum boron levels were researched after implantation of nano-hBN–HA composites. • Implantation of hBN–HA composite did not result in increased serum boron levels. • The use of boron in composite form with HA did not change the stability of the implant.

  13. Molecular dynamic simulation on boron cluster implantation for shallow junction formation

    International Nuclear Information System (INIS)

    Yuan Li; Yu Min; Li Wei; Ji Huihui; Ren Liming; Zhan Kai; Huang Ru; Zhang Xing; Wang Yangyuan; Zhang Jinyu; Oka, Hideki

    2006-01-01

    Boron cluster ion implantation is a potential technology for shallow junction formation in integrated circuits manufacture. A molecular dynamic method for cluster implantation simulation, aiming at microelectronics application, is presented in this paper. Accurate geometric structures of boron clusters are described by the model, and the H atoms in clusters are included. A potential function taking the form of combining the ZBL and the SW potentials is presented here to model interaction among the atoms in the boron cluster. The impact of these models on cluster implantation simulation is investigated. There are notable impact on dopant distribution and amount of implantation defects with consideration of these models. The simulation on the distributions of B and H are verified by SIMS data

  14. A new computationally-efficient two-dimensional model for boron implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.; Park, C.; Yang, S.; Morris, S.; Do, V.; Tasch, F.

    1992-01-01

    We have developed a new computationally-efficient two-dimensional model for boron implantation into single-crystal silicon. This paper reports that this new model is based on the dual Pearson semi-empirical implant depth profile model and the UT-MARLOWE Monte Carlo boron ion implantation model. This new model can predict with very high computational efficiency two-dimensional as-implanted boron profiles as a function of energy, dose, tilt angle, rotation angle, masking edge orientation, and masking edge thickness

  15. Monte Carlo simulation of boron-ion implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.

    1991-01-01

    A physically based Monte Carlo boron implantation model developed comprehends previously neglected but important implant parameters such as native oxide layers, wafer temperature, beam divergence, tilt angle, rotation (twist) angle, and dose, in addition to energy. This model uses as its foundation the MARLOWE Monte Carlo simulation code developed at Oak Ridge National Laboratory for the analysis of radiation effects in materials. This code was carefully adapted for the simulation of ion implantation, and a number of significant improvements have been made, including the addition of atomic pair specific interatomic potentials, the implementation of a newly developed local electron concentration dependent electronic stopping model, and the implementation of a newly developed cumulative damage model. This improved version of the code, known as UT-MARLOWE, allows boron implantation profiles to be accurately predicted as a function of energy, tilt angle, rotation angle, and dose. This code has also been used in the development and implementation of an accurate and efficient two-dimensional boron implantation model

  16. Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation

    International Nuclear Information System (INIS)

    Mrotchek, I.

    2007-01-01

    In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and ∼5.10 17 ions/cm 2 fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co 3 W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load

  17. Effect of Boronization on Ohmic Plasmas in NSTX

    International Nuclear Information System (INIS)

    Skinner, C.H.; Kugel, H.; Maingi, R.; Wampler, W.R.; Blanchard, W.; Bell, M.; Bell, R.; LeBlanc, B.; Gates, D.; Kaye, S.; LaMarche, P.; Menard, J.; Mueller, D.; Na, H.K.; Nishino, N.; Paul, S.; Sabbagh, S.; Soukhanovskii, V.

    2001-01-01

    Boronization of the National Spherical Torus Experiment (NSTX) has enabled access to higher density, higher confinement plasmas. A glow discharge with 4 mTorr helium and 10% deuterated trimethyl boron deposited 1.7 g of boron on the plasma facing surfaces. Ion beam analysis of witness coupons showed a B+C areal density of 10 to the 18 (B+C) cm to the -2 corresponding to a film thickness of 100 nm. Subsequent ohmic discharges showed oxygen emission lines reduced by x15, carbon emission reduced by two and copper reduced to undetectable levels. After boronization, the plasma current flattop time increased by 70% enabling access to higher density, higher confinement plasmas

  18. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  19. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  20. Study of the boron levels in serum after implantation of different ratios nano-hexagonal boron nitride-hydroxy apatite in rat femurs.

    Science.gov (United States)

    Atila, Alptug; Halici, Zekai; Cadirci, Elif; Karakus, Emre; Palabiyik, Saziye Sezin; Ay, Nuran; Bakan, Feray; Yilmaz, Sahin

    2016-01-01

    Boron and its derivatives are effective in bone recovery and osteointegration. However, increasing the boron levels in body liquids may cause toxicity. The aim of our study is to investigate serum boron levels using ICP-MS after implantation of different ratios of nano-hBN-HA composites in rat femurs. All rats were (n=126) divided into five experimental groups (n=24) and one healthy group (6 rats); healthy (Group1), femoral defect + %100 HA (Group2), femoral defect + %2.5 hBN + %97.5 HA (Group3), femoral defect + %5 hBN + %95 HA (Group4), femoral defect + %10 hBN + %90 HA (Group5), femoral defect + %100 hBN (Group6). The femoral defect was created in the distal femur (3mm drill-bit). Each implant group was divided into four different groups (n=24) also 6 rats sacrificed for each groups in one week intervals during four weeks. In our results; at 1, 2, 3, and 4 weeks after implantation near bone tissue, serum levels of boron were evaluated using ICP-MS. We demonstrated that neither short-term nor long-term implantation of hBN-HA composite resulted in statistically increased serum boron levels in experimental groups compared to healthy group. In conclusion, this study investigated the implant material produced form hBN-HA for the first time. Our data suggest that hBN is a new promising target for biomaterial and implant bioengineers. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Sliding behavior of boron ion-implanted 304 stainless steel

    International Nuclear Information System (INIS)

    Shrivastava, S.; Jain, A.; Singh, C.

    1995-01-01

    The authors have studied the influence of boron ion implantation on the friction and wear behavior of 304 stainless steel. The authors find an increase in microhardness following implantation. The authors also observed a reduction in wear and coefficient of friction. They have measured the microhardness, inside the wear tracks and have found a large increase in the values in the unimplanted specimens and only a small increase in the implanted specimens. These observations have thrown light on the change in the wear mechanism between the two cases. The authors have also used Scanning Electron Microscopy and Energy Dispersive Analysis of X-rays, to characterize the differences in the mode of wear. The change in wear behavior is brought about by the ability of boron to prevent the surface from transforming into a hard brittle layer during wear

  2. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  3. Helium behaviour in implanted boron carbide

    Directory of Open Access Journals (Sweden)

    Motte Vianney

    2015-01-01

    Full Text Available When boron carbide is used as a neutron absorber in nuclear power plants, large quantities of helium are produced. To simulate the gas behaviour, helium implantations were carried out in boron carbide. The samples were then annealed up to 1500 °C in order to observe the influence of temperature and duration of annealing. The determination of the helium diffusion coefficient was carried out using the 3He(d,p4He nuclear reaction (NRA method. From the evolution of the width of implanted 3He helium profiles (fluence 1 × 1015/cm2, 3 MeV corresponding to a maximum helium concentration of about 1020/cm3 as a function of annealing temperatures, an Arrhenius diagram was plotted and an apparent diffusion coefficient was deduced (Ea = 0.52 ± 0.11 eV/atom. The dynamic of helium clusters was observed by transmission electron microscopy (TEM of samples implanted with 1.5 × 1016/cm2, 2.8 to 3 MeV 4He ions, leading to an implanted slab about 1 μm wide with a maximum helium concentration of about 1021/cm3. After annealing at 900 °C and 1100 °C, small (5–20 nm flat oriented bubbles appeared in the grain, then at the grain boundaries. At 1500 °C, due to long-range diffusion, intra-granular bubbles were no longer observed; helium segregates at the grain boundaries, either as bubbles or inducing grain boundaries opening.

  4. The fraction of substitutional boron in silicon during ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Caturla, M.J.; Johnson, M.D.; Diaz de la Rubia, T.

    1998-01-01

    We present results from a kinetic Monte Carlo simulation of boron transient enhanced diffusion (TED) in silicon. Our approach avoids the use of phenomenological fits to experimental data by using a complete and self-consistent set of values for defect and dopant energetics derived mostly from ab initio calculations. The results predict that, during annealing of 40 keV B-implanted Si at 800 degree C, there exists a time window during which all the implanted boron atoms are substitutional. At earlier or later times, the interactions between free silicon self-interstitials and boron atoms drive the growth of boron clusters and result in an inactive boron fraction. The results show that the majority of boron TED takes place during the growth period of interstitial clusters and not during their dissolution. copyright 1998 American Institute of Physics

  5. Depth profiling of boron implanted silicon by positron beam

    International Nuclear Information System (INIS)

    Oevuenc, S.

    2004-01-01

    Positron depth profiling analyses of low energy implants of silicon aim to observe tbe structure and density of the vacancies generating by implantation and the effect of annealing. This work present the results to several set of data starting S and W parameters. Boron implanted Silicon samples with different implantation energies,20,22,24,and 26 keV are analyzed by Slow positron beam (0-40 keV and 10 5 e + /s )(Variable Energy Positron) at the Positron Centre Delf-HOLLAND

  6. Comparison of boron and neon damage effects in boron ion-implanted resistors

    International Nuclear Information System (INIS)

    MacIver, B.A.

    1975-01-01

    Boron and neon damage implants were used in fabricating integrated-circuit resistors in silicon. Resistor properties were studied as functions of damaging ion species and dose. Sheet resistances in the 10 000 Ω/square range were obtained with low temperature and voltage sensitivities and d.c. isolation. (author)

  7. Field isolation for GaN MOSFETs on AlGaN/GaN heterostructure with boron ion implantation

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Wang, D J; Tamai, K; Li, L A; Ao, J-P; Ohno, Y; Shinkai, S; Miyashita, T; Motoyama, S-I

    2014-01-01

    We report the investigation of boron ion implantation as a device field isolation process for GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure. In the mesa isolation region of a bar-type MOSFET, a parasitic MOS-channel existed and widened the designed channel width, which would result in an overestimated mobility compared with a ring-type MOSFET. After boron ions implantation in the isolation region, the overestimation of field-effect mobility of bar-type MOSFETs was eliminated. The sub-threshold characteristics and on-state drain current of the bar-type MOSFETs coincide with the ring-type devices. Long-channel ring-type MOSFETs, with and without ion implantation, were fabricated on the recess region to evaluate the sub-threshold characteristics. The MOSFETs with boron ions implanted into the recess region showed a low drain current up to the gate bias of 10V. The result indicated that boron ion implantation prevented the formation of parasitic MOS-channel in the isolation region and achieved field isolation. The current–voltage characteristics of MOSFETs with the normal recess condition demonstrated no degradation of device performance after boron ions implanted into the isolation region. Boron ion implantation by further optimization can be a field isolation method for GaN MOSFETs. (paper)

  8. Defect formation in oxygen- and boron- implanted MOS structures after gamma irradiation

    CERN Document Server

    Kaschieva, S; Skorupa, W

    2003-01-01

    The effect of gamma irradiation on the interface states of ion-implanted MOS structures is studied by means of the thermally stimulated charge method. 10-keV oxygen- or boron- (O sup + or B sup +) implanted samples are gamma-irradiated with sup 6 sup 0 Co. Gamma irradiation creates electron levels at the SiSiO sub 2 interface of the samples in a different way depending on the type of the previously implanted atoms (O sup + or B sup +). The results demonstrate that the concentration of the shallower levels (in the silicon band gap) of oxygen-implanted samples increases more effectively after gamma irradiation. The same irradiation conditions increase more intensively the concentration of the deeper levels (in the silicon band gap) of boron-implanted samples. (orig.)

  9. The effect of excimer laser pretreatment on diffusion and activation of boron implanted in silicon

    International Nuclear Information System (INIS)

    Monakhov, E.V.; Svensson, B.G.; Linnarsson, M.K.; La Magna, A.; Italia, M.; Privitera, V.; Fortunato, G.; Cuscuna, M.; Mariucci, L.

    2005-01-01

    We have investigated the effect of excimer laser annealing (ELA) on transient enhanced diffusion (TED) and activation of boron implanted in Si during subsequent rapid thermal annealing (RTA). It is observed that ELA with partial melting of the implanted region causes reduction of TED in the region that remains solid during ELA, where the diffusion length of boron is reduced by a factor of ∼4 as compared to the as-implanted sample. This is attributed to several mechanisms such as liquid-state annealing of a fraction of the implantation induced defects, introduction of excess vacancies during ELA, and solid-state annealing of the defects beyond the maximum melting depth by the heat wave propagating into the Si wafer. The ELA pretreatment provides a substantially improved electrical activation of boron during subsequent RTA

  10. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  11. Effect of radiation induced defects and incompatibility elastic stresses on the diffusion of ion implantated boron in silicon at the pulse annealing

    International Nuclear Information System (INIS)

    Stel'makh, V.F.; Suprun-Belevich, Yu.R.; Chelyadinskij, A.R.

    1987-01-01

    For determination of radiation defects effect on diffusion of the implanted boron in silicon at the pulse annealing, silicon crystals, implanted with boron, preliminary irradiated by silicon ions of different flows for checked defects implantation, were investigated. Silicon crystals additionally implanted by Ge + ions were investigated to research the effect of the incompatibility elastic stresses, emerging in implanted structures due to lattice periods noncoincidence in matrix and alloyed layers, on implanted boron diffusion. It is shown, that abnormally high values of boron diffusion coefficients in silicon at the pulse annealing are explained by silicon interstitial atom participation in redistribution of diffusing boron atoms by two diffusion channels - interstitial and vacation - and by incompatibility elastic stresses effect on diffusion

  12. Preparation of boron-rich aluminum boride nanoparticles by RF thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Sooseok [Inha University, Department of Chemical Engineering and Regional Innovation Center for Environmental Technology of Thermal Plasma (Korea, Republic of); Matsuo, Jiro; Cheng, Yingying [Tokyo Institute of Technology, Department of Environmental Chemistry and Engineering (Japan); Watanabe, Takayuki, E-mail: watanabe@chemenv.titech.ac.jp [Kyushu University, Department of Chemical Engineering (Japan)

    2013-08-15

    Boron-rich compounds of AlB{sub 12} and AlB{sub 10} nanoparticles were synthesized by a radiofrequency thermal plasma. Aluminum and boron raw powders were evaporated in virtue of high enthalpy of the thermal plasma in upstream region, followed by the formation of aluminum boride nanoparticles in the tail region of plasma flame with rapid quenching. A high production rate of aluminum boride was confirmed by the X-ray diffraction measurement in the case of high input power, high boron content in raw material and helium inner gas. Polyhedral nanoparticles of 20.8 nm in mean size were observed by a transmission electron microscope. In the raw powder mixture of aluminum, titanium, and boron, titanium-boride nanoparticles were synthesized preferentially, because the Gibbs free energy for the boridation of titanium is lower than that of aluminum. Since the nucleation temperature of boron is higher than that of aluminum, the condensation of metal monomers onto boron nuclei results in the formation of boron-rich aluminum boride nanoparticles.

  13. Boron erosion and carbon deposition due to simultaneous bombardment with deuterium and carbon ions in plasmas

    International Nuclear Information System (INIS)

    Ohya, K.; Kawata, J.; Wienhold, P.; Karduck, P.; Rubel, M.; Seggern, J. von

    1999-01-01

    Erosion of boron out of a thin film exposed to deuterium edge plasmas and the simultaneous carbon deposition have been investigated in the tokamak TEXTOR-94 and simulated by means of a dynamic Monte Carlo code. The calculated results are compared with some observations (colorimetry, spectroscopy and AES) during and after the exposures. The implantation of carbon impurities strongly changes the effective boron sputtering yield of the film, which results into a lowering of the film erosion and a formation of thick carbon deposits. A strong decrease in the observed BII line emission around a surface location far from the plasma edge can be explained by a carbon deposition on the film. The calculated carbon depth profiles in the film, depending on the distance of the exposed surface from the plasma edge, are in reasonable agreement with measurements by AES after the exposures. Although simultaneous surface erosion and carbon deposition can be simulated, the calculated erosion rate is larger, by a factor of 2, than the observations by colorimetry at the early stage of the exposure

  14. The effect of boron implantation on the corrosion behaviour, microhardness and contact resistance of copper and silver surfaces

    International Nuclear Information System (INIS)

    Henriksen, O.; Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.

    1986-01-01

    In order to investigate the influence of boron implantation on the corrosion resistance of electrical contacts, a number of pure copper, pure silver and copper edge connector samples have been implanted with boron (40 keV) to fluences of 5.10 20 m -2 and 2.10 21 m -2 . Atmospheric corrosion tests of the implanted species were conducted using the following exposures: H 2 S (12.5 ppm, 4 days), SO 2 (25 ppm, 21 days), saltfog (5% NaCl, 1 day), moist air (93% RH, 56 days), and hot/dry air (70 C, 56 days). The boron implantations lead to a significant reduction in the sulphidation rate of copper and silver. The corrosive film formed during exposure in H 2 S and SO 2 atmospheres is confined to pitted regions on the implanted areas, while a thick and relatively uniform film formation is observed on the unimplanted samples. The corrosion resistance of copper and silver in saltfog atmosphere is somewhat improved by boron implantation, whilst the results from exposures to moist air or hot/dry air are inconclusive. The improved corrosion behaviour is accompanied by an increase in the contact resistance and in the microhardness of the implanted samples. (orig.)

  15. Simulations of plasma heating caused by the coalescence of multiple current loops in a proton-boron fusion plasma

    International Nuclear Information System (INIS)

    Haruki, T.; Yousefi, H. R.; Sakai, J.-I.

    2010-01-01

    Two dimensional particle-in-cell simulations of a dense plasma focus were performed to investigate a plasma heating process caused by the coalescence of multiple current loops in a proton-boron-electron plasma. Recently, it was reported that the electric field produced during the coalescence of two current loops in a proton-boron-electron plasma heats up all plasma species; proton-boron nuclear fusion may therefore be achievable using a dense plasma focus device. Based on this work, the coalescence process for four and eight current loops was investigated. It was found that the return current plays an important role in both the current pinch and the plasma heating. The coalescence of four current loops led to the breakup of the return current from the pinched plasma, resulting in plasma heating. For the coalescence of eight current loops, the plasma was confined by the pinch but the plasma heating was smaller than the two and four loop cases. Therefore the heating associated with current loop coalescence depends on the number of initial current loops. These results are useful for understanding the coalescence of multiple current loops in a proton-boron-electron plasma.

  16. Flash lamp annealing of ion implanted boron profiles

    International Nuclear Information System (INIS)

    Wieser, E.; Syhre, H.; Ruedenauer, F.G.; Steiger, W.

    1983-05-01

    The diffusion behaviour of ion implanted boron profiles (5x10E15 B/cm 2 , 50keV) in silicon at 800 0 C and 900 0 C has been compared for samples with and without foregoing flahs - lamp annealing of the radiation damage. The observed differences are discussed with respect to mechanisms of diffusion inhibition in the high concentration region. (Author) [de

  17. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  18. Plasma Synthesized Doped Boron Nanopowder for MgB2 Superconductors

    International Nuclear Information System (INIS)

    Marzik, James V.

    2012-01-01

    Under this program, a process to synthesize nano-sized doped boron powder by a plasma synthesis process was developed and scaled up from 20 gram batches at program start to over 200 grams by program end. Over 75 batches of boron nanopowder were made by RF plasma synthesis. Particle sizes were typically in the 20-200 nm range. The powder was synthesized by the reductive pyrolysis of BCl 3 in hydrogen in an RF plasma. A wide range of process parameters were investigated including plasma power, torch geometry, gas flow rates, and process pressure. The powder-in-tube technique was used to make monofilament and multifilament superconducting wires. MgB 2 wire made with Specialty Materials plasma synthesized boron nanopowder exhibited superconducting properties that significantly exceeded the program goals. Superconducting critical currents, J c , in excess of 10 5 A cm -2 at magnetic fields of 8 tesla were reproducibly achieved. The upper critical magnetic field in wires fabricated with program boron powder were H c2 (0) = 37 tesla, demonstrating the potential of these materials for high field magnet applications. T c in carbon-doped MgB 2 powder showed a systematic decrease with increasing carbon precursor gas flows, indicating the plasma synthesis process can give precise control over dopant concentrations. Synthesis rates increased by a factor of 400% over the course of the program, demonstrating the scalability of the powder synthesis process. The plasma synthesis equipment at Specialty Materials has successfully and reproducibly made high quality boron nanopowder for MgB 2 superconductors. Research and development from this program enabled Specialty Materials to successfully scale up the powder synthesis process by a factor of ten and to double the size of its powder pilot plant. Thus far the program has been a technical success. It is anticipated that continued systematic development of plasma processing parameters, dopant chemistry and concentration, wire

  19. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  20. Impurity effects of hydrogen isotope retention on boronized wall in LHD

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Nishimura, Kiyohiko; Sagara, Akio

    2010-11-01

    The impurity effect on hydrogen isotopes retention in the boron film deposited in LHD was evaluated by means of XPS and TDS. It was found that the impurity concentrations in boron film were increased after H-H main plasma exposure in LHD. The ratio of hydrogen retention trapped by impurity to total hydrogen retention during H-H main plasma exposure was reached to 70%, although that of deuterium retention by impurity in D 2 + implanted LHD-boron film was about 35%. In addition, the dynamic chemical sputtering of hydrogen isotopes with impurity as the form of water and / or hydrocarbons was occurred by energetic hydrogen isotopes irradiation. It was expected that the enhancement of impurity concentration during plasma exposure in LHD would induce the dynamic formation of volatile molecules and their re-emission to plasma. These facts would prevent stable plasma operation in LHD, concluding that the dynamic impurity behavior in boron film during plasma exposure is one of key issues for the steady-state plasma operation in LHD. (author)

  1. Highly-focused boron implantation in diamond and imaging using the nuclear reaction {sup 11}B(p, α){sup 8}Be

    Energy Technology Data Exchange (ETDEWEB)

    Ynsa, M.D., E-mail: m.ynsa@uam.es [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Ramos, M.A. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física de la Materia Condensada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Skukan, N. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia); Torres-Costa, V. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Jakšić, M. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia)

    2015-04-01

    Diamond is an especially attractive material because of its gemological value as well as its unique mechanical, chemical and physical properties. One of these properties is that boron-doped diamond is an electrically p-type semiconducting material at practically any boron concentration. This property makes it possible to use diamonds for multiple industrial and technological applications. Boron can be incorporated into pure diamond by different techniques including ion implantation. Although typical energies used to dope diamond by ion implantation are about 100 keV, implantations have also been performed with energies above MeV. In this work CMAM microbeam setup has been used to demonstrate capability to implant boron with high energies. An 8 MeV boron beam with a size of about 5 × 3 μm{sup 2} and a beam current higher than 500 pA has been employed while controlling the beam position and fluence at all irradiated areas. The subsequent mapping of the implanted boron in diamond has been obtained using the strong and broad nuclear reaction {sup 11}B(p, α){sup 8}Be at E{sub p} = 660 keV. This reaction has a high Q-value (8.59 MeV for α{sub 0} and 5.68 MeV for α{sub 1}) and thus is almost interference-free. The sensitivity of the technique is studied in this work.

  2. Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation; Oberflaechenmodifikation des Hartmetalls Wolframkarbid-Kobalt durch Bor-Ionenimplantation

    Energy Technology Data Exchange (ETDEWEB)

    Mrotchek, I.

    2007-09-07

    In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and {approx}5.10{sup 17} ions/cm{sup 2} fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co{sub 3}W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load.

  3. Laser activation of Ultra Shallow Junctions (USJ) doped by Plasma Immersion Ion Implantation (PIII)

    International Nuclear Information System (INIS)

    Vervisch, Vanessa; Larmande, Yannick; Delaporte, Philippe; Sarnet, Thierry; Sentis, Marc; Etienne, Hasnaa; Torregrosa, Frank; Cristiano, Fuccio; Fazzini, Pier Francesco

    2009-01-01

    Today, the main challenges for the realization of the source/drain extensions concern the ultra-low energy implantation and the activation of the maximum amount of dopants with a minimized diffusion. Among the different annealing processes, one solution is the laser thermal annealing. Many studies [F. Torregrosa, C. Laviron, F. Milesi, M. Hernandez, H. Faik, J. Venturini, Proc. 14th International Conference on Ion Implant Technology, 2004; M. Hernandez, J. Venturini, D. Zahorski, J. Boulmer, D. Debarre, G. Kerrien, T. Sarnet, C. Laviron, M.N Semeria, D. Camel, J.L Santailler, Appl. Surf. Sci. 208-209 (2003) 345-351] have shown that the association of Plasma Immersion Ion Implantation (PIII) and Laser Thermal Process (LTP) allows to obtain junctions of a few nanometers with a high electrical activation. All the wafers studied have been implanted by PULSION (PIII implanter developed by Ion Beam Services) with an acceleration voltage of 1 kV and a dose of 6 x 10 15 at./cm 2 . In this paper, we compare the annealing process achieved with three excimer lasers: ArF, KrF and XeCl with a wavelength of respectively 193, 248 and 308 nm. We analyse the results in terms of boron activation and junction depth. To complete this study, we have observed the effect of pre-amorphization implantation (PAI) before PIII process on boron implantation and boron activation. We show that Ge PAI implanted by classical beam line allows a decrease of the junction depth from 20 down to 12 nm in the as-implanted condition. Transmission Electron Microscopy (TEM) analyses were performed in order to study the structure of pre-amorphized silicon and to estimate the thickness of the amorphous layer. In order to determine the sheet resistance (R s ) and the junction depth (X j ), we have used the four-point probe technique (4PP) and secondary ion mass spectrometry (SIMS) analysis. To complete the electrical characterizations some samples have been analyzed by non-contact optical measurements. All the

  4. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  5. Suppression of boron diffusion using carbon co-implantation in DRAM

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Suk Hun [School of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon-si 440-746 (Korea, Republic of); Park, Se Geun; Kim, Shin Deuk; Jung, Hyuck-Chai; Kim, Il Gweon [Memory Division, Samsung Electronics Co. Ltd., Hwasung-si 445-330 (Korea, Republic of); Kang, Dong-Ho [School of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon-si 440-746 (Korea, Republic of); Kim, Dae Jung; Lee, Kyu Pil; Choi, Joo Sun [Memory Division, Samsung Electronics Co. Ltd., Hwasung-si 445-330 (Korea, Republic of); Baek, Jung-Woo [Industrial Engineering Department, Chosun University, Gwangju-si 501-759 (Korea, Republic of); Choi, Moonsuk [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791, South Korea (Korea, Republic of); Park, Yongkook [School of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon-si 440-746 (Korea, Republic of); Choi, Changhwan, E-mail: cchoi@hanyang.ac.kr [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791, South Korea (Korea, Republic of); Park, Jin-Hong, E-mail: jhpark9@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon-si 440-746 (Korea, Republic of)

    2016-10-15

    Highlights: • The impact of Ge + C co-implantation on dopant diffusion was investigated. • DIBL and V{sub TH} variation was improved by Ge + C co-implantation. • The V{sub TH} mismatch and the write characteristics were improved in the DRAM device. - Abstract: In this paper, germanium pre-amorphization implantation (PAI) and carbon co-implantation (Ge + C co-IIP) were applied to suppress boron diffusion. The corresponding characteristics were investigated in terms of the dopant diffusion, device performance, and its application to dynamic random access memory (DRAM). A shallow dopant profile was indicated and the threshold voltage (V{sub TH}) was reduced by approximately 45 mV by Ge + C co-IIP. In the DRAM device, the V{sub TH} mismatch of the sense amplifier NMOS pairs was reduced by approximately 15% and the write characteristics were improved two-fold.

  6. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  7. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  8. The effect of boron supplementation on lean body mass, plasma testosterone levels, and strength in male bodybuilders

    Science.gov (United States)

    Ferrando, A. A.; Green, N. R.

    1993-01-01

    The effect of boron supplementation was investigated in 19 male bodybuilders ages 20-27 years. Ten were given a 2.5-mg boron supplement while 9 were given a placebo every day for 7 weeks. Plasma total and free testosterone, plasma boron, lean body mass, and strength measurements were determined on Days 1 and 49 of the study. Plasma boron values were significantly (p bodybuilding can increase total testosterone, lean body mass, and strength in lesser trained bodybuilders, and that boron supplementation had no effect on these measures.

  9. A direct plasma injection system into an RFQ for clean and safe ion implantation

    International Nuclear Information System (INIS)

    Takeuchi, T.; Katayama, T.; Okamura, M.; Yano, K.; Sakumi, A.; Hattori, T.; Hayashizaki, N.; Jameson, R.A.

    2002-01-01

    A new injection system, direct plasma injection system, was tested and its principle was proved successfully. We found that one of advantages of this injection system was efficient consumption of source materials. Large portions of induced ions can be injected into a first stage accelerator. This feature is quite useful for ion implantation applications, because toxic exhaust gas can be eliminated. In order to utilize this system for industrial application, the feasibility of a boron injection scheme using a Nd:YAG laser system was investigated

  10. Determination of trace amounts of boron in steel by high frequency plasma torch spectrometry

    International Nuclear Information System (INIS)

    Akiyoshi, Takanori; Tsukamoto, Takako

    1978-01-01

    Trace amount of boron in steel were determined by means of emission spectrometry using high frequency plasma torch. The sensitivity of this method depended on the kind of solvent used, and methyl alcohol gave the best sensitivity. The determination limit of boron in methanol was 0.002 μg/ml. The established method utilized the high sensibility of the plasma torch and the easy distillation of boron in methanol as trimethyl-borate (B(OCH 3 ) 3 ). The sample was dissolved by acids and dehydrated by hot H 2 SO 4 and H 3 Po 4 . After cooling and addition of methanol (60 ml), the solution was distilled to obtain 40 ml of the distillate. The amount of boron in the distillate was determined by the intensity of the spectral line of B 2497.73 A excited by plasma torch. This method was rapid and accurate, particularly in determining trace amounts of boron and the determination range of boron in steel was 0.2 to 150 ppm. This method was also appliciable to stainless steels and other alloys. (auth.)

  11. Diffusion modelling of low-energy ion-implanted BF{sub 2} in crystalline silicon: Study of fluorine vacancy effect on boron diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Marcon, J. [Laboratoire Electronique Microtechnologie et Instrumentation (LEMI), University of Rouen, 76821 Mont Saint Aignan (France)], E-mail: Jerome.Marcon@univ-rouen.fr; Merabet, A. [Laboratoire de Physique et Mecanique des Materiaux Metalliques, Departement d' O.M.P., Faculte des Sciences de l' Ingenieur, Universite de Setif, 19000 Setif (Algeria)

    2008-12-05

    We have investigated and modelled the diffusion of boron implanted into crystalline silicon in the form of boron difluoride BF{sub 2}{sup +}. We have used published data for BF{sub 2}{sup +} implanted with an energy of 2.2 keV in crystalline silicon. Fluorine effects are considered by using vacancy-fluorine pairs which are responsible for the suppression of boron diffusion in crystalline silicon. Following Uematsu's works, the simulations satisfactory reproduce the SIMS experimental profiles in the 800-1000 deg. C temperature range. The boron diffusion model in silicon of Uematsu has been improved taking into account the last experimental data.

  12. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  13. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  14. Real-time boronization in PBX-M using erosion of solid boronized targets

    International Nuclear Information System (INIS)

    Kugel, H.W.; Timberlake, J.; Bell, R.; LeBlanc, B.; Okabayashi, M.; Paul, S.; Tighe, W.; Hirooka, Y.

    1994-11-01

    Thirty one real-time boronizations were applied to PBX-M using the plasma erosion of solid target probes. More than 17 g of boron were deposited in PBX-M using this technique. The probes were positioned at the edge plasma to optimize vaporization and minimize spallation. Auger depth profile analysis of poloidal and toroidal deposition sample coupon arrays indicate that boron was transported by the plasma around the torus and deep into the divertors. During discharges with continuous real-time boronization, low-Z and high-Z impurities decreased rapidly as plasma surfaces were covered during the first 20-30 discharges. After boronization, a short-term improvement in plasma conditions persisted prior to significant boron erosion from plasma surfaces, and a longer term, but less significant improvement persisted as boron farther from the edge continued gettering. Real-time solid target boronization has been found to be very effective for accelerating conditioning to new regimes and maintaining high performance plasma conditions

  15. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  16. Defect and dopant depth profiles in boron-implanted silicon studied with channeling and nuclear reaction analysis

    NARCIS (Netherlands)

    Vos, M.; Boerma, D.O.; Smulders, P.J.M.; Oosterhoff, S.

    1986-01-01

    Single crystals of silicon were implanted at RT with 1 MeV boron ions to a dose of 1 × 1015 ions/cm2. The depth profile of the boron was measured using the 2060-keV resonance of the 11B(α, n)14N nuclear reaction. The distribution of the lattice disorder as a function of depth was determined from

  17. Impact of implanted phosphorus on the diffusivity of boron and its applicability to silicon solar cells

    International Nuclear Information System (INIS)

    Schrof, Julian; Müller, Ralph; Benick, Jan; Hermle, Martin; Reedy, Robert C.

    2015-01-01

    Boron diffusivity reduction in extrinsically doped silicon was investigated in the context of a process combination consisting of BBr 3 furnace diffusion and preceding Phosphorus ion implantation. The implantation of Phosphorus leads to a substantial blocking of Boron during the subsequent Boron diffusion. First, the influences of ion implantation induced point defects as well as the initial P doping on B diffusivity were studied independently. Here, it was found that not the defects created during ion implantation but the P doping itself results in the observed B diffusion retardation. The influence of the initial P concentration was investigated in more detail by varying the P implantation dose. A secondary ion mass spectrometry (SIMS) analysis of the BSG layer after the B diffusion revealed that the B diffusion retardation is not due to potential P content in the BSG layer but rather caused by the n-type doping of the crystalline silicon itself. Based on the observations the B diffusion retardation was classified into three groups: (i) no reduction of B diffusivity, (ii) reduced B diffusivity, and (iii) blocking of the B diffusion. The retardation of B diffusion can well be explained by the phosphorus doping level resulting in a Fermi level shift and pairing of B and P ions, both reducing the B diffusivity. Besides these main influences, there are probably additional transient phenomena responsible for the blocking of boron. Those might be an interstitial transport mechanism caused by P diffusion that reduces interstitial concentration at the surface or the silicon/BSG interface shift due to oxidation during the BBr 3 diffusion process. Lifetime measurements revealed that the residual (non-blocked) B leads to an increased dark saturation current density in the P doped region. Nevertheless, electrical quality is on a high level and was further increased by reducing the B dose as well as by removing the first few nanometers of the silicon surface after the BBr 3

  18. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  19. Conditionings for boron-carbon plasma facing wall

    International Nuclear Information System (INIS)

    Hino, Tomoaki; Yamauchi, Yuji; Yamashina, Toshiro

    1994-01-01

    For plasma facing material with components of boron and carbon, the method of conditionings due to He discharge cleaning and baking is considered. The conditioning time required to suppress the hydrogen recycling is discussed. It is shown that the hydrogen trapped by the boron can be relatively easily removed only by the baking at 300degC or only by He discharge cleaning with current density of 0.1 mA/cm 2 . It is not easy to remove the hydrogen trapped by the carbon by the baking since the temperature required becomes 500degC. The current density required also becomes high, 1 mA/cm 2 , for the reduction of the hydrogen trapped by the carbon. (author)

  20. Implanted He retention and release from boronized layers

    International Nuclear Information System (INIS)

    Doyle, B.L.; Walsh, D.S.; Wampler, W.R.

    1992-01-01

    3 He has been implanted at an energy of 3 keV into amorphous hydrogenated boron-carbon (a-BC) films deposited by rf sputtering onto single crystal Si substrates. The initial composition of the films was analyzed by nuclear-enhanced backscattering spectrometry to be B 2 C with ∝20% H and ∝10% O. The areal density of the implanted and retained 3 He was measured in situ by a new ion beam analysis technique using the 3 He( 3 He, pp) three-body nuclear reaction. The He trapping or pumping efficiency at room temperature is only 3.4% for low fluence implants and the a-BC layer saturates with He at a fluence of 5x10 17 He/cm 2 . At this saturation fluence, only 3.1x10 15 He/cm 2 is retained in the film. Isochronal annealing of the implanted samples reveals a distributed release of implanted He at ∝200deg C, which corresponds to a trap activation energy of 1.65±0.25 eV. 3 He was trapped less efficiently at 250deg C than at room temperature and exhibited a saturated retention of 8.6x10 14 He/cm 2 . These results indicate that wall pumping should play only a minor role in the interpretation of the Textor He-pump experiment carried out earlier this year. The results also show that the unintentional deposition of a-Bc, onto He pumping plates could adversely affect the operation of such devices, and should therefore be avoided. (orig.)

  1. X-ray analysis of temperature induced defect structures in boron implanted silicon

    Science.gov (United States)

    Sztucki, M.; Metzger, T. H.; Kegel, I.; Tilke, A.; Rouvière, J. L.; Lübbert, D.; Arthur, J.; Patel, J. R.

    2002-10-01

    We demonstrate the application of surface sensitive diffuse x-ray scattering under the condition of grazing incidence and exit angles to investigate growth and dissolution of near-surface defects after boron implantation in silicon(001) and annealing. Silicon wafers were implanted with a boron dose of 6×1015 ions/cm2 at 32 keV and went through different annealing treatments. From the diffuse intensity close to the (220) surface Bragg peak we reveal the nature and kinetic behavior of the implantation induced defects. Analyzing the q dependence of the diffuse scattering, we are able to distinguish between point defect clusters and extrinsic stacking faults on {111} planes. Characteristic for stacking faults are diffuse x-ray intensity streaks along directions, which allow for the determination of their growth and dissolution kinetics. For the annealing conditions of our crystals, we conclude that the kinetics of growth can be described by an Ostwald ripening model in which smaller faults shrink at the expense of the larger stacking faults. The growth is found to be limited by the self-diffusion of silicon interstitials. After longer rapid thermal annealing the stacking faults disappear almost completely without shrinking, most likely by transformation into perfect loops via a dislocation reaction. This model is confirmed by complementary cross-sectional transmission electron microscopy.

  2. X-ray diffuse scattering study of the kinetics of stacking fault growth and annihilation in boron-implanted silicon

    Science.gov (United States)

    Luebbert, D.; Arthur, J.; Sztucki, M.; Metzger, T. H.; Griffin, P. B.; Patel, J. R.

    2002-10-01

    Stacking faults in boron-implanted silicon give rise to streaks or rods of scattered x-ray intensity normal to the stacking fault plane. We have used the diffuse scattering rods to follow the growth of faults as a function of time when boron-implanted silicon is annealed in the range of 925 to 1025 degC. From the growth kinetics we obtain an activation energy for interstitial migration in silicon: EI=1.98plus-or-minus0.06 eV. Fault intensity and size versus time results indicate that faults do not shrink and disappear, but rather are annihilated by a dislocation reaction mechanism.

  3. Band gap effects of hexagonal boron nitride using oxygen plasma

    International Nuclear Information System (INIS)

    Sevak Singh, Ram; Leong Chow, Wai; Yingjie Tay, Roland; Hon Tsang, Siu; Mallick, Govind; Tong Teo, Edwin Hang

    2014-01-01

    Tuning of band gap of hexagonal boron nitride (h-BN) has been a challenging problem due to its inherent chemical stability and inertness. In this work, we report the changes in band gaps in a few layers of chemical vapor deposition processed as-grown h-BN using a simple oxygen plasma treatment. Optical absorption spectra show a trend of band gap narrowing monotonically from 6 eV of pristine h-BN to 4.31 eV when exposed to oxygen plasma for 12 s. The narrowing of band gap causes the reduction in electrical resistance by ∼100 fold. The x-ray photoelectron spectroscopy results of plasma treated hexagonal boron nitride surface show the predominant doping of oxygen for the nitrogen vacancy. Energy sub-band formations inside the band gap of h-BN, due to the incorporation of oxygen dopants, cause a red shift in absorption edge corresponding to the band gap narrowing

  4. Band gap effects of hexagonal boron nitride using oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Sevak Singh, Ram; Leong Chow, Wai [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Yingjie Tay, Roland [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Hon Tsang, Siu [Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Mallick, Govind [Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Weapons and Materials Research Directorate, U.S. Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States); Tong Teo, Edwin Hang, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2014-04-21

    Tuning of band gap of hexagonal boron nitride (h-BN) has been a challenging problem due to its inherent chemical stability and inertness. In this work, we report the changes in band gaps in a few layers of chemical vapor deposition processed as-grown h-BN using a simple oxygen plasma treatment. Optical absorption spectra show a trend of band gap narrowing monotonically from 6 eV of pristine h-BN to 4.31 eV when exposed to oxygen plasma for 12 s. The narrowing of band gap causes the reduction in electrical resistance by ∼100 fold. The x-ray photoelectron spectroscopy results of plasma treated hexagonal boron nitride surface show the predominant doping of oxygen for the nitrogen vacancy. Energy sub-band formations inside the band gap of h-BN, due to the incorporation of oxygen dopants, cause a red shift in absorption edge corresponding to the band gap narrowing.

  5. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  6. Boron- and iron-bearing molecules in laser-induced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Gaft, M.; Nagli, L.; Eliezer, N.; Groisman, Y.

    2015-08-01

    Boron combines with alkali-earth elements, such as Ca, Mg, and Sr and with oxygen to form molecules in LIP of boron-bearing minerals with strong and characteristic band emission. It may be supposed that those bands are of CaBO{sub 2}, MgBO{sub 2} and SrBO{sub 2} type. Besides, emission of BO, BO{sub 2} and FeO is also detected. - Highlights: • We studied laser-induced breakdown spectra of B with Ca, Mg and Sr in air. • Emission of polyatomic molecules was found. • Molecules of FeO were found in laser-induced plasma in air.

  7. Interactions of Deuterium Plasma with Lithiated and Boronized Surfaces in NSTX-U

    Science.gov (United States)

    Krstic, Predrag

    2015-09-01

    The main research goal of the presented research has been to understand the changes in surface composition and chemistry at the nanoscopic temporal and spatial scales for long pulse Plasma Facing Components (PFCs) and link these to the overall machine performance of the National Spherical Torus Experiment Upgrade (NSTX-U). A study is presented of the lithium surface science, with atomic spatial and temporal resolutions. The dynamic surface responds and evolves in a mixed material environments (D, Li, C, B, O, Mo, W) with impingement of plasma particles in the energy range below 100 eV. The results, obtained by quantum-classical molecular dynamics, include microstructure changes, erosion, surface chemistry, deuterium implantation and permeation. Main objectives of the research are i) a comparison of Li and B deposition on carbon, ii) the role of oxygen and other impurities e.g. boron, carbon in the lithium performance, and iii) how this performance will change when lithium is applied to a high-Z refractory metal substrate (Mo, W). In addition to predicting and understanding the phenomenology of the processes, we will show plasma induced erosion of PFCs, including chemical and physical sputtering yields at various temperatures (300-700 K) as well as deuterium uptake/recycling. This work is supported by the U.S. Department of Energy Office of Science, Office of Fusion Energy Science, Award Number DE-SC0013752.

  8. Plasma-induced high efficient synthesis of boron doped reduced graphene oxide for supercapacitors

    DEFF Research Database (Denmark)

    Li, Shaobo; Wang, Zhaofeng; Jiang, Hanmei

    2016-01-01

    In this work, we presented a novel route to synthesize boron doped reduced graphene oxide (rGO) by using the dielectric barrier discharge (DBD) plasma technology under ambient conditions. The doping of boron (1.4 at%) led to a significant improvement in the capacitance of rGO and supercapacitors ...

  9. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  10. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  11. Plasma-induced highly efficient synthesis of boron doped reduced graphene oxide for supercapacitors.

    Science.gov (United States)

    Li, Shaobo; Wang, Zhaofeng; Jiang, Hanmei; Zhang, Limei; Ren, Jingzheng; Zheng, Mingtao; Dong, Lichun; Sun, Luyi

    2016-09-21

    In this work, we presented a novel route to synthesize boron doped reduced graphene oxide (rGO) by using the dielectric barrier discharge (DBD) plasma technology under ambient conditions. The doping of boron (1.4 at%) led to a significant improvement in the capacitance of rGO and supercapacitors based on the as-synthesized B-rGO exhibited an outstanding specific capacitance.

  12. In vitro and in vivo studies in boron neutron capture therapy of malignant melanoma

    International Nuclear Information System (INIS)

    Allen, B.J.

    1982-01-01

    A multidisciplinary research project in boron neutron capture therapy of malignant melanoma is under consideration by the Australian Atomic Energy Commission. This paper reviews the biochemistry of melanoma and the properties of some melanoma-affined radiopharmaceuticals and their boron analogues. Human cell lines are being used for in vitro tests of uptake and incorporation of some of these compounds, and selected lines will then be implanted in nude mice for in vivo distribution studies. The fidelity of human melanoma xenografts in nude mice has been well studied, and results are reviewed in this paper. Boron concentration will be measured directly by plasma arc emission spectroscopy or liquid scintillation counting with 14 C-labelled boron analogues. Track-etch techniques will be used for the microscopic determination of boron in tumor sections. Neutron irradiation and radiobiology experiments are outlined

  13. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  14. A system to deposit boron films (boronization) in the DIII-D tokamak

    International Nuclear Information System (INIS)

    Hodapp, T.R.; Jackson, G.L.; Phillips, J.; Holtrop, K.L.; Peterson, P.L.; Winters, J.

    1992-01-01

    A system has been added to the DIII-D tokamak to coat its plasma facing surfaces with a film of boron using diborane gas. The system includes special health and safety equipment for handling the diborane gas which is toxic and inflammable. The purpose f the boron film is to reduce the levels of impurity atoms in the DIII-D plasmas. Experiments following the application of the boron film in DIII-D have led to significant reductions in plasma impurity levels and the observation of a new, very high confinement regime

  15. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  16. Boron carbide-coated carbon material, manufacturing method therefor and plasma facing material

    International Nuclear Information System (INIS)

    Suzuki, Takayuki; Kikuchi, Yoshihiro; Hyakki, Yasuo.

    1997-01-01

    The present invention concerns a plasma facing material suitable to a thermonuclear device. The material comprises a carbon material formed by converting the surface of a carbon fiber-reinforced carbon material comprising a carbon matrix and carbon fibers to a boron carbide, the material has a surface comprising vertically or substantially vertically oriented carbon fibers, and the thickness of the surface converted to boron carbide is reduced in the carbon fiber portion than in the carbon matrix portion. Alternatively, a carbon fiber-reinforced carbon material containing carbon fibers having a higher graphitizing degree than the carbon matrix is converted to boron carbide on the surface where the carbon fibers are oriented vertically or substantially vertically. The carbon fiber-reinforced material is used as a base material, and a resin material impregnated into a shaped carbon fiber product is carbonized or thermally decomposed carbon is filled as a matrix. The material of the present invention has high heat conduction and excellent in heat resistance thereby being suitable to a plasma facing material for a thermonuclear device. Electric specific resistivity of the entire coating layer can be lowered, occurrence of arc discharge is prevented and melting can be prevented. (N.H.)

  17. Influence of boronization on operation with high-Z plasma facing components in Alcator C-Mod

    International Nuclear Information System (INIS)

    Lipschultz, B.; Lin, Y.; Marmar, E.S.; Whyte, D.G.; Wukitch, S.; Hutchinson, I.H.; Irby, J.; LaBombard, B.; Reinke, M.L.; Terry, J.L.; Wright, G.

    2007-01-01

    We report the results of operation of Alcator C-Mod with all high-Z molybdenum plasma facing component (PFC) surfaces. Without boron-coated PFCs energy confinement was poor (H ITER,89 ∼ 1) due to high core molybdenum (n Mo /n e ≤ 0.1%) and radiation. After applying boron coatings, n Mo /n e was reduced by a factor of 10-20 with H ITER,89 approaching 2. Results of between-discharge boronization, localized at various major radii, point towards important molybdenum source regions being small, outside the divertor, and due to RF-sheath-rectification. Boronization also has a significant effect on the plasma startup phase lowering Z eff , radiation, and lowering the runaway electron damage. The requirement of low-Z coatings over at least a fraction of the Mo PFCs in C-Mod for best performance together with the larger than expected D retention in Mo, give impetus for further high-Z PFC investigations to better predict the performance of un-coated tungsten surfaces in ITER and beyond

  18. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  19. Defects in boron ion implanted silicon

    International Nuclear Information System (INIS)

    Wu, W.K.

    1975-05-01

    The crystal defects formed after post-implantation annealing of B-ion-implanted Si irradiated at 100 keV to a moderate dose (2 x 10 14 /cm 2 ) were studied by transmission electron microscopy. Contrast analysis and annealing kinetics show at least two different kinds of linear rod-like defects along broken bracket 110 broken bracket directions. One kind either shrinks steadily remaining on broken bracket 110 broken bracket at high temperatures (greater than 850 0 C), or transforms into a perfect dislocation loop which rotates toward broken bracket 112 broken bracket perpendicular to its Burgers vector. The other kind shrinks steadily at moderate temperatures (approximately 800 0 C). The activation energy for shrinkage of the latter (3.5 +- 0.1 eV) is the same as that for B diffusion in Si, suggesting that this linear defect is a boron precipitate. There also exist a large number of perfect dislocation loops with Burgers vector a/2broken bracket 110 broken bracket. The depth distribution of all these defects was determined by stereomicroscopy. The B precipitates lying parallel to the foil surfaces are shown to be at a depth of about 3500 +- 600 A. The loops are also at the same depth, but with a broader spread, +-1100 A. Si samples containing B and samples containing no B (P-doped) were irradiated in the 650-kV electron microscope. Irradiation at 620 0 C resulted in the growth of very long linear defects in the B-doped samples but not in the others, suggesting that at 620 0 C Si interstitials produced by the electron beam replace substitutional B some of which precipitates in the form of long rods along broken bracket 110 broken bracket. (DLC)

  20. A system to deposit boron films (boronization) in the DIII-D tokamak

    International Nuclear Information System (INIS)

    Hodapp, T.R.; Jackson, G.L.; Phillips, J.; Holtrop, K.L.; Petersen, P.I.; Winter, J.

    1991-09-01

    A system has been added to the D3-D tokamak to coat its plasma facing surfaces with a film of boron using diborane gas. The system includes special health and safety equipment for handling the diborane gas which is toxic and inflammable. The purpose of the boron film is to reduce the levels of impurity atoms in the D3-D plasmas. Experiments following the application of the boron film in D3-D have led to significant reductions in plasma impurity levels and the observation of a new, very high confinement regime. 9 refs., 1 fig

  1. Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique

    OpenAIRE

    Ensinger, Wolfgang

    1996-01-01

    Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique / B. Rauschenbach ... - In: Nuclear instruments and methods in physics research. B. 113. 1996. S. 266-269

  2. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  3. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  4. Modeling of long-range migration of boron interstitials

    International Nuclear Information System (INIS)

    Velichko, O.I.; Burunova, O.N.

    2009-01-01

    A model of the interstitial migration of ion-implanted dopant in silicon during low-temperature thermal treatment has been formulated. It is supposed that the boron interstitials are created during ion implantation or at the initial stage of annealing. During thermal treatment a migration of these impurity interstitials to the surface and in the bulk of a semiconductor occurs. On this basis, a simulation of boron redistribution during thermal annealing for 35 minutes at a temperature of 800 0 C has been carried out. The calculated boron profile agrees well with the experimental data. A number of the parameters describing the interstitial diffusion have been derived. In particular, the average migration length of nonequilibrium boron interstitials is equal to 0.092 μm at a temperature of 800 0 C. To carry out modeling of ion-implanted boron redistribution, the analytical solutions of nonstationary diffusion equation for impurity interstitials have been obtained. The case of Dirichlet boundary conditions and the case of reflecting boundary on the surface of a semiconductor have been considered. (authors)

  5. Molecular dynamics simulation of boron implanted into diamond (0 0 1) 2 x 1 reconstruction surface

    International Nuclear Information System (INIS)

    Li Rongbin; Dai Yongbin; Hu Xiaojun; Sheng Heshen; He Xianchang

    2003-01-01

    Molecular dynamic simulations, utilizing the Tersoff many-body potential, are used to investigate the microscopic processes of a single boron atom with energy of 500 eV implanted into the diamond (0 0 1) 2 x 1 reconstruction surface. The lifetime of thermal spike created by B bombardment is about 0.18 ps by calculating the variation of the mean coordination numbers with time. The formation of the split-interstitial composed of projectile and lattice atom (B-C) is observed. The total potential energy of the system decreases about 0.56 eV with a stable B split-interstitial in diamond. The lattice relaxations in the diamond (0 0 1) 2 x 1 reconstruction surface or near surface of simulated have been discussed. The outermost layer atoms tend to move inward, and the other atoms move outward. The interplanar distance between the outermost layer and the second layer has been shortened by 15% compared with its starting interplanar distance. Stress distribution in the calculated diamond configuration is inhomogeneous. After boron implanted into diamond with the energy of 500 eV, there is an excess of compressively stressed atoms in the lattice, which induces the total stress being compressive

  6. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  7. Activation behavior of boron implanted poly-Si on glass substrate

    International Nuclear Information System (INIS)

    Furuta, M.; Shimamura, K.; Tsubokawa, H.; Tokushige, K.; Furuta, H.; Hirao, T.

    2010-01-01

    The activation behavior of boron (B) implanted poly-Si films on glass substrates has been investigated. The effect of B dose and annealing temperature on crystal defects and electrical properties of the films were evaluated by Raman spectroscopy and Hall measurement. It was found that the maximum activation ratio of the film with B dose of 1 x 10 15 cm -2 was obtained when Raman peak associated with disordered amorphous silicon disappeared. However, reverse anneal was observed in the film when the annealing temperature further increased. The results from secondary ion mass spectrometry and Hall measurement revealed that B segregation at the top and bottom interface and deactivation of B substitutional occurred simultaneously in the high-dose specimens when the annealing temperature increased from 600 to 750 o C.

  8. Evaluation of Osseointegration of Titanium Alloyed Implants Modified by Plasma Polymerization

    Directory of Open Access Journals (Sweden)

    Carolin Gabler

    2014-02-01

    Full Text Available By means of plasma polymerization, positively charged, nanometre-thin coatings can be applied to implant surfaces. The aim of the present study was to quantify the adhesion of human bone cells in vitro and to evaluate the bone ongrowth in vivo, on titanium surfaces modified by plasma polymer coatings. Different implant surface configurations were examined: titanium alloy (Ti6Al4V coated with plasma-polymerized allylamine (PPAAm and plasma-polymerized ethylenediamine (PPEDA versus uncoated. Shear stress on human osteoblast-like MG-63 cells was investigated in vitro using a spinning disc device. Furthermore, bone-to-implant contact (BIC was evaluated in vivo. Custom-made conical titanium implants were inserted at the medial tibia of female Sprague-Dawley rats. After a follow-up of six weeks, the BIC was determined by means of histomorphometry. The quantification of cell adhesion showed a significantly higher shear stress for MG-63 cells on PPAAm and PPEDA compared to uncoated Ti6Al4V. Uncoated titanium alloyed implants showed the lowest BIC (40.4%. Implants with PPAAm coating revealed a clear but not significant increase of the BIC (58.5% and implants with PPEDA a significantly increased BIC (63.7%. In conclusion, plasma polymer coatings demonstrate enhanced cell adhesion and bone ongrowth compared to uncoated titanium surfaces.

  9. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  10. Frequency mixing in boron carbide laser ablation plasmas

    Science.gov (United States)

    Oujja, M.; Benítez-Cañete, A.; Sanz, M.; Lopez-Quintas, I.; Martín, M.; de Nalda, R.; Castillejo, M.

    2015-05-01

    Nonlinear frequency mixing induced by a bichromatic field (1064 nm + 532 nm obtained from a Q-switched Nd:YAG laser) in a boron carbide (B4C) plasma generated through laser ablation under vacuum is explored. A UV beam at the frequency of the fourth harmonic of the fundamental frequency (266 nm) was generated. The dependence of the efficiency of the process as function of the intensities of the driving lasers differs from the expected behavior for four-wave mixing, and point toward a six-wave mixing process. The frequency mixing process was strongly favored for parallel polarizations of the two driving beams. Through spatiotemporal mapping, the conditions for maximum efficiency were found for a significant delay from the ablation event (200 ns), when the medium is expected to be a low-ionized plasma. No late components of the harmonic signal were detected, indicating a largely atomized medium.

  11. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  12. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  13. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  14. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  15. Magnesium plasma immersion ion implantation in a large straight magnetic duct

    International Nuclear Information System (INIS)

    Tan, Ing Hwie; Ueda, Mario; Dallaqua, Renato S; Rossi, Jose O; Beloto, Antonio F; Abramof, Eduardo; Inoue, Y; Takai, Osamu

    2002-01-01

    Magnesium ions were implanted on silicon wafers using a vacuum arc plasma system with a straight 1 m long magnetic duct, 0.22 m in diameter. Good macroparticle filtering was obtained in samples positioned facing the plasma stream and complete filtering was achieved in samples with surfaces parallel to the plasma stream and magnetic field. Deposition is also minimized by placing sample surfaces parallel to the plasma stream. High resolution x-ray diffraction rocking curves of implanted samples show that the changes in lattice constant are due to compressive strain, and the distortion is larger for higher voltages. Without magnetic field the implantation was a few hundred angstroms deep, as expected, but with magnetic field the depth profile was surprisingly extended to over 0.1 μm, a fact for which we do not yet have a convincing explanation, but could be related to radiation enhanced segregation. The presence of a magnetic field increases substantially the retained implantation dose due to the increase in plasma density by two orders of magnitude

  16. Ion implantation on nickel targets by means of repetitive plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Vitulli, S.; Rapezzi, L. [ENEA Brasimone, Camugnano, Bologna (Italy); Apicella, M.L.; Samuelli, M. [ENEA Frascati, Frascati, Roma (Italy)

    2004-07-01

    Some test has been done in order to assess the possible use of a plasma focus as an implanter. The device utilized is the repetitive Plasma Focus operating in the ENEA Brasimone Center. The implanted sample is a sheet of Nickel with a surface of 17 cm{sup 2} inserted in a rigid sample at a variable distance from the top of the anode. After irradiation the sample is analyzed with Auger spectroscopy that provides the surface concentration of the various elements on the sample at different implantation depths. The result of the analysis shows that the Plasma Focus is an effective implantation source, even for metallurgical applications. (orig.)

  17. Plasma-sprayed titanium coating to polyetheretherketone improves the bone-implant interface.

    Science.gov (United States)

    Walsh, William R; Bertollo, Nicky; Christou, Chrisopher; Schaffner, Dominik; Mobbs, Ralph J

    2015-05-01

    Rapid and stable fixation at the bone-implant interface would be regarded as one of the primary goals to achieve clinical efficacy, regardless of the surgical site. Although mechanical and physical properties of polyetheretherketone (PEEK) provide advantages for implant devices, the hydrophobic nature and the lack of direct bone contact remains a limitation. To examine the effects of a plasma-sprayed titanium coated PEEK on the mechanical and histologic properties at the bone-implant interface. A preclinical laboratory study. Polyetheretherketone and plasma-sprayed titanium coated PEEK implants (Ti-bond; Spinal Elements, Carlsbad, CA, USA) were placed in a line-to-line manner in cortical bone and in a press-fit manner in cancellous bone of adult sheep using an established ovine model. Shear strength was assessed in the cortical sites at 4 and 12 weeks, whereas histology was performed in cortical and cancellous sites at both time points. The titanium coating dramatically improved the shear strength at the bone-implant interface at 4 weeks and continued to improve with time compared with PEEK. Direct bone ongrowth in cancellous and cortical sites can be achieved using a plasma-sprayed titanium coating on PEEK. Direct bone to implant bonding can be achieved on PEEK in spite of its hydrophobic nature using a plasma-sprayed titanium coating. The plasma-sprayed titanium coating improved mechanical properties in the cortical sites and the histology in cortical and cancellous sites. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  19. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  20. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  1. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  2. Nano-structure and tribological properties of B+ and Ti+ co-implanted silicon nitride

    International Nuclear Information System (INIS)

    Nakamura, Naoki; Noda, Katsutoshi; Yamauchi, Yukihiko

    2005-01-01

    Silicon nitride ceramics have been co-implanted with boron and titanium ions at a fluence of 2 x 10 17 ions/cm 2 and an energy of 200 keV. TEM results indicated that the boron and titanium-implanted layers were amorphized separately and titanium nitride nano-crystallites were formed in the titanium-implanted layer. XPS results indicated that the implantation profile varied a little depending on the ion implantation sequence of boron and titanium ions, with the boron implantation peak shifting to a shallower position when implanted after Ti + -implantation. Wear tests of these ion-implanted materials were carried out using a block-on-ring wear tester under non-lubricated conditions against commercially available silicon nitride materials. The specific wear rate was reduced by ion implantation and showed that the specific wear rate of Ti + -implanted sample was the lowest, followed by B + , Ti + co-implanted and B + -implanted samples

  3. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  4. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  5. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  6. Ion implantation artifacts observed in depth profiling boron in silicon by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Chi, P.; Simons, D.S.

    1987-01-01

    A comparison study of depth profiling by secondary ion mass spectrometry (SIMS) and neutron depth profiling (NDP) was recently conducted. The specimens were portions of 5 cm diameter single crystal silicon slices in which B-10 had been implanted at various fluences and energies. NDP measurements were made on a 13 mm diameter area at the center of the wafers. SIMS measurements were taken from a 60 μm diameter area approximately 16 mm from the center of the wafer. One observation that emerged from this work was an apparent discrepancy between the profiles of B-10 measured by DNP and SIMS. The peaks of the SIMS profiles were typically deeper than those of NDP by as much as 30 nm, which is 10% of the projected range for a 70 keV implant. Moreover, the profiles could not be made to coincide by either a constant shift or a proportional change of one depth scale with respect to the other. The lateral inhomogeneity of boron that these experiments have demonstrated arises from the variable contribution of ion channeling during implantation

  7. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  8. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  9. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  10. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  11. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  12. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  13. Advances in boronization on NSTX-Upgrade

    Directory of Open Access Journals (Sweden)

    C. H Skinner

    2017-08-01

    Full Text Available Boronization has been effective in reducing plasma impurities and enabling access to higher density, higher confinement plasmas in many magnetic fusion devices. The National Spherical Torus eXperiment, NSTX, has recently undergone a major upgrade to NSTX-U in order to develop the physics basis for a ST-based Fusion Nuclear Science Facility (FNSF with capability for double the toroidal field, plasma current, and NBI heating power and increased pulse duration from 1–1.5s to 5–8s. A new deuterated tri-methyl boron conditioning system was implemented together with a novel surface analysis diagnostic. We report on the spatial distribution of the boron deposition versus discharge pressure, gas injection and electrode location. The oxygen concentration of the plasma facing surface was measured by in-vacuo XPS and increased both with plasma exposure and with exposure to trace residual gases. This increase correlated with the rise of oxygen emission from the plasma.

  14. Hardness and wear properties of boron-implanted poly(ether-ether-ketone) and poly-ether-imide

    International Nuclear Information System (INIS)

    Lee Youngchul; Lee, E.H.; Mansur, L.K.

    1992-01-01

    The effects of boron beam irradiation on the hardness, friction, and wear of polymer surfaces were investigated. Typical high-performance thermoplastics, poly(ether-ether-ketone) (PEEK) and a poly-ether-imide (Ultem) were studied after 200 keV boron ion beam treatment at ambient temperature to doses of 2.3x10 14 , 6.8x10 14 , and 2.2x10 15 ions cm -2 . The hardnesses of pristine and boron-implanted materials were characterized by a conventional Knoop method and a load-depth sensing nanoindentation technique. Both measurements showed a significant increase in hardness with increasing dose. The increase in hardness was also found to depend on the penetration depth of the diamond indenter. Wear and friction properties were characterized by a reciprocating sliding friction tester with an SAE 52100 high-carbon, chrome steel ball at 0.5 and 1 N normal loads. Wear and frictional properties varied in a complex fashion with polymer type and dose, but not much with normal load. A substantial reduction in friction coefficient was observed for PEEK at the highest dose but no reduction was observed for Ultem. The wear damage was substantially reduced at the highest dose for both Ultem and PEEK. For the system studied, the highest dose, 2.2x10 15 ions cm -2 , appears to be optimum in improving wear resistance for both PEEK and Ultem. (orig.)

  15. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  16. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  17. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  18. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  19. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  20. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  1. Boronization on NSTX using Deuterated Trimethylboron

    International Nuclear Information System (INIS)

    Blanchard, W.R.; Gernhardt, R.C.; Kugel, H.W.; LaMarche, P.H.

    2002-01-01

    Boronization on the National Spherical Torus Experiment (NSTX) has proved to be quite beneficial with increases in confinement and density, and decreases in impurities observed in the plasma. The boron has been applied to the interior surfaces of NSTX, about every 2 to 3 weeks of plasma operation, by producing a glow discharge in the vacuum vessel using deuterated trimethylboron (TMB) in a 10% mixture with helium. Special NSTX requirements restricted the selection of the candidate boronization method to the use of deuterated boron compounds. Deuterated TMB met these requirements, but is a hazardous gas and special care in the execution of the boronization process is required. This paper describes the existing GDC, Gas Injection, and Torus Vacuum Pumping System hardware used for this process, the glow discharge process, and the automated control system that allows for remote operation to maximize both the safety and efficacy of applying the boron coating. The administrative requirements and the detailed procedure for the setup, operation and shutdown of the process are also described

  2. Deuterated-decaborane using boronization on JT-60U

    Energy Technology Data Exchange (ETDEWEB)

    Yagyu, Jun-ichi; Arai, Takashi; Kaminaga, Atsushi; Miyata, Katsuyuki [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment; Arai, Masaru [Kaihatsu Denki Co., Ltd., Tokyo (Japan)

    2001-03-01

    In JT-60U, boronization using hydride-decaborane (B{sub 10}H{sub 14}) vaporization has been conducted for the first wall conditioning. Compared to other discharge cleaning (DC), boronization is claimed to be efficient in reduction of oxygen impurities and hydrogen recycling in plasma. However, there are some problems in reduction of hydrogen included in boron film and stabilization of DC glow discharge during the boronization. To solve these problems, a new boronization method using deuterated-decaborane (B{sub 10}D{sub 14}) was adopted instead of the conventional hydride-decaborane. As a result, hydrogen content in the boron film decreased clearly and discharge conditioning shots, for decreasing hydrogen content in plasmas, after the boronization were reduced to 1/10 in comparison to the conventional process. Furthermore, DC glow discharge became stable, with only helium carrier gas, and it was possible to save 30 hours in maximum of the time necessary to boronization. It is shown that the boronization using deuterated-decaborane is very efficient and effective method for the first wall conditioning. (author)

  3. Dependence of boron cluster dissolution on the annealing ambient

    International Nuclear Information System (INIS)

    Radic, Ljubo; Lilak, Aaron D.; Law, Mark E.

    2002-01-01

    Boron is introduced into silicon via implantation to form p-type layers. This process creates damage in the crystal that upon annealing causes enhanced diffusion and clustering of the boron layer. Reactivation of the boron is not a well-understood process. In this letter we experimentally investigate the effect of the annealing ambient on boron reactivation kinetics. An oxidizing ambient which injects silicon interstitials is compared to an inert ambient. Contrary to published theory, an excess of interstitials does not accelerate the reactivation process

  4. H-isotope retention and thermal/ion-induced release in boronized films

    International Nuclear Information System (INIS)

    Walsh, D.S.; Doyle, B.L.; Wampler, W.R.; Hays, A.K.

    1990-01-01

    Over the past decade, it has been clearly demonstrated that the composition of the very near surface (∼100nm) of plasma-interactive components plays a determinant role in most processes which occur in the plasma-edge of Tokamaks. Two very successful techniques to effect control of the plasma-wall interaction are (1) in-situ deposition of amorphous carbon or boron-carbon films and (2) the use of He/C conditioning discharges or He glow discharge cleaning to modify the near surface of bulk graphite components. We have deposited boronized layers into Si using plasma-assisted CVD and sputter deposition. The PCVD deposition conditions were as close as possible to those used in TFTR, and some films deposited in TFTR have also been studied. Using these two deposition techniques, B x CH y films have been produced with x varying from 1/2 -- 4, and y from ∼1 (sputtered) to ∼3 (PCVD). Most films also contained significant amounts of 0. Thermal and ion-induced release of H-isotopes from BC films is qualitatively similar to that measured for graphite. Implanted H saturates in these films at a H/host atom ratio of 0.7 which is considerably higher than that of graphite(∼0.4). As-deposited PCVD films are already saturated with H, while sputtered films are not. Sputtered BC films therefore possess an inherent H-pumping capability which could prove to be extremely beneficial to TFTR. 16 refs., 5 figs., 1 tab

  5. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  6. Impurity and particle recycling reduction by boronization in JT-60U

    International Nuclear Information System (INIS)

    Higashijima, S.; Sugie, T.; Kubo, H.; Tsuji, S.; Shimada, M.; Asakura, N.; Hosogane, N.; Kawano, Y.; Nakamura, H.; Itami, K.; Sakasai, A.; Shimizu, K.; Ando, T.; Saidoh, M.

    1995-01-01

    In JT-60U boronization using decaborane was carried out. Boronization reduced the oxygen impurity in OH discharges and shortened the wall conditioning after the vacuum vessel vent and consequently enabled JT-60U to produce clean plasmas easily except for NB heated plasmas. After boronization, particle recycling was reduced drastically in OH and NB discharges. High confinement plasmas were obtained including high β p mode and H-mode discharges. In the latest boronization part of divertor plates were replaced with B 4 C coated tiles with a B 4 C thickness similar 300 μm. After introducing B 4 C divertor tiles, an explosive generation of boron particles from the tiles was observed. By the combined effects of boronization with decaborane and boron generation from B 4 C tiles, oxygen impurity was so low that oxygen line signals were reduced to noise levels after the latest boronization. On the other hand, boron burst from the B 4 C tiles restricted the operation of JT-60U. ((orig.))

  7. Formation of cBN nanocrystals by He+ implantations of hBN

    OpenAIRE

    Machaka, Ronald; Erasmus, Rudolph M; Derry, Trevor E

    2010-01-01

    The structural modifications of polycrystalline hexagonal boron nitride implanted with He+ ion beams at energies between 200 keV and 1.2 MeV to fluences of 1.0 \\times 1017 ions \\cdot cm-2 were investigated using micro-Raman spectroscopy. The measured Raman spectra show evidence of implantation-induced structural transformations from the hexagonal phase to nanocrystalline cubic boron nitride, rhombohedral boron nitride and amorphous boron nitride phases. The first-order Longitudinal-Optical cB...

  8. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  9. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  10. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  11. Study of the plasma immersion implantation of titanium in stainless steel

    International Nuclear Information System (INIS)

    Nikitenkov, N N; Sutygina, A N; Shulepov, I A; Sivin, D O; Kashkarov, E B

    2015-01-01

    The results of the study of the pulsed plasma-immersion ion implantation of titanium in steel Cr18Ni10Ti depending on the time (dose) implantation are presented. It is shown that the change of the element and the phase composition of the surface layers and their microscopic characteristics and mechanical properties (hardness, wear resistance) depending on the implantation time is not monotonic, but follows to a certain rule. The possibility of interpretation of the obtained results in the thermal spike concept of the generation on the surface by the stable (magic) clusters is discussed. This concept follows logically from the recent studies on the plasma arc composition and from a polyatomic clusters-surface interaction. (paper)

  12. Study of the plasma immersion implantation of titanium in stainless steel

    Science.gov (United States)

    Nikitenkov, N. N.; Sutygina, A. N.; Shulepov, I. A.; Sivin, D. O.; Kashkarov, E. B.

    2015-04-01

    The results of the study of the pulsed plasma-immersion ion implantation of titanium in steel Cr18Ni10Ti depending on the time (dose) implantation are presented. It is shown that the change of the element and the phase composition of the surface layers and their microscopic characteristics and mechanical properties (hardness, wear resistance) depending on the implantation time is not monotonic, but follows to a certain rule. The possibility of interpretation of the obtained results in the thermal spike concept of the generation on the surface by the stable (magic) clusters is discussed. This concept follows logically from the recent studies on the plasma arc composition and from a polyatomic clusters-surface interaction.

  13. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  14. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  15. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  16. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  17. Axial channeling of boron ions into silicon

    International Nuclear Information System (INIS)

    La Ferla, A.; Galvagno, G.; Raineri, V.; Setola, R.; Rimini, E.; Carnera, A.; Gasparotto, A.

    1992-01-01

    Channeling boron implants were performed into (100) and (110) silicon substrates in the energy range 80-700 keV. The dose ranged between 3.5x10 11 and 1x10 15 atoms/cm 2 . The axial channeling concentration profiles of implanted B + were compared with that obtained for incidence along the random direction of the crystal and with that obtained by implantation in amorphous silicon. The electrical and chemical boron distributions were obtained by spreading resistance and secondary ion mass spectrometry measurements, respectively. The inelastic stopping power, S c , was extracted from the experimental maximum ranges for the [100] and [110] axis. The energy dependence of the electronic stopping power is given by S e = KE p with p [100] = 0.469±0.010 and p [110] = 0.554±0.004. Simulations obtained by the MARLOWE code, using the Oen-Robinson impact parameter dependent formula, for the electronic energy loss reproduce quite well the experimental depth profiles. (orig.)

  18. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  19. Insights into the Mechanisms Underlying Boron Homeostasis in Plants

    Directory of Open Access Journals (Sweden)

    Akira Yoshinari

    2017-11-01

    Full Text Available Boron is an essential element for plants but is toxic in excess. Therefore, plants must adapt to both limiting and excess boron conditions for normal growth. Boron transport in plants is primarily based on three transport mechanisms across the plasma membrane: passive diffusion of boric acid, facilitated diffusion of boric acid via channels, and export of borate anion via transporters. Under boron -limiting conditions, boric acid channels and borate exporters function in the uptake and translocation of boron to support growth of various plant species. In Arabidopsis thaliana, NIP5;1 and BOR1 are located in the plasma membrane and polarized toward soil and stele, respectively, in various root cells, for efficient transport of boron from the soil to the stele. Importantly, sufficient levels of boron induce downregulation of NIP5;1 and BOR1 through mRNA degradation and proteolysis through endocytosis, respectively. In addition, borate exporters, such as Arabidopsis BOR4 and barley Bot1, function in boron exclusion from tissues and cells under conditions of excess boron. Thus, plants actively regulate intracellular localization and abundance of transport proteins to maintain boron homeostasis. In this review, the physiological roles and regulatory mechanisms of intracellular localization and abundance of boron transport proteins are discussed.

  20. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  1. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  2. Plasma effects for heavy ions in implanted silicon detectors

    International Nuclear Information System (INIS)

    Aiello, S.; Anzalone, A.; Campisi, M.G.; Cardella, G.; Cavallaro, Sl.; Filippo, E. De; Geraci, E.; Geraci, M.; Guazzoni, P.; Manno, M.C. Iacono; Lanzalone, G.; Lanzano, G.; Nigro, S. Lo; Pagano, A.; Papa, M.; Pirrone, S.; Politi, G.; Porto, F.; Rizzo, F.; Sambataro, S.; Sperduto, M.L.; Sutera, C.; Zetta, L.

    1999-01-01

    Plasma effects for heavy ions in implanted silicon detectors have been investigated for different detector characteristics as a function of type and energy of the detected particles. A new approach is presented and used to reproduce the effect of the plasma delay in the timing performances. The results are in good agreement with the present data and with previous measurements found in the literature

  3. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  4. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  5. ICP-MS determination of boron: method optimization during preparation of graphite reference material for boron

    International Nuclear Information System (INIS)

    Granthali, S.K.; Shailaja, P.P.; Mainsha, V.; Venkatesh, K.; Kallola, K.S.; Sanjukta, A.K.

    2017-01-01

    Graphite finds widespread use in nuclear reactors as moderator, reflector, and fuel fabricating components because of its thermal stability and integrity. The manufacturing process consists of various mixing, moulding and baking operations followed by heat-treatment between 2500 °C and 3000 °C. The high temperature treatment is required to drive the amorphous carbon-to-graphite phase transformation. Since synthetic graphite is processed at high temperature, impurity concentrations in the precursor carbon get significantly reduced due to volatilization. However boron may might partly gets converted into boron carbide at high temperatures in the carbon environment of graphite and remains stable (B_4C: boiling point 3500 °C) in the matrix. Literature survey reveals the use of various methods for determination of boron. Previously we have developed a method for determination of boron in graphite electrodes using inductively coupled plasma mass spectrometry (ICP-MS). The method involves removal of graphite matrix by ignition of the sample at 800°C in presence of saturated barium hydroxide solution to prevent the loss of boron. Here we are reporting a modification in the method by using calcium carbonate in place of barium hydroxide and using beryllium (Be) as an internal standard, which resulted in a better precession. The method was validated by spike recovery experiments as well as using another technique viz. Inductively Coupled Plasma Optical Emission Spectrometry (ICP-OES). The modified method was applied in evaluation of boron concentration in the graphite reference material prepared

  6. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  7. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  8. Oxygen-plasma-modified biomimetic nanofibrous scaffolds for enhanced compatibility of cardiovascular implants

    Directory of Open Access Journals (Sweden)

    Anna Maria Pappa

    2015-01-01

    Full Text Available Electrospun nanofibrous scaffolds have been extensively used in several biomedical applications for tissue engineering due to their morphological resemblance to the extracellular matrix (ECM. Especially, there is a need for the cardiovascular implants to exhibit a nanostructured surface that mimics the native endothelium in order to promote endothelialization and to reduce the complications of thrombosis and implant failure. Thus, we herein fabricated poly-ε-caprolactone (PCL electrospun nanofibrous scaffolds, to serve as coatings for cardiovascular implants and guide tissue regeneration. Oxygen plasma treatment was applied in order to modify the surface chemistry of the scaffold and its effect on cell attachment and growth was evaluated. The conditions of the surface modification were properly adjusted in order to define those conditions of the treatment that result in surfaces favorable for cell growth, while maintaining morphological integrity and mechanical behavior. Goniometry (contact angle measurements, scanning electron microscopy (SEM, atomic force microscopy (AFM, and X-ray photoelectron spectroscopy (XPS measurements were used to evaluate the morphological and chemical changes induced by the plasma treatment. Moreover, depth-sensing nanoindentation was performed to study the resistance of the plasma-treated scaffolds to plastic deformation. Lastly, the cell studies indicated that all scaffolds were cytocompatible, with the plasma-treated ones expressing a more pronounced cell viability and adhesion. All the above findings demonstrate the great potential of these biomimetic tissue-engineering constructs as efficient coatings for enhanced compatibility of cardiovascular implants.

  9. Monitoring the evolution of boron doped porous diamond electrode on flexible retinal implant by OCT and in vivo impedance spectroscopy

    International Nuclear Information System (INIS)

    Hébert, Clément; Cottance, Myline; Degardin, Julie; Scorsone, Emmanuel; Rousseau, Lionel; Lissorgues, Gaelle; Bergonzo, Philippe; Picaud, Serge

    2016-01-01

    Nanocrystalline Boron doped Diamond proved to be a very attractive material for neural interfacing, especially with the retina, where reduce glia growth is observed with respect to other materials, thus facilitating neuro-stimulation over long terms. In the present study, we integrated diamond microelectrodes on a polyimide substrate and investigated their performances for the development of neural prosthesis. A full description of the microfabrication of the implants is provided and their functionalities are assessed using cyclic voltammetry and electrochemical impedance spectroscopy. A porous structure of the electrode surface was thus revealed and showed promising properties for neural recording or stimulation. Using the flexible implant, we showed that is possible to follow in vivo the evolution of the electric contact between the diamond electrodes and the retina over 4 months by using electrochemical impedance spectroscopy. The position of the implant was also monitored by optical coherence tomography to corroborate the information given by the impedance measurements. The results suggest that diamond microelectrodes are very good candidates for retinal prosthesis. - Highlights: • Microfabrication of porous diamond electrode on flexible retinal implant • Electrochemical characterization of microelectrode for neural interfacing • In vivo impedance spectroscopy of retinal tissue

  10. Monitoring the evolution of boron doped porous diamond electrode on flexible retinal implant by OCT and in vivo impedance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Hébert, Clément, E-mail: clement.hebert@icn2.cat [CEA-LIST, Diamond Sensors Laboratory, Gif-sur-Yvette 91191 (France); Cottance, Myline [Université Paris-Est, ESYCOM-ESIEE Paris, Noisy le Grand (France); Degardin, Julie [INSERM, U968, Institut de la Vision, Paris (France); Scorsone, Emmanuel [CEA-LIST, Diamond Sensors Laboratory, Gif-sur-Yvette 91191 (France); Rousseau, Lionel; Lissorgues, Gaelle [Université Paris-Est, ESYCOM-ESIEE Paris, Noisy le Grand (France); Bergonzo, Philippe [CEA-LIST, Diamond Sensors Laboratory, Gif-sur-Yvette 91191 (France); Picaud, Serge [INSERM, U968, Institut de la Vision, Paris (France)

    2016-12-01

    Nanocrystalline Boron doped Diamond proved to be a very attractive material for neural interfacing, especially with the retina, where reduce glia growth is observed with respect to other materials, thus facilitating neuro-stimulation over long terms. In the present study, we integrated diamond microelectrodes on a polyimide substrate and investigated their performances for the development of neural prosthesis. A full description of the microfabrication of the implants is provided and their functionalities are assessed using cyclic voltammetry and electrochemical impedance spectroscopy. A porous structure of the electrode surface was thus revealed and showed promising properties for neural recording or stimulation. Using the flexible implant, we showed that is possible to follow in vivo the evolution of the electric contact between the diamond electrodes and the retina over 4 months by using electrochemical impedance spectroscopy. The position of the implant was also monitored by optical coherence tomography to corroborate the information given by the impedance measurements. The results suggest that diamond microelectrodes are very good candidates for retinal prosthesis. - Highlights: • Microfabrication of porous diamond electrode on flexible retinal implant • Electrochemical characterization of microelectrode for neural interfacing • In vivo impedance spectroscopy of retinal tissue.

  11. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  12. Cell cycle dependence of boron uptake in various boron compounds used for neutron capture therapy

    International Nuclear Information System (INIS)

    Yoshida, F.; Matsumura, A.; Shibata, Y.; Yamamoto, T.; Nose, T.; Okumura, M.

    2000-01-01

    In neutron capture therapy, it is important that the tumor take boron in selectively. Furthermore, it is ideal when the uptake is equal in each tumor cell. Some indirect proof of differences in boron uptake among neoplastic cell cycles has been documented. However, no investigation has yet measured boron uptake directly. Using flow cytometry, in the present study cells were sorted by G0/G1 phase and G2/M phase, and the boron concentration of each fraction was measured with inductively coupled plasma-atomic emission spectroscopy (ICP-AES). The results were that BSH (sodiumborocaptate) and BPA (p-boronophenylalanine) had higher rates of boron uptake in the G2/M group than in the G0/G1 group. However, in BPA the difference was more prominent, which revealed a 2.2-3.3 times higher uptake of boron in the G2/M group than in the G0/G1 group. (author)

  13. Boron profiles in doped amorphous-silicon solar cells formed by plasma ion deposition

    International Nuclear Information System (INIS)

    Stoddart, C.T.H.; Hunt, C.P.; Coleman, J.H.

    1979-01-01

    Amorphous silicon p-n junction solar cells of large area (100 cm 2 ) and having a quantum efficiency approaching 100% in the blue region have been prepared by plasma ion-plating, the p layer being formed from diborane and silane gases in a cathode glow-discharge. Surface secondary ion mass spectrometry combined with ion beam etching was found to be a very sensitive method with high in-depth resolution for obtaining the initial boron-silicon profile of the solar cell p-n junction. (author)

  14. Continued biological investigations of boron-rich oligomeric phosphate diesters (OPDs). Tumor-selective boron agents for BNCT

    International Nuclear Information System (INIS)

    Lee, Mark W.; Shelly, Kenneth; Kane, Robert R.; Hawthorne, M. Frederick

    2006-01-01

    Clinical success of Boron Neutron Capture Therapy will rely on the selective intracellular delivery of high concentrations of boron-10 to tumor tissue. In order for a boron agent to facilitate clinical success, the simultaneous needs of obtaining a high tumor dose, high tumor selectivity, and low systemic toxicity must be realized. Boron-rich oligomeric phosphate diesters (OPDs) are a class of highly water-soluble compounds containing up to 40% boron by weight. Previous work in our groups demonstrated that once placed in the cytoplasm of tumor cells, OPDs quickly accumulate within the cell nucleus. The objective of the current study was to determine the biodistribution of seven different free OPDs in BALB/c mice bearing EMT6 tumors. Fructose solutions containing between 1.4 and 6.4 micrograms of boron per gram of tissue were interveinously injected in mice seven to ten days after tumor implantation. At intervals during the study, animals were euthanized and samples of tumor, blood, liver, kidney, brain and skin were collected and analyzed for boron content using ICP-AES. Tumor boron concentrations of between 5 and 29 ppm were achieved and maintained over the 72-hour time course of each experiment. Several OPDs demonstrated high tumor selectivity with one oligomer exhibiting a tumor to blood ratio of 35:1. The apparent toxicity of each oligomer was assessed through animal behavior during the experiment and necropsy of each animal upon sacrifice. (author)

  15. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  16. Preparation of in-house graphite reference material for boron

    International Nuclear Information System (INIS)

    Kumar, Sanjukta A.; Venkatesh, K.; Swain, Kallola K.; Manisha, V.; Kamble, Granthali S.; Pandey, Shailaja P.; Remya Devi, P.S.; Ghosh, M.; Verma, R.

    2016-05-01

    Graphite is extensively used in nuclear technology. Boron concentration in graphite is one of the important parameters that decide its acceptability for nuclear applications. Reliable analytical methods are essential for the determination of boron in graphite at concentration about 5 mg kg -1 . Reference materials are used for validation of existing analytical methods and developing new methodologies. In view of the importance of determination of boron in graphite and unavailability of graphite reference material, an In-house graphite reference material was prepared in Analytical Chemistry Division. Graphite source material was procured, processed to obtain powder of ≤ 75 μm (200 mesh) and bottled. Procedures were developed for the determination of boron in graphite using inductively coupled plasma optical emission spectrometry (ICPOES) and inductively coupled plasma mass spectrometry (ICPMS) techniques. Homogeneity testing was carried out on the bottled units and boron content along with the combined and expanded uncertainties were established. The assigned boron concentration in the In-house graphite reference material is (7.3±0.46) mg kg -1 . (author)

  17. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  18. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  19. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

    Directory of Open Access Journals (Sweden)

    Feng Sun

    2018-03-01

    Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

  20. Nanomechanical and in situ TEM characterization of boron carbide thin films on helium implanted substrates: Delamination, real-time cracking and substrate buckling

    Energy Technology Data Exchange (ETDEWEB)

    Framil Carpeño, David, E-mail: david.framil-carpeno@auckland.ac.nz [Department of Chemical and Materials Engineering, The University of Auckland, 20 Symonds Street, Auckland 1010 (New Zealand); Ohmura, Takahito; Zhang, Ling [Strength Design Group, Structural Materials Unit, National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Leveneur, Jérôme [National Isotope Centre, GNS Science, 30 Gracefield Road, Gracefield, Lower Hutt 5010 (New Zealand); Dickinson, Michelle [Department of Chemical and Materials Engineering, The University of Auckland, 20 Symonds Street, Auckland 1010 (New Zealand); Seal, Christopher [International Centre for Advanced Materials, The University of Manchester, Oxford Road, Manchester M13 9PL (United Kingdom); Kennedy, John [National Isotope Centre, GNS Science, 30 Gracefield Road, Gracefield, Lower Hutt 5010 (New Zealand); Hyland, Margaret [Department of Chemical and Materials Engineering, The University of Auckland, 20 Symonds Street, Auckland 1010 (New Zealand)

    2015-07-15

    Boron carbide coatings deposited on helium-implanted and unimplanted Inconel 600 were characterized using a combination of nanoindentation and transmission electron microscopy. Real-time coating, cracking and formation of slip bands were recorded using in situ TEM-nanoindentation, allowing site specific events to be correlated with specific features in their load–displacement curves. Cross-sections through the residual indent impression showed a correlation between pop-outs in the load–displacement curves and coating delamination, which was confirmed with cyclic indentation experiments. Inconel exhibits (-11-1) and (1-1-1) twin variants in its deformed region beneath the indenter, organized in bands with a ladder-like arrangement. The nanomechanical properties of the metal–ceramic coating combinations exhibit a marked substrate effect as a consequence of helium implantation.

  1. Nanomechanical and in situ TEM characterization of boron carbide thin films on helium implanted substrates: Delamination, real-time cracking and substrate buckling

    International Nuclear Information System (INIS)

    Framil Carpeño, David; Ohmura, Takahito; Zhang, Ling; Leveneur, Jérôme; Dickinson, Michelle; Seal, Christopher; Kennedy, John; Hyland, Margaret

    2015-01-01

    Boron carbide coatings deposited on helium-implanted and unimplanted Inconel 600 were characterized using a combination of nanoindentation and transmission electron microscopy. Real-time coating, cracking and formation of slip bands were recorded using in situ TEM-nanoindentation, allowing site specific events to be correlated with specific features in their load–displacement curves. Cross-sections through the residual indent impression showed a correlation between pop-outs in the load–displacement curves and coating delamination, which was confirmed with cyclic indentation experiments. Inconel exhibits (-11-1) and (1-1-1) twin variants in its deformed region beneath the indenter, organized in bands with a ladder-like arrangement. The nanomechanical properties of the metal–ceramic coating combinations exhibit a marked substrate effect as a consequence of helium implantation

  2. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  3. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  4. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model

    DEFF Research Database (Denmark)

    Saksø, Henrik; Jakobsen, Thomas Vestergaard; Mortensen, Mikkel Saksø

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants.......Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants....

  5. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  6. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  7. Plasma Immersion Ion Implantation with Solid Targets for Space and Aerospace Applications

    International Nuclear Information System (INIS)

    Oliveira, R. M.; Goncalves, J. A. N.; Ueda, M.; Silva, G.; Baba, K.

    2009-01-01

    This paper describes successful results obtained by a new type of plasma source, named as Vaporization of Solid Targets (VAST), for treatment of materials for space and aerospace applications, by means of plasma immersion ion implantation and deposition (PIII and D). Here, the solid element is vaporized in a high pressure glow discharge, being further ionized and implanted/deposited in a low pressure cycle, with the aid of an extra electrode. First experiments in VAST were run using lithium as the solid target. Samples of silicon and aluminum alloy (2024) were immersed into highly ionized lithium plasma, whose density was measured by a double Langmuir probe. Measurements performed with scanning electron microscopy (SEM) showed clear modification of the cross-sectioned treated silicon samples. X-ray photoelectron spectroscopy (XPS) analysis revealed that lithium was implanted/deposited into/onto the surface of the silicon. Implantation depth profiles may vary according to the condition of operation of VAST. One direct application of this treatment concerns the protection against radiation damage for silicon solar cells. For the case of the aluminum alloy, X-ray diffraction analysis indicated the appearance of prominent new peaks. Surface modification of A12024 by lithium implantation/deposition can lower the coefficient of friction and improve the resistance to fatigue of this alloy. Recently, cadmium was vaporized and ionized in VAST. The main benefit of this element is associated with the improvement of corrosion resistance of metallic substrates. Besides lithium and cadmium, VAST allows to performing PIII and D with other species, leading to the modification of the near-surface of materials for distinct purposes, including applications in the space and aerospace areas.

  8. A Monte Carlo simulation study of boron profiles as-implanted into LPCVD NiDoS polycrystalline thin films

    Science.gov (United States)

    Boukezzata, M.; Ait-Kaki, A.; Temple-Boyer, P.; Scheid, E.

    2003-03-01

    This work presents a Monte Carlo simulation study of boron profiles obtained from as-implanted ions into thin films nitrogen doped silicon (NiDoS) thin films. These films are performed by LPCVD technique from Si2H6 and NH3 gas sources, four values deliberately chosen, of the ratio NH3/Si2H6 to obtain samples, differently in situ nitrogen-doped. Taking into account the effect of the codoping case, and the structure specificity of these films, an accurate Monte Carlo model based on binary collisions in a multi-atomic target was performed. Nitrogen atoms present in the target is shown to affect the boron profiles and confirms clearly a reduction penetration effect which becomes more significant at high nitrogen concentrations. Whereas, the fine-grained polysilicon structure, and thus the presence of grains (G) and grain boundaries (GB), is known to enhance the opposite phenomenon by assuming an effective role played by GB's in the scattering calculation process of the incident ions. This role is represented by the change in direction of the incident ion after interaction with GB without corresponding loss in its energy. The results obtained show an enhancement of the stopping parameter when nitrogen concentration increases, while the GB interaction remains very important. This behavior is due to a great number of GB's interactions with boron atoms which gave low deflection angles. So that, the average positions described by the sequences of trajectories took place farther than what expected with channeling effect in crystal silicon materials.

  9. Structure and photoluminescence of boron and nitrogen co-doped carbon nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Gao, B. [College of Computer Science, Chongqing University, Chongqing 400044 (China); Chongqing Municipal Education Examinations Authority, Chongqing 401147 (China); Zhong, X.X., E-mail: xxzhong@sjtu.edu.cn [Department of Physics and Astronomy, Shanghai Jiao Tong University, Shanghai 200240 (China); Shao, R.W.; Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China)

    2016-07-15

    Graphical abstract: Boron- and nitrogen- doped carbon nanorods. - Highlights: • The co-doping of nitrogen and boron in carbon nanorods. • The doping mechanism of nitrogen and boron in carbon nanorods by plasma. • Photoluminescence properties of nitrogen- and boron-doped carbon nanorods. - Abstract: Boron and nitrogen doped carbon nanorods (BNCNRs) were synthesized by plasma-enhanced hot filament chemical vapor deposition, where methane, nitrogen and hydrogen were used as the reaction gases and boron carbide was the boron source. The results of scanning electron microscopy, micro-Raman spectroscopy, transmission electron microscopy and X-ray photoelectron spectroscopy indicate that boron and nitrogen can be used as co-dopants in amorphous carbon nanorods. Combined with the characterization results, the doping mechanism was studied. The mechanism is used to explain the formation of different carbon materials by different methods. The photoluminescence (PL) properties of BNCNRs were studied. The PL results show that the BNCNRs generate strong green PL bands and weak blue PL bands, and the PL intensity lowered due to the doping of boron. The outcomes advance our knowledge on the synthesis and optical properties of carbon-based nanomaterials and contribute to the development of optoelectronic nanodevices based on nano-carbon mateirals.

  10. Neutralization study of boron and some metallic impurities (gold, titanium, manganese, chromium) by hydrogen implantation in monocrystal silicon

    International Nuclear Information System (INIS)

    Zundel, T.

    1987-02-01

    Boron doped silicon implanted with hydrogen at low energy in the temperature range 80-140 0 C shows a large decrease of the electrically active dopant concentration up to a depth which increases with the temperature, the implantation duration and the starting material resistivity. This effect is assigned to the formation of an electrically inactive BH complex. The hydrogen incorporation process shows a weakly temperature dependent enhanced diffusion step followed by a normal diffusion phase which may be described by a thermally activated diffusion coefficient. Heating at 80 0 C produces a complete dissociation of the BH complexes in the space charge region of reverse biased Schottky diodes. Consequently the released hydrogen drifts under the electric field and the neutralization becomes more pronounced in the bulk. Hydrogen neutralizes the gold, chromium, manganese related deep levels but has no effect on titanium related defect levels. Thermal annealing at 495 0 C of hydrogenated chromium or manganese doped samples produces four majority carriers levels which disappear at 700 0 C [fr

  11. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  12. Anti-corrosion performance of oxidized and oxygen plasma-implanted NiTi alloys

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu, Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys are useful orthopedic biomaterials on account of its super-elastic and shape memory properties. However, the problem associated with out-diffusion of harmful nickel ions in prolonged use inside the human body raises a critical safety concern. Titanium oxide films are deemed to be chemically inert and biocompatible and hence suitable to be the barrier layers to impede the leaching of Ni from the NiTi substrate to biological tissues and fluids. In the work reported in this paper, we compare the anti-corrosion efficacy of oxide films produced by atmospheric-pressure oxidation and oxygen plasma ion implantation. Our results show that the oxidized samples do not possess improved corrosion resistance and may even fare worse than the untreated samples. On the other hand, the plasma-implanted surfaces exhibit much improved corrosion resistance. Our work also shows that post-implantation annealing can further promote the anti-corrosion capability of the samples

  13. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  14. Improved dental implant drill durability and performance using heat and wear resistant protective coatings.

    Science.gov (United States)

    Er, Nilay; Alkan, Alper; İlday, Serim; Bengu, Erman

    2018-03-02

    Dental implant drilling procedure is an essential step for implant surgery and frictional heat appeared in bone during drilling is a key factor affecting the success of an implant. The aim of this study is to increase the dental implant drill lifetime and performance using heat- and wear-resistant protective coatings hence to decrease the alveolar bone temperature caused by the dental implant drilling procedure. Commercially obtained stainless steel drills were coated with titanium aluminum nitride, diamond-like carbon, titanium boron nitride, and boron nitride coatings via magnetron-sputter deposition. Drilling procedure was performed on a bovine femoral cortical bone under the conditions mimicking clinical practice, where the tests were performed both under water-assisted cooling and under the conditions without any cooling was applied. Coated drill performances and durabilities were compared to that of three commonly used commercial drills which surfaces are made from namely; zirconia, black diamond and stainless steel. Protective coatings with boron nitride, titanium boron nitride and diamond-like carbon have significantly improved drill performance and durability. Especially boron nitride-coated drills have performed within safe bone temperature limits for 50 drillings even without any cooling is applied. Titanium aluminium nitride coated drills did not show any improvement over commercially obtained stainless steel drills. Surface modification using heat and wear resistant coatings is an easy and highly effective way to improve implant drill performance and durability, which can reflect positively on surgical procedure and healing period afterwards. The noteworthy success of different types of coatings is novel and likely to be applicable to various other medical systems.

  15. In vitro and in vivo analysis of boronated porphyrins

    International Nuclear Information System (INIS)

    Edwards, Benjamin; Matthews, Kristin; Hou, Yongjin; Vicente, M.G.H.; Autry-Conwell, Susan; James, Boggan

    2000-01-01

    New series of meso-phenylporphyrins linked through carbon-carbon bonds to nido-carboranyl groups, and containing 26-31% boron by weight, have been reported. Dark toxicity, photo-toxicity, and measurements of uptake and efflux were performed using mouse, rat, and human malignant cell lines. Drug uptake and retention by log phase cells are shown by spectrophotometry (porphyrins) and ICP-MS (boron) of cellular extracts to be concentration and time dependent, and to be influenced by plasma lipoproteins. Plasma pharmacokinetics and tissues biodistribution were studied in adult male Fisher 344 rats with bilateral subcutaneous 9L tumors injected (2.2 ml, 2 mM i.v.) with carboranyl porphyrin solutions. Whole blood, brain, liver, spleen, skin and tumors were collected at 2, 8, 18, 24 and 48 hours post-injection. Blood cells were separated from plasma and stored frozen with the other tissues. Tissue boron content was determined quantitatively by ICP-MS analysis following microwave digestion of carefully weighed samples. (author)

  16. Measurement of boron isotope ratios in groundwater studies

    International Nuclear Information System (INIS)

    Porteous, N.C.; Walsh, J.N.; Jarvis, K.E.

    1995-01-01

    Boron is present at low levels in groundwater and rainfall in the UK, ranging between 2 and 200 ng ml -1 . A sensitive technique has been developed using inductively coupled plasma mass spectrometry (ICP-MS) to measure boron isotope ratios at low concentrations with a precision (s r ) of between 0.1 and 0.2%. Samples were evaporated to increase elemental boron concentrations to 200 ng ml -1 and interfering matrix elements were removed by an adapted cation-exchange separation procedure. The validity of measuring boron isotopic ratios by ICP-MS at this concentration level is discussed in relation to the theoretical instrument precision attainable based on counting statistics. (author)

  17. Thermal desorption spectroscopy of boron/carbon films after keV deuterium irradiation

    International Nuclear Information System (INIS)

    Yamaki, T.; Gotoh, Y.; Ando, T.; Jimbou, R.; Ogiwara, N.; Saidoh, M.

    1994-01-01

    Thermal desorption spectroscopy (TDS) of D 2 and CD 4 was done on boron/carbon films (B/(B+C)=0-74%), after 3 keV D 3 + irradiation to 4.5x10 17 D/cm 2 at 473 K. The D 2 desorption peaks were observed at 1050, 850 and 650 K. For a sputter B/C film (0%), only the 1050 K peak was observed. With increasing boron concentration to 3%, a sharp peak appeared at 850 K, the intensity of which was found to increase with increasing boron concentration to 23%, and then to decrease at 74%. The 650 K shoulder, which was observed for high boron concentration specimens, was speculated to be deuterium trapped by boron atoms in the boron clusters. The relative amount of CD 4 desorption was found to decrease with increasing boron concentration, which was attributed to the decrease in the trapped deuterium concentration in the implantation layer at temperatures at which CD 4 desorption proceeds. ((orig.))

  18. Contribution to implanted silicon layers and their annealing

    International Nuclear Information System (INIS)

    Combasson, J.-L.

    1976-01-01

    Defects created by boron implantation in silicon have been characterized by measuring the diffusion coefficient during annealing. Implanted impurity distributions were calculated after analyzing the hypotheses relating to charged particle slowing down through matter. Profiles are predicted with a good accuracy, by replacing occasionally the electronic stopping law by an empirical law. The asymmetries predicted are generally observed but deviations may occur for crystalline targets, or when the ion is heavy with regard to the substrate (in the event the Thomas-Fermi potential is not yet valid due to the high impact parameters). When deviations are neglected, the displacement cascade from implantation is represented by a damage profile proportional to the distribution of the Frenkel pairs. The annealing of the implanted layers is characterized by three annealing stages. The first one (400 deg C-600 deg C) is imputed to divacancy annealing associated to the formation and migration of boron-vacancy complexes. The second one (500 deg C-650 deg C) is characterized by the Watkins replacement mechanism. At high temperatures, when the annealing duration is longer than that of precipitation, interstitial loops are dissolved, and the thermal diffusion of boron atoms involves the vacancy mechanism of thermal diffusion [fr

  19. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  20. Characterization of junctions produced by medium-energy ion implantation in silicon

    International Nuclear Information System (INIS)

    Monfret, A.

    1970-01-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [fr

  1. The impact of boundary plasma conditions on the plasma performance of the Wendelstein 7-AS stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Grigull, P; Behrisch, R; Brakel, R; Burhenn, R; Elsner, A; Hacker, H; Hartfuss, H J; Herre, G; Hildebrandt, D; Jaenicke, R; Kisslinger, J; Maassberg, H; Mahn, C; Niedermeyer, H; Pech, P; Renner, H; Ringler, H; Rau, F; Roth, J; Sardei, F; Schneider, U; Wagner, F; Weller, A; Wobig, H; Wolff, H [Max-Planck-Inst. fuer Plasmaphysik, Garching (Germany); W7-AS Team; NBI Team; ECRH Group

    1992-12-01

    In the modular advanced stellarator W7-AS, the plasma performance and the main characteristics of the plasma-wall interaction are strongly affected by the three-dimensional edge topology. Both limiter- and separatrix-dominated configurations are possible. TiC and bulk-boronized limiter materials have been used. The impurity behaviour and the accessible plasma parameter ranges are compared for different limiter and wall conditions. With limiters, optimum plasma performance in currentless ECRF- or NBI-heated discharges was achieved with bulk-boronized graphite limiter material and boronized walls. Solid target sputter boronization, however, was found to be ineffective in comparison with boronization by He/B[sub 2]H[sub 6] glow discharge. For separatrix-dominated discharges, conditioning by wall coating has short-term effects only. Enhanced, localized plasma outflow to the wall due to islands at the boundary quickly erodes the layers. The possibility to develop a divertor concept is discussed. Basic properties of the plasma edge as derived from Langmuir probes and limiter calorimetry are described. Modeling is complicated by three-dimensionality. In a first approach, a 1D edge transport model on the basis of distinct flux bundles is applied. (orig.).

  2. B4C solid target boronization of the MST reversed-field pinch

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Cekic, M.; Fiksel, G.; Hokin, S.A.; Kendrick, R.D.; Prager, S.C.; Stoneking, M.R.

    1992-10-01

    A solid rod of hot-pressed boron carbide is being used as the source of boron during boronization of MST. The most striking result of this procedure is the reduction in oxygen contamination of the plasma (O III radiation, characteristic of oxygen at the edge, falls by about a factor of 3 after boronization.). The radiated power fraction drops to about half its initial value. Particle reflux from the wall is also lowered, making density control simpler. The rod (12.7 mm diameter) is inserted into the edge plasma of normal high-power RFP discharges. B 4 C is ablated from the surface of the rod and deposited in a thin film (a-B/C:H) on the walls and limiters. The energy flux carried by ''superthermal'' (not ''runaway'') electrons at the edge of MST appears to enhance the efficient, non-destructive ablation of the boron carbide rod

  3. Amorphous clusters in Co implanted ZnO induced by boron pre-implantation

    Energy Technology Data Exchange (ETDEWEB)

    Potzger, K.; Shalimov, A.; Zhou, S.; Schmidt, H.; Mucklich, A.; Helm, M.; Fassbender, J.; Liberati, M.; Arenholz, E.

    2009-02-09

    We demonstrate the formation of superparamagnetic/ferromagnetic regions within ZnO(0001) single crystals sequently implanted with B and Co. While the pre-implantation with B plays a minor role for the electrical transport properties, its presence leads to the formation of amorphous phases. Moreover, B acts strongly reducing on the implanted Co. Thus, the origin of the ferromagnetic ordering in local clusters with large Co concentration is itinerant d-electrons as in the case of metallic Co. The metallic amorphous phases are non-detectable by common X-ray diffraction.

  4. Diode characteristics and residual deep-level defects of p+n abrupt junctions fabricated by rapid thermal annealing of boron implanted silicon

    International Nuclear Information System (INIS)

    Usami, A.; Katayama, M.; Wada, T.; Tokuda, Y.

    1987-01-01

    p + n diodes were fabricated by rapid thermal annealing (RTA) of boron implanted silicon in the annealing temperature range 700-1100 0 C for around 7 s, and the RTA temperature dependence of electrical characteristics of these diodes was studied. Deep-level transient spectroscopy (DLTS) measurements were made to evaluate residual deep-level defects in the n-type bulk. Three electron traps were observed in p + n diodes fabricated by RTA at 700 0 C. It was considered that these three traps were residual point defects near the tail of the implantation damage after RTA. Residual defect concentrations increased in the range 700-900 0 C and decreased in the range 1000-1100 0 C. The growth of defects in the bulk was ascribed to the diffusion of defects from the implanted layer during RTA. Concentrations of electron traps observed in p + n diodes fabricated by RTA at 1100 0 C were approx. 10 12 cm -3 . It was found that these residual deep-level defects observed by DLTS were inefficient generation-recombination centres since the reverse current was independent of the RTA temperatures. (author)

  5. Plasma sheath physics and dose uniformity in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Li Jianhui; Kwok, Dixon T. K.; Chu, Paul K.; Wang Zhuo

    2009-01-01

    Based on the multiple-grid particle-in-cell code, an advanced simulation model is established to study the sheath physics and dose uniformity along the sample stage in order to provide the theoretical basis for further improvement of enhanced glow discharge plasma immersion ion implantation and deposition. At t=7.0 μs, the expansion of the sheath in the horizontal direction is hindered by the dielectric cage. The electron focusing effect is demonstrated by this model. Most of the ions at the inside wall of the cage are implanted into the edge of the sample stage and a relatively uniform ion fluence distribution with a large peak is observed at the end. Compared to the results obtained from the previous model, a higher implant fluence and larger area of uniformity are disclosed.

  6. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  7. Analysis of Electron Thermal Diffusivity and Bootstrap Current in Ohmically Heated Discharges after Boronization in the HT-7 Tokamak

    International Nuclear Information System (INIS)

    Zhang, X.M.; Wan, B.N.

    2005-01-01

    Significant improvements of plasma performance after ICRF boronization have been achieved in the full range of HT-7 operation parameters. Electron power balance is analyzed in the steady state ohmic discharges of the HT-7 tokamak. The ratio of the total radiation power to ohmic input power increases with increasing the central line-averaged electron density, but decreases with plasma current. It is obviously decreased after wall conditioning. Electron heat diffusivity χ e deduced from the power balance analysis is reduced throughout the main plasma after boronization. χ e decreases with increasing central line-averaged electron density in the parameter range of our study. After boronization, the plasma current profile is broadened and a higher current can be easily obtained on the HT-7 tokamak experiment. It is expected that the fact that the bootstrap current increases after boronization will explain these phenomena. After boronization, the plasma pressure gradient and the electron temperature near the boundary are larger than before, these factors influencing that the ratio of bootstrap current to total plasma current increases from several percent to above 10%

  8. Effect of plasma-rich in platelet-derived growth factors on peri-implant bone healing: An experimental study in canines

    Science.gov (United States)

    Birang, Reza; Torabi, Alireza; Shahabooei, Mohammad; Rismanchian, Mansour

    2012-01-01

    Background: Tissue engineering principles can be exploited to enhance alveolar and peri-implant bone reconstruction by applying such biological factors as platelet-derived growth factors. The objective of the present study is to investigate the effect of autologous plasma-rich in growth factors (on the healing of peri-implant bone in canine mandible). Materials and Methods: In this prospective experimental animal study, two healthy canines of the Iranian mix breed were selected. Three months after removing their premolar teeth on both sides of the mandible, 12 implants of the Osteo Implant Corporationsystem, 5 mm in diameter and 10 mm in length, were selected to be implanted. Plasma rich in growth factors (PRGF) were applied on six implants while the other six were used as plain implants without the plasma. The implants were installed in osteotomy sites on both sides of the mandible to be removed after 4 weeks with the surrounding bones using a trephine bur. Mesio-distal sections and implant blocks, 50 μ in diameter containing the peri-implant bone, were prepared By basic fuchin toluidine-bluefor histological and histomorphometric evaluation by optical microscope. The data were analyzed using Mann-Whitney Test (PPRGF and control groups had no statistically significant differences (P=0.261, P=0.2) although the parameters showed higher measured values in the PRGF group. However, compared to the control, application of PRGF had significantly increased bone-to-implant contact (P=0.028) Conclusion: Based on the results, it may be concluded that application of PRGF on the surface of implant may enhance bone-to-implant contact. PMID:22363370

  9. Corrosion resistance of magnesium treated by hydrocarbon plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Yekehtaz, M.; Baba, K.; Hatada, R.; Flege, S.; Sittner, F.; Ensinger, W.

    2009-01-01

    Due to its low weight, magnesium is increasingly being used as construction materials for e.g. automobile bodies or cell phone housings. However, the material suffers from poor tribological features and particularly from poor corrosion resistance. In order to protect magnesium from corrosion, it was treated by hydrocarbon plasma immersion ion implantation. Magnesium samples were implanted with methane and acetylene at different process times at ambient temperature. Electrochemical corrosion measurements in dilute buffered acetic acid showed that the treatment led to well-adhering films with an effective corrosion protection.

  10. Corrosion resistance of magnesium treated by hydrocarbon plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Yekehtaz, M. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany)], E-mail: Yekehtaz@ca.tu-darmstadt.de; Baba, K. [Nagasaki Center of Industrial Technology, 2-1303-8 Ikeda, Omura, Nagasaki 856-0026 (Japan); Hatada, R. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany); Nagasaki Center of Industrial Technology, 2-1303-8 Ikeda, Omura, Nagasaki 856-0026 (Japan); Flege, S.; Sittner, F.; Ensinger, W. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany)

    2009-05-01

    Due to its low weight, magnesium is increasingly being used as construction materials for e.g. automobile bodies or cell phone housings. However, the material suffers from poor tribological features and particularly from poor corrosion resistance. In order to protect magnesium from corrosion, it was treated by hydrocarbon plasma immersion ion implantation. Magnesium samples were implanted with methane and acetylene at different process times at ambient temperature. Electrochemical corrosion measurements in dilute buffered acetic acid showed that the treatment led to well-adhering films with an effective corrosion protection.

  11. Amorphization of tantalum by boron and phosphorus ion implantation

    International Nuclear Information System (INIS)

    Thome, L.; Benyagoub, A.; Bernas, H.; Pivin, J.C.; Cahn, R.W.

    1984-01-01

    The nature and depth dependence of the disorder produced by B and P implantation in Ta single crystals were studied in situ via channeling experiments and after implantation via grazing incidence electron diffraction experiments. The correlation of experimental results with implanted impurity profiles determined by SIMS shows that amorphous Ta-B and Ta-P alloys are produced for, respectively, 19% and 8% B and P concentrations in the implanted layer [fr

  12. Controlled Systemic Delivery by Polymeric Implants Enhances Tissue and Plasma Curcumin Levels Compared with Oral Administration

    Science.gov (United States)

    Bansal, Shyam S.; Kausar, Hina; Vadhanam, Manicka V.; Ravoori, Srivani; Gupta, Ramesh C.

    2012-01-01

    Curcumin possess potent anti-inflammatory and anti-proliferative activities but with poor biopharmaceutical attributes. To overcome these limitations, curcumin implants were developed and tissue (plasma, brain and liver) curcumin concentrations were measured in female ACI rats for 3 months. Biological efficacy of tissue levels achieved was analyzed by modulation of hepatic cytochromes. Curcumin implants exhibited diffusion-mediated biphasic release pattern with ~2-fold higher in vivo release as compared to in vitro. Plasma curcumin concentration from implants was ~3.3 ng/ml on day 1 which dropped to ~0.2 ng/ml after 3 months whereas only 0.2–0.3 ng/ml concentration was observed from 4–12 days with diet and was undetected subsequently. Almost 10 fold higher curcumin levels were observed in brain on day 1 from implants compared with diet (30.1±7.3 vs 2.7±0.8 ng/g) and were higher even after 90 days (7.7±3.8 vs 2.2±0.8 ng/g). Although, curcumin levels were similar in liver from both the routes (~25–30 ng/g from day 1–4 and ~10–15 ng/g at 90 days), implants were more efficacious in altering hepatic CYP1A1 levels and CYP3A4 activity at ~28 fold lower doses. Curcumin implants provided much higher plasma and tissue concentrations and are a viable alternative for delivery of curcumin to various organs like brain. PMID:22227368

  13. Operation and Applications of the Boron Cathodic Arc Ion Source

    International Nuclear Information System (INIS)

    Williams, J. M.; Freeman, J. H.; Klepper, C. C.; Chivers, D. J.; Hazelton, R. C.

    2008-01-01

    The boron cathodic arc ion source has been developed with a view to several applications, particularly the problem of shallow junction doping in semiconductors. Research has included not only development and operation of the boron cathode, but other cathode materials as well. Applications have included a large deposition directed toward development of a neutron detector and another deposition for an orthopedic coating, as well as the shallow ion implantation function. Operational experience is described and information pertinent to commercial operation, extracted from these experiments, is presented.

  14. Plasma source ion implantation process for corrosion protection of 6061 aluminum

    International Nuclear Information System (INIS)

    Zhang, L.; Booske, J.H.; Shohet, J.L.; Jacobs, J.R.; Bernardini, A.J.

    1995-01-01

    This paper describes results of an investigation of the feasibility of using nitrogen plasma source ion implantation (PSII) treatment to improve corrosion resistance of 6061 aluminum to salt water. Flat Al samples were implanted with various doses of nitrogen. The surface microstructures and profiles of Al and N in the flat samples were examined using transmission electron microscopy (TEM), scanning Auger microprobe, x-ray diffraction. Corrosion properties of the samples and the components were evaluated using both a 500 hour salt spray field test and a laboratory electrochemical corrosion system. The tested samples were then analyzed by scanning electron microscopy. Corrosion measurements have demonstrated that PSII can significantly improve the pitting resistance of 6061 aluminum. By correlating the analytical results with the corrosion test results, it has been verified that the improved corrosion resistance in PSII-treated coupons is due to the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer is mainly determined by the bias voltage and the total integrated implantation dose, and relatively insensitive to factors such as the plasma source, pulse length, or frequency

  15. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    manufacturing industry by lowering power consumption by as much as 30 kW per ion implanter. Major problem was meeting commercialization goals did not succeed for the following reasons (which were discovered after R&D completion): record output of high charge state phosphorous would have thermally damage wafers; record high charge state of antimony requires tool (ion implanting machine in ion implantation jargon) modification, which did not make economic sense due to the small number of users. High fraction boron ion was delivered to PVI client Axcelis for retrofit and implantation testing; the source could have reduced beam preinjector power consumption by a factor of 3.5. But, since the source generated some lithium (though in miniscule amounts); last minute decision was made not to employ the source in implanters. An additional noteworthy reason for failure to commercialize is the fact that the ion implantation manufacturing industry had been in a very deep bust cycle. BNL, however, has benefited from advances in high-charge state ion generation, due to the need high charge state ions in some RHIC preinjectors. Since the invention of the transistor, the trend has been to miniaturize semiconductor devices. As semiconductors become smaller (and get miniaturized), ion energy needed for implantation decreases, since shallow implantation is desired. But, due to space charge (intra-ion repulsion) effects, forming and transporting ion beams becomes a rather difficult task. A few small manufacturers of low quality semiconductors use plasma immersion to circumvent the problem. However, in plasma immersion undesired plasma impurity ions are also implanted; hence, the quality of those semiconductors is poor. For high quality miniature semiconductor manufacturing, pure, low energy ion beams are utilized. But, low energy ion implanters are characterized by low current (much lower than desirable) and, therefore, low production rates. Consequently, increasing the current of pure low energy

  16. Photometric and emission-spectrometric determination of boron in steels

    International Nuclear Information System (INIS)

    Thierig, D.

    1982-01-01

    A method for the photometric determination of boron in unalloyed and alloyed steels is described, in which Curcumine is used as reagent. A separation of boron is not necessary. Limit of detection: 0.0003% B. The decomposition of boron nitride in the steel is achieved by heating the whole sample in fuming sulphuric acid/phosphoric acid. For the emission spectrometric investigation of solid steel samples and for the spectrochemical analysis of solutions with plasma excitation working parameters are given and possibilities of interferences are demonstrated. (orig.) [de

  17. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Effect of rise-time patterns on dynamics of sheath expansion during plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Huang Yongxian; Tian Xiubo; Yang Shiqin; Fu Ricky; Paul, C.K.

    2007-01-01

    Plasma immersion ion implantation (PIII) has been developed as a low-cost and efficient surface modification technique of irregularly-shaped objects. The effect of six pulse waves with different rise-time patterns on the spatio-temporal evolution of plasma sheath,energy and dose of ion implantation has been simulated by particle-in-cell modeling. Statistical results may be obtained through assuming the Boltzmann distribution of electrons, and solving Poisson and Newton equations for tracing each ion in the plasma sheath. The results show that rise-time pattern has a critical influence on the evolution of plasma sheath. There exists maximum thickness difference of plasma sheath for different waveforms. The acceleration of ions is non-uniform due to the non-uniformity of electrical field strength. The maximum gradient of electrical field appears near the edge of plasma sheath. The results also show that optimization of dose and energy of incident ions may be achieved through modification of rise-time pattern. The numerical simulation of sheath expansion can be effectively used to provide a scientific basis for optimizing the PIII process. (authors)

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Monitoring total boron in blood for BNCT by a novel atomic emission method

    International Nuclear Information System (INIS)

    Laakso, J.; Kulvik, M.; Ruokonen, I.; Vaehaetalo, J.; Faerkkilae, M.; Kallio, M.; Zilliacus, R.

    2000-01-01

    In BNCT the duration and timing of the is adjusted by 10 B concentrations in whole blood. Time-frame for determinations is less than 20 minutes. Therefore fast and accurate boron determinations are a prerequisite for BNCT. We present a method based on ICP-AES instrument for whole blood and plasma boron determinations with protein precipitation with trichloroacetic acid as sample pre-treatment and beryllium as an internal standard. The method was compared to established but tedious ICP-mass spectrometric method with wet ashing as a sample pre-treatment. The ICP-AES method is in good agreement (correlation coefficient 0.99) the ICP-MS. Within-day and between-day imprecisions were less than 3,5% CV for whole blood samples. Samples taken during and after BPA-F infusion (290 mg/kg) revealed an uneven distribution between plasma and erythrocytes. The present method is feasible and one of the fastest currently available for BNCT. Our results indicate that BPA-F or its metabolites do not seem to be tightly bound to plasma proteins. It also seems that determination of boron in plasma sample may be preferable than measuring boron in whole blood. (author)

  1. Comparison of boron diffusion in silicon during shallow p{sup +}/n junction formation by non-melt excimer and green laser annealing

    Energy Technology Data Exchange (ETDEWEB)

    Aid, Siti Rahmah; Matsumoto, Satoru [Department of Electronics and Electrical Engineering, Keio University, 3-14-1 Hiyoshi, Kouhoku-ku, Yokohama, Kanagawa 223-8522 (Japan); Fuse, Genshu [SEN Corporation, SBS Tower 9F, 4-10-1 Yoga, Setagaya-ku, Tokyo 158-0097 (Japan); Sakuragi, Susumu [Sumitomo Heavy Industries Ltd., 19 Natsushima-cho, Yokosuka, Kanagawa 237-8555 (Japan)

    2011-12-15

    The combination of Ge pre-amorphization implantation, low-energy boron implantation, and non-melt laser annealing is a promising method for forming ultrashallow p{sup +}/n junctions in silicon. In this study, shallow p{sup +}/n junctions were formed by non-melt annealing implanted samples using a green laser (visible laser). The dopant diffusion, activation, and recrystallization of an amorphous silicon layer were compared with those obtained in our previous study in which non-melt annealing was performed using a KrF excimer laser (UV laser). The experimental results reveal that only slight diffusion of boron in the tail region occurred in green-laser-annealed samples. In contrast, remarkable boron diffusion occurred in KrF-laser-annealed samples for very short annealing times. Recrystallization of the amorphous silicon layer was slower in green-laser-annealed samples than in KrF-laser-annealed samples. We consider the penetration depth and the pulse duration are important factors that may affect boron diffusion. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  3. A systematic review on the long-term success of calcium phosphate plasma-spray-coated dental implants.

    Science.gov (United States)

    van Oirschot, B A J A; Bronkhorst, E M; van den Beucken, J J J P; Meijer, G J; Jansen, J A; Junker, R

    2016-09-01

    The objectives of the current review were (1) to systematically appraise, and (2) to evaluate long-term success data of calcium phosphate (CaP) plasma-spray-coated dental implants in clinical trials with at least 5 years of follow-up. To describe the long-term efficacy of functional implants, the outcome variables were (a) percentage annual complication rate (ACR) and (b) cumulative success rate (CSR), as presented in the selected articles. The electronic search yielded 645 titles. On the basis of the inclusion criteria, 8 studies were finally included. The percentage of implants in function after the first year was estimated to be 98.4 % in the maxilla and 99.2 % in the mandible. The estimates of the weighted mean ACR-percentage increased over the years up to 2.6 (SE 0.7) during the fifth year of function for the maxilla and to 9.4 (SE 8.4) for the mandible in the tenth year of function. After 10 years, the mean percentage of successful implants was estimated to be 71.1 % in the maxilla and 72.2 % in the mandible. The estimates seem to confirm the proposed, long-term progressive bone loss pattern of CaP-ceramic-coated dental implants. Within the limits of this meta-analytic approach to the literature, we conclude that: (1) published long-term success data for calcium phosphate plasma-spray-coated dental implants are limited, (2) comparison of the data is difficult due to differences in success criteria among the studies, and (3) long-term CSRs demonstrate very weak evidence for progressive complications around calcium phosphate plasma-spray-coated dental implants.

  4. Boron-implantation-induced crystalline-to-amorphous transition in nickel: An experimental assessment of the generalized Lindemann melting criterion

    International Nuclear Information System (INIS)

    Liu, P.C.; Okamoto, P.R.; Zaluzec, N.J.; Meshii, M.

    1999-01-01

    The generalized Lindemann melting hypothesis has recently been used to develop a unified thermodynamic criterion for melting applicable to both heat-induced melting and disorder-induced crystalline-to-amorphous (c-a) transformation. The hypothesis stipulates that the sum left-angle μ 2 right-angle Total of the static and dynamic root-mean-square (rms) atomic displacements is a constant fraction of the nearest-neighbor distance along the melting curve of a solid. To test this hypothesis, energy-filtered selected area electron-diffraction intensity measurements were used to determine the generalized Lindemann parameter δ=√ (left-angle μ 2 right-angle Total ) /d nn , in which d nn represents the nearest-neighbor distance, as a function of boron concentration during implantation of 50-keV ampersand hthinsp;B + into polycrystalline Ni at 77 K. The onset of amorphization was found to occur close to 10 at.ampersand hthinsp;% boron, which is in good agreement with the value predicted by T o curve calculated using the generalized Lindemann hypothesis. Moreover, the critical value of the generalized Lindemann parameter for amorphization, δ Critical =0.115±0.01, is within experimental error, identical to that for Ni just below its thermodynamic melting temperature of T=1728 ampersand hthinsp;K, hence providing a direct confirmation for the generalized Lindemann melting hypothesis. copyright 1999 The American Physical Society

  5. Nucleation, growth and dissolution of extended defects in implanted Si: impact on dopant diffusion

    International Nuclear Information System (INIS)

    Claverie, A.; Giles, L.F.; Omri, M.; Mauduit, B. de; Ben Assayag, G.; Mathiot, D.

    1999-01-01

    Transient Enhanced Diffusion (TED) of boron in silicon is driven by the large supersaturations of self-interstitial silicon atoms left after implantation which also often lead to the nucleation and subsequent growth, upon annealing, of extended defects. In this paper we review selected experimental results and concepts concerning boron diffusion and/or defect behavior which have recently emerged with the ion implantation community and briefly indicate how they are, or will be, currently used to improve 'predictive simulations' softwares aimed at predicting TED. In a first part, we focus our attention on TED and on the formation of defects in the case of 'direct' implantation of boron in silicon. In a second part, we review our current knowledge of the defects and of the diffusion behavior of boron when annealing preamorphised Si. In a last part, we try to compare these two cases and to find out what are the reasons for some similarities and many differences in defect types and thermal evolution depending on whether boron is implanted in crystalline or amorphous silicon. While rising many more questions, we propose a 'thermodynamical' vision of the nucleation and growth of clusters and extended defects and stress the interactions between these defects and the free Si self-interstitial atoms which surround them and are the source for TED in all cases. A pragmatic approach to the simulation of TED for various experimental conditions is proposed

  6. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  7. Comparative Evaluation of Osseointegration of Dental Endodontic Implants with and without Plasma- Sprayed Hydroxy apatite Coating

    Directory of Open Access Journals (Sweden)

    Moosavi SB

    2001-05-01

    Full Text Available Bone osseointegration around dental implant can cause earlier stabilization and fixation of implant and reduce healing time. Hydroxyapatite coating can affect bone osseointegration and enhance its rates. The aim of this study was comparison of osseointegration between plasma sprayed hydroxyapatite coated and uncoated dental implants in cats. Four endodontic implants including, vitallium and two stainless steel with and without hydroxyapatite coating were prepared and placed in mandibular canines of 20 cats after completion of root canal treatment and osseous preparation. After a healing period of 4 months, investigation by scanning electron microscopy showed significant difference in ossointegration between coated and uncoated dental implants and average bone osseointegration of coated implants was more than uncoated implants.

  8. Determination of boron content and isotopic composition in gypsum by inductively coupled plasma optical emission spectroscopy and positive thermal ionization mass spectrometry using phase transformation.

    Science.gov (United States)

    Ma, Yun-Qi; Peng, Zhang-Kuang; Yang, Jian; Xiao, Ying-Kai; Zhang, Yan-Ling

    2017-12-01

    As a stable isotope, boron plays an important role in hydrogeology, environmental geochemistry, ore deposit geochemistry and marine paleoclimatology. However, there is no report of boron isotopic composition in gypsum. This is mainly confined to complete dissolution of Gypsum by water or acid. In this study, gypsum was converted to calcium carbonate (CaCO 3 ) with ammonium bicarbonate(NH 4 HCO 3 ) by two steps at 50°C. In every step, the mass ratio of NH 4 HCO 3 /CaSO 4 ·2H 2 O was twice, and conversion rate reached more than 98%. Converted CaCO 3 was totally dissolved with hydrochloric acid (the dissolution rate was over 99%). In order to overcome the difficulties of the matrix interference and the detection limit of Inductively Coupled Plasma Optical Emission Spectroscopy (ICP-OES), we use Amberlite IRA 743 resin to purify and enrichment the boron at first, then eluting boron from the resin with 10mL 0.1mol/L hydrochloric acid at 75°C. The boron isotopic composition of natural gypsum samples was determined using positive thermal ionization mass spectrometry (P-TIMS). The boron isotopic composition of gypsum may be an excellent indicator for the formation environment. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  10. Innovative boron nitride-doped propellants

    Directory of Open Access Journals (Sweden)

    Thelma Manning

    2016-04-01

    Full Text Available The U.S. military has a need for more powerful propellants with balanced/stoichiometric amounts of fuel and oxidants. However, balanced and more powerful propellants lead to accelerated gun barrel erosion and markedly shortened useful barrel life. Boron nitride (BN is an interesting potential additive for propellants that could reduce gun wear effects in advanced propellants (US patent pending 2015-026P. Hexagonal boron nitride is a good lubricant that can provide wear resistance and lower flame temperatures for gun barrels. Further, boron can dope steel, which drastically improves its strength and wear resistance, and can block the formation of softer carbides. A scalable synthesis method for producing boron nitride nano-particles that can be readily dispersed into propellants has been developed. Even dispersion of the nano-particles in a double-base propellant has been demonstrated using a solvent-based processing approach. Stability of a composite propellant with the BN additive was verified. In this paper, results from propellant testing of boron nitride nano-composite propellants are presented, including closed bomb and wear and erosion testing. Detailed characterization of the erosion tester substrates before and after firing was obtained by electron microscopy, inductively coupled plasma and x-ray photoelectron spectroscopy. This promising boron nitride additive shows the ability to improve gun wear and erosion resistance without any destabilizing effects to the propellant. Potential applications could include less erosive propellants in propellant ammunition for large, medium and small diameter fire arms.

  11. Application of nitrogen plasma immersion ion implantation to titanium nasal implants with nanonetwork surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui; Yang, Wei-En [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Zhang, Lan [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Zhu, Hongqin [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Lan, Ming-Ying [Division of Rhinology, Department of Otolaryngology Head and Neck Surgery, Taipei Veterans General Hospital, Taipei 112, Taiwan and School of Medicine, National Yang-Ming University, Taipei 112, Taiwan (China); Lee, Sheng-Wei [Institute of Materials Science and Engineering, National Central University, Taoyuan 320, Taiwan (China); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Institute of Oral Biology, National Yang-Ming University, Taipei 112, Taiwan (China); Graduate Institute of Basic Medical Science, China Medical University, Taichung 404, Taiwan (China); Department of Medical Research, China Medical University Hospital, Taichung 407, Taiwan (China); Department of Bioinformatics and Medical Engineering, Asia University, Taichung 413, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei 112, Taiwan (China)

    2016-07-15

    In nasal reconstruction, the response of cells to titanium (Ti) implants is mainly determined by surface features of the implant. In a pilot study, the authors applied electrochemical anodization to Ti surfaces in an alkaline solution to create a network of nanoscale surface structures. This nanonetwork was intended to enhance the responses of primary human nasal epithelial cell (HNEpC) to the Ti surface. In this study, the authors then treated the anodized, nanonetwork-structured Ti surface using nitrogen plasma immersion ion implantation (NPIII) in order to further improve the HNEpC response to the Ti surface. Subsequently, surface characterization was performed to elucidate morphology, roughness, wettability, and chemistry of specimens. Cytotoxicity, blood, and HNEpC responses were also evaluated. Our results demonstrate that NPIII treatment led to the formation of a noncytotoxic TiN-containing thin film (thickness <100 nm) on the electrochemically anodized Ti surface with a nanonetwork-structure. NPIII treatment was shown to improve blood clotting and the adhesion of platelets to the anodized Ti surface as well as the adhesion and proliferation of hNEpC. This research spreads our understanding of the fact that a TiN-containing thin film, produced using NPIII treatment, could be used to improve blood and HNEpC responses to anodized, nanonetwork-structured Ti surfaces in nasal implant applications.

  12. Plasma recovery after various events in HT-7 superconducting tokamak

    International Nuclear Information System (INIS)

    Hu, J.S.; Li, J.G.

    2008-01-01

    Normal plasma recoveries after various events, such as after shutdown, various boronization, oxidation and large air leak, were investigated in the 2007 campaign of HT-7. Plasma recoveries, including disruptive plasmas, would depend on the wall status, such as impurities content and hydrogen retention. After shutdown or air leak, impurities made plasma recovery very difficult. After boronization, plasma recoveries would depend on the procedures of the boronization (C 2 B 10 H 12 ). After oxidation, boronization would effectively suppress impurities and would be beneficial for plasma recovery. ICRF cleanings in various working gases, such as He and D 2 , would be useful for impurities and hydrogen removal. This research is important for effective operation of HT-7 and would be useful for EAST and ITER operations.

  13. Boron diffusion into nitrogen doped silicon films for P{sup +} polysilicon gate structures

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, Farida; Mahamdi, Ramdane; Jalabert, Laurent; Temple-Boyer, Pierre

    2003-06-23

    This paper deals with the study of the boron diffusion in nitrogen doped silicon (NIDOS) deposited from disilane Si{sub 2}H{sub 6} and ammonia NH{sub 3} for the development of P{sup +} polysilicon gate metal oxide semiconductor (MOS) devices. NIDOS films with varied nitrogen content have been boron implanted, then annealed and finally analysed by secondary ion mass spectroscopy (SIMS). In order to simulate the experimental SIMS of boron concentration profiles in the NIDOS films, a model adapted to the particular conditions of the samples elaboration, i.e. the very high boron concentration and the nitrogen content, has been established. The boron diffusion reduction in NIDOS films with increasing nitrogen rates has been evidenced by the profiles as well as by the obtained diffusion coefficients, which shows that the nitrogen incorporation reduces the boron diffusion. This has been confirmed by capacitance-voltage (C-V) measurements performed on MOS capacitors: the higher the nitrogen content, the lower the flat-band voltage. Finally, these results demonstrate that the improvement of the gate oxide quality occurs with the suppression of the boron penetration.

  14. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  15. Bulk-boronized limiter operation in the Wendelstein 7-AS stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Brakel, R; Burhenn, R; Behrisch, R; Grigull, P; Hacker, H; Hildebrandt, D; Hofmann, J V; Mahn, C; Roth, J; Schneider, U; Weller, A [Max-Planck-Inst. fuer Plasmaphysik, Garching (Germany); Hirooka, Y [Inst. of Plasma Physics and Fusion Research, Univ. California, Los Angeles, CA (United States); W7-AS Team; NI Group; ECRH Group

    1992-12-01

    Bulk-boronized graphite (20% boron) has been tested as a limiter material in the Wendelstein 7-AS stellarator. The recycling behaviour and the plasma impurities are compared for the new material and the formerly used TiC-coated graphite with stainless steel and boronized walls. After conditioning the recycling and the oxygen and carbon levels are comparable for both materials. No significant impact of sputter boronization from the limiters on the oxygen level was observed. A drastical reduction of oxygen by about a factor of 10 was obtained only after additional gas boronization. In this case Z[sub eff] is primarily determined by carbon and boron. For ECF standard discharges Z[sub eff][approx equal]2 with P[sub rad]=6% of the input power was found as compared to Z[sub eff]< or approx.3 and P[sub rad]=10% before boronization and Z[sub eff][approx equal]4, P[sub rad]=20% with TiC-limiters. (orig.).

  16. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  17. Assessment of Atmospheric Pressure Plasma Treatment for Implant Osseointegration

    Directory of Open Access Journals (Sweden)

    Natalie R. Danna

    2015-01-01

    Full Text Available This study assessed the osseointegrative effects of atmospheric pressure plasma (APP surface treatment for implants in a canine model. Control surfaces were untreated textured titanium (Ti and calcium phosphate (CaP. Experimental surfaces were their 80-second air-based APP-treated counterparts. Physicochemical characterization was performed to assess topography, surface energy, and chemical composition. One implant from each control and experimental group (four in total was placed in one radius of each of the seven male beagles for three weeks, and one implant from each group was placed in the contralateral radius for six weeks. After sacrifice, bone-to-implant contact (BIC and bone area fraction occupancy (BAFO were assessed. X-ray photoelectron spectroscopy showed decreased surface levels of carbon and increased Ti and oxygen, and calcium and oxygen, posttreatment for Ti and CaP surfaces, respectively. There was a significant (P<0.001 increase in BIC for APP-treated textured Ti surfaces at six weeks but not at three weeks or for CaP surfaces. There were no significant (P=0.57 differences for BAFO between treated and untreated surfaces for either material at either time point. This suggests that air-based APP surface treatment may improve osseointegration of textured Ti surfaces but not CaP surfaces. Studies optimizing APP parameters and applications are warranted.

  18. Oxygen and sodium plasma-implanted nickel-titanium shape memory alloy: A novel method to promote hydroxyapatite formation and suppress nickel leaching

    International Nuclear Information System (INIS)

    Chan, Y.L.; Yeung, K.W.K.; Lu, W.W.; Ngan, A.H.W.; Luk, K.D.K.; Chan, D.; Wu, S.L.; Liu, X.M.; Chu, Paul K.; Cheung, K.M.C.

    2007-01-01

    This study aims at modifying the surface bioactivity of NiTi by sodium and oxygen plasma immersion ion implantation (PIII). Sodium ions were implanted into oxygen plasma-implanted NiTi and untreated NiTi. X-ray photoelectron spectroscopy (XPS) revealed that more sodium was implanted into the oxygen pre-implanted sample in comparison with the untreated surface. Scanning electron microscopy (SEM) coupled with energy dispersive X-ray analysis (EDX) detected calcium and phosphorus rich deposits on both samples after immersion in simulated body fluids for 7 and 21 days. Inductively-coupled plasma mass spectrometry (ICPMS) conducted on the deposits dissolved in diluted hydrochloric acid showed more calcium on the oxygen PIII samples. The improved corrosion resistance of the oxygen PIII NiTi was retained after sodium PIII as evaluated by potentiodynamic polarization tests. Better spreading and proliferation of osteoblasts were also observed on the treated samples

  19. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  20. Effect of laser pulsed radiation on the properties of implanted layers of silicon carbide

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Voron'ko, O.N.; Nojbert, F.; Potapov, E.N.

    1984-01-01

    Results are presented of investigation into pulsed laser radiation effects on the layers of GH polytype silicon carbide converted to amorphous state by implantation of boron and aluminium ions. The implantation doses were selected to be 5x10 16 for boron and 5x10 15 cm -2 for aluminium, with the ion energies being 60 and 80 keV, respectively. The samples annealed under nanosecond regime are stated to posseys neither photoluminescence (PL) nor cathodoluminescence (CL). At the same time the layers annealed in millisecond regime have a weak PL at 100 K and CL at 300 K. The PL and CL are observed in samples, laser-annealed at radiation energy density above 150-160 J/cm 2 in case of boron ion implantation and 100-120 J/cm 2 in case of aluminium ion implantation. Increasing the radiation energy density under the nanosecond regime of laser annealing results in the surface evaporation due to superheating of amorphous layers. Increasing the energy density above 220-240 J/cm 2 results in destruction of the samples

  1. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  2. Investigation of Peri-Implant Bone Healing Using Autologous Plasma Rich in Growth Factors in the Canine Mandible After 12 Weeks: A Pilot Study

    Science.gov (United States)

    Birang, Reza; Tavakoli, Mohammad; Shahabouei, Mohammad; Torabi, Alireza; Dargahi, Ali; Soolari, Ahmad

    2011-01-01

    Introduction: Faster reconstruction of patients’ masticatory systems is the aim of modern dentistry. A number of studies have indicated that application of growth factors to the surface of a dental implant leads to accelerated and enhanced osseointegration. The objective of the present study was to investigate the effect of plasma rich in growth factors on peri-implant bone healing. Materials and Methods: For the purpose of this study, two healthy, mixed-breed canines were selected, and the premolars were extracted from both sides of the mandible. Three months after premolar removal, 12 implants, each 5 mm in diameter and 10 mm in length, were placed in osteotomy sites on both sides of the mandible. Prior to placement, plasma rich in growth factors was applied to the surfaces of six implants, while the other six were used without plasma rich in growth factors. The implants were removed after 12 weeks along with the bone surrounding the sites using a trephine bur. One mesiodistal section containing the surrounding bone from each implant block, 50 µm in diameter, was prepared for histologic and histomorphometric investigation with an optical microscope. Results: The sites with implants treated with plasma rich in growth factors showed more bone-to-implant contact compared to control sites. Also, higher values for bone trabecular thickness and bone maturity were recorded for the PRGF-treated sites than for the control sites. Conclusion: Application of plasma rich in growth factors to the surface of an implant may enhance the bone healing process as well as bone-to-implant contact, thereby helping to achieve faster osseointegration. PMID:22145011

  3. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  4. Effect of Melatonin Implants during the Non-Breeding Season on the Onset of Ovarian Activity and the Plasma Prolactin in Dromedary Camel

    Directory of Open Access Journals (Sweden)

    Khalid El Allali

    2018-03-01

    Full Text Available To examine a possible control of reproductive seasonality by melatonin, continual-release subcutaneous melatonin implants were inserted 4.5 months before the natural breeding season (October–April into female camels (Melatonin-treated group. The animals were exposed to an artificial long photoperiod (16L:8D for 41 days prior to implant placement to facilitate receptivity to the short-day signal that is expected with melatonin implants. The treated and control groups (untreated females were maintained separately under outdoor natural conditions. Ovarian follicular development was monitored in both groups by transrectal ultrasonography and by plasma estradiol-17β concentrations performed weekly for 8 weeks and then for 14 weeks following implant insertion. Plasma prolactin concentrations were determined at 45 and 15 days before and 0, 14, 28, 56, and 98 days after implant insertion. Plasma melatonin concentration was determined to validate response to the artificial long photoperiod and to verify the pattern of release from the implants. Results showed that the artificial long photoperiod induced a melatonin secretion peak of significantly (P < 0.05 shorter duration (about 2.5 h. Melatonin release from the implants resulted in higher circulating plasma melatonin levels during daytime and nighttime which persisted for more than 12 weeks following implants insertion. Treatment with melatonin implants advanced the onset of follicular growth activity by 3.5 months compared to untreated animals. Plasma estradiol-17β increased gradually from the second week after the beginning of treatment to reach significantly (P < 0.01 higher concentrations (39.2 ± 6.2 to 46.4 ± 4.5 pg/ml between the third and the fifth week post insertion of melatonin implants. Treatment with melatonin implants also induced a moderate, but significant (P < 0.05 suppressive effect on plasma prolactin concentration on the 28th day. These

  5. Effect of Melatonin Implants during the Non-Breeding Season on the Onset of Ovarian Activity and the Plasma Prolactin in Dromedary Camel

    Science.gov (United States)

    El Allali, Khalid; Sghiri, Abdelmalek; Bouâouda, Hanan; Achaâban, Mohamed Rachid; Ouzir, Mounir; Bothorel, Béatrice; El Mzibri, Mohammed; El Abbadi, Najia; Moutaouakkil, Adnane; Tibary, Ahmed; Pévet, Paul

    2018-01-01

    To examine a possible control of reproductive seasonality by melatonin, continual-release subcutaneous melatonin implants were inserted 4.5 months before the natural breeding season (October–April) into female camels (Melatonin-treated group). The animals were exposed to an artificial long photoperiod (16L:8D) for 41 days prior to implant placement to facilitate receptivity to the short-day signal that is expected with melatonin implants. The treated and control groups (untreated females) were maintained separately under outdoor natural conditions. Ovarian follicular development was monitored in both groups by transrectal ultrasonography and by plasma estradiol-17β concentrations performed weekly for 8 weeks and then for 14 weeks following implant insertion. Plasma prolactin concentrations were determined at 45 and 15 days before and 0, 14, 28, 56, and 98 days after implant insertion. Plasma melatonin concentration was determined to validate response to the artificial long photoperiod and to verify the pattern of release from the implants. Results showed that the artificial long photoperiod induced a melatonin secretion peak of significantly (P < 0.05) shorter duration (about 2.5 h). Melatonin release from the implants resulted in higher circulating plasma melatonin levels during daytime and nighttime which persisted for more than 12 weeks following implants insertion. Treatment with melatonin implants advanced the onset of follicular growth activity by 3.5 months compared to untreated animals. Plasma estradiol-17β increased gradually from the second week after the beginning of treatment to reach significantly (P < 0.01) higher concentrations (39.2 ± 6.2 to 46.4 ± 4.5 pg/ml) between the third and the fifth week post insertion of melatonin implants. Treatment with melatonin implants also induced a moderate, but significant (P < 0.05) suppressive effect on plasma prolactin concentration on the 28th day. These results

  6. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  7. Boron ion irradiation induced structural and surface modification of glassy carbon

    International Nuclear Information System (INIS)

    Kalijadis, Ana; Jovanović, Zoran; Cvijović-Alagić, Ivana; Laušević, Zoran

    2013-01-01

    The incorporation of boron into glassy carbon was achieved by irradiating two different types of targets: glassy carbon polymer precursor and carbonized glassy carbon. Targets were irradiated with a 45 keV B 3+ ion beam in the fluence range of 5 × 10 15 –5 × 10 16 ions cm −2 . For both types of targets, the implanted boron was located in a narrow region under the surface. Following irradiation, the polymer was carbonized under the same condition as the glassy carbon samples (at 1273 K) and examined by Raman spectroscopy, temperature programmed desorption, hardness and cyclic voltammetry measurements. Structural analysis showed that during the carbonization process of the irradiated polymers, boron is substitutionally incorporated into the glassy carbon structure, while for irradiated carbonized glassy carbon samples, boron irradiation caused an increase of the sp 3 carbon fraction, which is most pronounced for the highest fluence irradiation. Further analyses showed that different nature of boron incorporation, and thus changed structural parameters, are crucial for obtaining glassy carbon samples with modified mechanical, chemical and electrochemical properties over a wide range

  8. Pulverization of boron element and proportions of boron carbide in boron

    International Nuclear Information System (INIS)

    Lang, F.M.; Finck, C.

    1956-01-01

    It is possible to reduce boron element into fine powder by means of a mortar and pestle made of sintered boron carbide, the ratio of boron carbide introduced being less than one per cent. Boron element at our disposal is made of sharp edged, dark brown, little grains of average size greater than 5 μ. Grain sizes smaller than 1μ are required for applying thin layers of such boron. (author) [fr

  9. Deposition of thin layers of boron nitrides and hydrogenated microcrystalline silicon assisted by high current direct current arc plasma; Deposition assistee par un plasma a arc a haut courant continu de couches minces de Nitrure de Bore et de Silicium microcristallin hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    Franz, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    1999-09-01

    In the frame of this thesis, a high current direct current arc (HCDCA) used for the industrial deposition of diamond, has been adapted to study the deposition of two types of coatings: a) boron nitride, whose cubic phase is similar to diamond, for tribological applications, b) hydrogenated microcrystalline silicon, for applications in the semiconductor fields (flat panel displays, solar cells,...). For the deposition of these coatings, the substrates were placed in the diffusion region of the arc. The substrate heating is mainly due to atomic species recombining on its surface. The deposition temperature, varying from 300 to 900 {sup o}C according to the films deposited, is determined by the substrate position, the arc power and the injected gas fluxes, without the use of any external heating or cooling system. Measurements performed on the arc plasma show that the electronic temperature is around 2 eV (23'000 K) while the gas temperature is lower than 5500 K. Typical electronic densities are in the range of 10{sup 12}-10{sup 1'}3 cm{sup -3}. For the deposition of boron nitride films, different boron precursors were used and a wide parameter range was investigated. The extreme difficulty of synthesising cubic boron nitride films by chemical vapour deposition (CVD) did not allow to stabilize the cubic phase of boron nitride in HCDCA. Coatings resulted in hexagonal or amorphous boron nitride with a chemical composition close to stoichiometric. The presence of hydrogen leads to the deposition of rough and porous films. Negative biasing of the samples, for positive ion bombardment, is commonly used to stabilize the cubic phase. In HCDCA and in our biasing range, only a densification of the films could be observed. A boron nitride deposition plasma study by infrared absorption spectroscopy in a capacitive radio frequency reactor has demonstrated the usefulness of this diagnostic for the understanding of the various chemical reactions which occur in this kind

  10. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    Science.gov (United States)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  11. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  12. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  13. Structure and reactivity of boron-ate complexes derived from primary and secondary boronic esters.

    Science.gov (United States)

    Feeney, Kathryn; Berionni, Guillaume; Mayr, Herbert; Aggarwal, Varinder K

    2015-06-05

    Boron-ate complexes derived from primary and secondary boronic esters and aryllithiums have been isolated, and the kinetics of their reactions with carbenium ions studied. The second-order rate constants have been used to derive nucleophilicity parameters for the boron-ate complexes, revealing that nucleophilicity increased with (i) electron-donating aromatics on boron, (ii) neopentyl glycol over pinacol boronic esters, and (iii) 12-crown-4 ether.

  14. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  15. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  16. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  17. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  18. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  19. Electron microscopy study of radiation effects in boron carbide

    International Nuclear Information System (INIS)

    Stoto, T.

    1987-03-01

    Boron carbide is a disordered non-stoechiometric material with a strongly microtwinned polycristallyne microstructure. This ceramic is among the candidate materials for the first wall coating in fusion reactor and is used as a neutron absorber in the control rods of fast breeder reactors. The present work deals with the nature of radiation damage in this solid. Because of helium internal production, neutron irradiated boron carbide is affected by swelling and by a strong microcracking which can break up a pellet in fine powder. These processes are rather intensitive to the irradiation parameters (temperature, flux and even neutron spectrum). Transmission electron microscopy of samples irradiated by the fast neutrons of a reactor, the electrons of a high voltage electron microscope and of samples implanted with helium ions was used to understand the respective roles of helium and point defects in the processes of swelling and microcracking. The design of an irradiation chamber for helium implantation at controlled temperature from 600 to 1700 0 C was an important technical part of this work [fr

  20. Investigation of boron conjugated thiouracil derivates for neutron capture therapy of melanoma

    International Nuclear Information System (INIS)

    Corderoy-Buck, S.; Allen, B.J.; Wilson, J.G.; Tjarks, W.; Gabel, D.; Barkla, D.; Patwardhan, A.; Chandler, A.; Moore, D.E.

    1990-01-01

    Boron conjugated thiouracil derivatives were investigated as possible agents for boron neutron capture therapy (BNCT) of melanoma. Nude mice bearing human or murine melanoma xenografts were used for biodistribution studies following i.p. or i.t. (intratumoural) injection of these drugs. Boron content was analysed by inductively coupled plasma atomic emission spectrometry. Wide variation between tumour lines was observed with respect to accumulation of these drugs, but they appear to offer potential as melanoma affined boron carriers if solubility problems are overcome by liposome entrapment. Pre-treatment to stimulate melanogenesis may also prove a useful adjunct in achieving the therapeutic concentrations of boron necessary for successful BNCT. 25 refs., 4 tabs., 3 figs

  1. ICRF boronization. A new technique towards high efficiency wall coating for superconducting tokamak reactors

    International Nuclear Information System (INIS)

    Li Jiangang; Zhao Yan Ping; Gu Xue Mao

    1999-01-01

    A new technique for wall conditioning that will be especially useful for future larger superconducting tokamaks, such as ITER, has been successfully developed and encouraging results have been obtained. Solid carborane powder, which is non-toxic and non-explosive, was used. Pulsed RF plasma was produced by a non-Faraday shielding RF antenna with RF power of 10 kW. The ion temperature was about 2 keV with a toroidal magnetic field of 1.8 T and a pressure of 3x10 -1 Pa. Energetic ions broke up the carborane molecules, and the resulting boron ions struck and were deposited on the first wall. In comparison with glow discharge cleaning boronization, the B/C coating film shows higher adhesion, more uniformity and longer lifetime during plasma discharges. The plasma performance was improved after ICRF boronization. (author). Letter-to-the-editor

  2. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  3. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  4. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Czech Academy of Sciences Publication Activity Database

    Cutroneo, Mariapompea; Macková, Anna; Malinský, Petr; Matoušek, J.; Torrisi, L.; Ullschmied, Jiří

    2015-01-01

    Roč. 354, JUL (2015), s. 56-59 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk LM2011019 Institutional support: RVO:61389005 ; RVO:68378271 Keywords : multi-energy implantation * laser-produced plasma * RBS analysis Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders; BL - Plasma and Gas Discharge Physics (FZU-D) Impact factor: 1.389, year: 2015

  5. Plasma-deposited fluorocarbon polymer films on titanium for preventing cell adhesion: a surface finishing for temporarily used orthopaedic implants

    Science.gov (United States)

    Finke, B.; Testrich, H.; Rebl, H.; Walschus, U.; Schlosser, M.; Zietz, C.; Staehlke, S.; Nebe, J. B.; Weltmann, K. D.; Meichsner, J.; Polak, M.

    2016-06-01

    The design of a titanium implant surface should ideally support its later application in clinical use. Temporarily used implants have to fulfil requirements different from permanent implants: they should ensure the mechanical stabilization of the bone stock but in trauma surgery they should not be integrated into the bone because they will be removed after fracture healing. Finishing of the implant surface by a plasma-fluorocarbon-polymer (PFP) coating is a possible approach for preventing cell adhesion of osteoblasts. Two different low pressure gas-discharge plasma processes, microwave (MW 2.45 GHz) and capacitively coupled radio frequency (RF 13.56 MHz) plasma, were applied for the deposition of the PFP film using a mixture of the precursor octafluoropropane (C3F8) and hydrogen (H2). The thin films were characterized by x-ray photoelectron spectroscopy, Fourier transform infrared reflection absorption spectroscopy, and water contact angle measurements. Cell culture experiments show that cell adhesion and spreading of MG-63 osteoblasts were clearly reduced or nonexistent on these surfaces, also after 24 h of storage in the cell culture medium. In vivo data demonstrated that the local inflammatory tissue response for the PFP films deposited in MW and RF plasma were comparable to uncoated controls.

  6. Implantation annealing by scanning electron beam

    International Nuclear Information System (INIS)

    Jaussaud, C.; Biasse, B.; Cartier, A.M.; Bontemps, A.

    1983-11-01

    Samples of ion implanted silicon (BF 2 , 30keV, 10 15 ions x cm -2 ) have been annealed with a multiple scan electron beam, at temperatures ranging from 1000 to 1200 0 C. The curves of sheet resistance versus time show a minimum. Nuclear reaction measurements of the amount of boron remaining after annealing show that the increase in sheet resistance is due to a loss of boron. The increase in junction depths, measured by spreading resistance on bevels is between a few hundred A and 1000 A [fr

  7. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  8. Mechanism of phase transformations in Mg-based alloys subjected to plasma immersion ion implantation of Ag

    International Nuclear Information System (INIS)

    Kutsenko, Larisa; Fuks, David; Kiv, Arnold; Talianker, Michael; Burlaka, Ljubov; Monteiro, Othon; Brown, Ian

    2006-01-01

    The formation of a new phase of composition Mg 54 Al 28 Ag 18 was observed as a result of plasma immersion ion implantation of Ag into the compound Mg 17 Al 12 . The new structure was characterized using a micro-beam diffraction technique. It was found that the implantation-induced phase transition occurs when the retained dose of the implanted ions is within the range of about 10 15 -10 16 ions/cm 2 . The implanted system has been studied theoretically by means of density-functional electronic structure calculations and a new ab initio approach has been developed to evaluate the specific concentrations of the implanted ions that might cause the phase transition effect in the implanted matrix. The theoretically estimated values of concentrations are in good agreement with experimental observations

  9. Boron containing poly-(lactide-co-glycolide) (PLGA) scaffolds for bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Doğan, Ayşegül; Demirci, Selami [Department of Genetics and Bioengineering, Faculty of Engineering and Architecture, Yeditepe University 34755 Istanbul (Turkey); Bayir, Yasin [Department of Biochemistry, Faculty of Pharmacy, Ataturk University, 25240, Erzurum (Turkey); Halici, Zekai [Department of Pharmacology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Karakus, Emre [Department of Pharmacology and Toxicology, Faculty of Veterinary Medicine, Ataturk University, 25240, Erzurum (Turkey); Aydin, Ali [Department of Orthopedics and Traumatology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Cadirci, Elif [Department of Pharmacology, Faculty of Pharmacy, Ataturk University, 25240, Erzurum (Turkey); Albayrak, Abdulmecit [Department of Pharmacology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Demirci, Elif [Department of Pathology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Karaman, Adem [Department of Radiology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Ayan, Arif Kursat [Department of Nuclear Medicine, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Gundogdu, Cemal [Department of Pathology, Faculty of Medicine, Ataturk University, 25240, Erzurum (Turkey); Şahin, Fikrettin, E-mail: fsahin@yeditepe.edu.tr [Department of Genetics and Bioengineering, Faculty of Engineering and Architecture, Yeditepe University 34755 Istanbul (Turkey)

    2014-11-01

    Scaffold-based bone defect reconstructions still face many challenges due to their inadequate osteoinductive and osteoconductive properties. Various biocompatible and biodegradable scaffolds, combined with proper cell type and biochemical signal molecules, have attracted significant interest in hard tissue engineering approaches. In the present study, we have evaluated the effects of boron incorporation into poly-(lactide-co-glycolide-acid) (PLGA) scaffolds, with or without rat adipose-derived stem cells (rADSCs), on bone healing in vitro and in vivo. The results revealed that boron containing scaffolds increased in vitro proliferation, attachment and calcium mineralization of rADSCs. In addition, boron containing scaffold application resulted in increased bone regeneration by enhancing osteocalcin, VEGF and collagen type I protein levels in a femur defect model. Bone mineralization density (BMD) and computed tomography (CT) analysis proved that boron incorporated scaffold administration increased the healing rate of bone defects. Transplanting stem cells into boron containing scaffolds was found to further improve bone-related outcomes compared to control groups. Additional studies are highly warranted for the investigation of the mechanical properties of these scaffolds in order to address their potential use in clinics. The study proposes that boron serves as a promising innovative approach in manufacturing scaffold systems for functional bone tissue engineering. - Highlights: • Boron containing PLGA scaffolds were developed for bone tissue engineering. • Boron incorporation increased cell viability and mineralization of stem cells. • Boron containing scaffolds increased bone-related protein expression in vivo. • Implantation of stem cells on boron containing scaffolds improved bone healing.

  10. Boron containing poly-(lactide-co-glycolide) (PLGA) scaffolds for bone tissue engineering

    International Nuclear Information System (INIS)

    Doğan, Ayşegül; Demirci, Selami; Bayir, Yasin; Halici, Zekai; Karakus, Emre; Aydin, Ali; Cadirci, Elif; Albayrak, Abdulmecit; Demirci, Elif; Karaman, Adem; Ayan, Arif Kursat; Gundogdu, Cemal; Şahin, Fikrettin

    2014-01-01

    Scaffold-based bone defect reconstructions still face many challenges due to their inadequate osteoinductive and osteoconductive properties. Various biocompatible and biodegradable scaffolds, combined with proper cell type and biochemical signal molecules, have attracted significant interest in hard tissue engineering approaches. In the present study, we have evaluated the effects of boron incorporation into poly-(lactide-co-glycolide-acid) (PLGA) scaffolds, with or without rat adipose-derived stem cells (rADSCs), on bone healing in vitro and in vivo. The results revealed that boron containing scaffolds increased in vitro proliferation, attachment and calcium mineralization of rADSCs. In addition, boron containing scaffold application resulted in increased bone regeneration by enhancing osteocalcin, VEGF and collagen type I protein levels in a femur defect model. Bone mineralization density (BMD) and computed tomography (CT) analysis proved that boron incorporated scaffold administration increased the healing rate of bone defects. Transplanting stem cells into boron containing scaffolds was found to further improve bone-related outcomes compared to control groups. Additional studies are highly warranted for the investigation of the mechanical properties of these scaffolds in order to address their potential use in clinics. The study proposes that boron serves as a promising innovative approach in manufacturing scaffold systems for functional bone tissue engineering. - Highlights: • Boron containing PLGA scaffolds were developed for bone tissue engineering. • Boron incorporation increased cell viability and mineralization of stem cells. • Boron containing scaffolds increased bone-related protein expression in vivo. • Implantation of stem cells on boron containing scaffolds improved bone healing

  11. Surface modification of PET film by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Sakudo, N.; Mizutani, D.; Ohmura, Y.; Endo, H.; Yoneda, R.; Ikenaga, N.; Takikawa, H.

    2003-01-01

    It has been reported that thin diamond like carbon (DLC) coating is very Amsterdam, Theenhancing the barrier characteristics of polyethylene terephthalate (PET) against CO 2 and O 2 gases. However, coating technique has a problem of DLC-deposit peeling. In this research, we develop a new technique to change the PET surface into DLC by ion implantation instead of coating the surface with the DLC deposit. The surface of PET film is modified by plasma-based ion implantation using pulse voltages of 10 kV in height and 5 μs in width. Attenuated total reflection FT-IR spectroscopy shows that the specific absorption peaks for PET decrease with dose, that is, the molecules of ethylene terephthalate are destroyed by ion bombardment. Then, laser Raman spectroscopy shows that thin DLC layer is formed in the PET surface area

  12. Titanium and aluminium ions implanted by plasma on polyethylene

    International Nuclear Information System (INIS)

    Cruz, G.J.; Olayo, M.G.; Lopez, R.; Granda, E.; Munoz, A.; Valencia, R.; Morales, J.

    2007-01-01

    The ion implantation by plasma of titanium and aluminum on polyethylene thin films (PE) is presented. The results indicate that the polymers reacted firstly with the oxygen and/or nitrogen carrying gases, and later its received the metallic particles that formed thin films. The stainless steel and the titanium formed a single phase. The metallic layers grew in the interval of 1 to 2 nm/min, its are thin, but enough to change the hardness of the polymer that it is increased in more of 20 times. (Author)

  13. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  14. Plasma-based ion implantation: a valuable technology for the elaboration of innovative materials and nanostructured thin films

    International Nuclear Information System (INIS)

    Vempaire, D; Pelletier, J; Lacoste, A; Bechu, S; Sirou, J; Miraglia, S; Fruchart, D

    2005-01-01

    Plasma-based ion implantation (PBII), invented in 1987, can now be considered as a mature technology for thin film modification. After a brief recapitulation of the principle and physics of PBII, its advantages and disadvantages, as compared to conventional ion beam implantation, are listed and discussed. The elaboration of thin films and the modification of their functional properties by PBII have already been achieved in many fields, such as microelectronics (plasma doping/PLAD), biomaterials (surgical implants, bio- and blood-compatible materials), plastics (grafting, surface adhesion) and metallurgy (hard coatings, tribology), to name a few. The major advantages of PBII processing lie, on the one hand, in its flexibility in terms of ion implantation energy (from 0 to 100 keV) and operating conditions (plasma density, collisional or non-collisional ion sheath), and, on the other hand, in the easy transferrability of processes from the laboratory to industry. The possibility of modifying the composition and physical nature of the films, or of drastically changing their physical properties over several orders of magnitude makes this technology very attractive for the elaboration of innovative materials, including metastable materials, and the realization of micro- or nanostructures. A review of the state of the art in these domains is presented and illustrated through a few selected examples. The perspectives opened up by PBII processing, as well as its limitations, are discussed

  15. Stopping characteristics of boron and indium ions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Veselov, D. S., E-mail: DSVeselov@mephi.ru; Voronov, Yu. A. [National Research Nuclear University MEPhI (Russian Federation)

    2016-12-15

    The mean range and its standard deviation are calculated for boron ions implanted into silicon with energies below 10 keV. Similar characteristics are calculated for indium ions with energies below 200 keV. The obtained results are presented in tabular and graphical forms. These results may help in the assessment of conditions of production of integrated circuits with nanometer-sized elements.

  16. The optical properties of boron carbide near boron K-edge inside periodical multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Ksenzov, Dmitriy; Schlemper, Christoph; Pietsch, Ullrich [University of Siegen (Germany)

    2010-07-01

    Multilayer mirrors made for the use in the wavelength range near K-edge of boron (188 eV) are of great interest for X-ray fluorescence analysis of boron content in doped semiconductors, plasma diagnostics, astronomy and lithography. Moreover, multilayer mirrors composed by a metal and a low Z element like boron are used as optical elements in both the soft x-ray spectral range as well as at higher photon energies on 3rd generation synchrotron beamlines. Using an energy-resolved photon-in-photon-out method we reconstructed the optical data from energy dependence of both integrated peak intensity and FWHM of the 1st order ML Bragg peak measured at the UHV triple axis soft-x-ray reflectometer at BESSY II. The experiments clearly demonstrate that the peak shape of the ML Bragg peak is most sensitive to any kind of electronic excitation and recombination in solid. The soft-ray reflectivity can give detailed information for MLs with thickness up to several tens of nanometers. In addition, measurements close to a resonance edge probe the chemical state of the respective constituent accompanied with a high sensitivity of changes close to the sample surface.

  17. Synthesis of borides in molybdenum implanted by B+ ions under thermal and electron annealing

    International Nuclear Information System (INIS)

    Kazdaev, Kh.R.; Akchulakov, M.T.; Bayadilov, E.M.; Ehngel'ko, V.I.; Lazarenko, A.V.; Chebukov, E.S.

    1989-01-01

    The possibility of formation of borides in the near surface layers of monocrystalline molybdenum implanted by boron ions at 35 keV energy under thermal and pulsed electron annealing by an electon beam at 140 keV energy is investigated. It is found that implantation of boron ions into molybdenum with subsequent thermal annealing permits to produce both molybdenum monoboride (α-MoB) and boride (γ-Mo 2 B) with rather different formation mechanisms. Formation of the α-MoB phase occurs with the temperature elevation from the centers appeared during implantation, while the γ-Mo 2 B phase appears only on heating the implanted layers up to definite temperature as a result of the phase transformation of the solid solution into a chemical compound. Pulsed electron annealing instead of thermal annealing results mainly in formation of molybdenum boride (γ-Mo 2 B), the state of structure is determined by the degree of heating of implanted layers and their durable stay at temperatures exceeding the threshold values

  18. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  19. Chemical stability and osteogenic activity of plasma-sprayed boron-modified calcium silicate-based coatings.

    Science.gov (United States)

    Lu, Xiang; Li, Kai; Xie, Youtao; Huang, Liping; Zheng, Xuebin

    2016-11-01

    In recent years, CaSiO 3 bio-ceramic coatings have attracted great attention because of their good bioactivity. However, their high degradation rates in physiological environment restrict their practical applications. In this work, boron-modified CaSiO 3 ceramic (Ca 11 Si 4 B 2 O 22 , B-CS) coating was developed on Ti substrates by plasma-spraying technique attempting to obtain enhanced chemical stability and osteogenic activity. The B-CS coating possessed significantly increased chemical stability due to the introduction of boron and consequently the modified crystal structure, while maintaining good bioactivity. Scanning electron microscope and immunofluorescence studies showed that better cellular adhesion and extinctive filopodia-like processes were observed on the B-CS coating. Compared with the pure CaSiO 3 (CS) coating, the B-CS coating promoted MC3T3-E1 cells attachment and proliferation. In addition, enhanced collagen I (COL-I) secretion, alkaline phosphatase activity, and extracellular matrix mineralization levels were detected from the B-CS coating. According to RT-PCR results, notable up-regulation expressions of mineralized tissue-related genes, such as runt-related transcription factor 2 (Runx2), bone sialoprotein and osteocalcin, and bone morphogenetic protein 7 (BMP-7) were observed on the B-CS coating compared with the CS coating. The above results suggested that Ca 11 Si 4 B 2 O 22 coatings possess excellent osteogenic activity and might be a promising candidate for orthopedic applications.

  20. Boron exposure through drinking water during pregnancy and birth size.

    Science.gov (United States)

    Igra, Annachiara Malin; Harari, Florencia; Lu, Ying; Casimiro, Esperanza; Vahter, Marie

    2016-10-01

    Boron is a metalloid found at highly varying concentrations in soil and water. Experimental data indicate that boron is a developmental toxicant, but the few human toxicity data available concern mostly male reproduction. To evaluate potential effects of boron exposure through drinking water on pregnancy outcomes. In a mother-child cohort in northern Argentina (n=194), 1-3 samples of serum, whole blood and urine were collected per woman during pregnancy and analyzed for boron and other elements to which exposure occurred, using inductively coupled plasma mass spectrometry. Infant weight, length and head circumference were measured at birth. Drinking water boron ranged 377-10,929μg/L. The serum boron concentrations during pregnancy ranged 0.73-605μg/L (median 133μg/L) and correlated strongly with whole-blood and urinary boron, and, to a lesser extent, with water boron. In multivariable-adjusted linear spline regression analysis (non-linear association), we found that serum boron concentrations above 80μg/L were inversely associated with birth length (B-0.69cm, 95% CI -1.4; -0.024, p=0.043, per 100μg/L increase in serum boron). The impact of boron appeared stronger when we restricted the exposure to the third trimester, when the serum boron concentrations were the highest (0.73-447μg/L). An increase in serum boron of 100μg/L in the third trimester corresponded to 0.9cm shorter and 120g lighter newborns (p=0.001 and 0.021, respectively). Considering that elevated boron concentrations in drinking water are common in many areas of the world, although more screening is warranted, our novel findings warrant additional research on early-life exposure in other populations. Copyright © 2016 The Authors. Published by Elsevier Ltd.. All rights reserved.

  1. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  2. Low dose monitoring by double implant technique in IC fabrication

    International Nuclear Information System (INIS)

    Ahmad, I.B.; Weidemann, J.

    1995-01-01

    The utilisation of low dose implant monitoring (using Boron) in a manufacturing line has been discussed. The utilisation of phosphorus ions as the second implant dose were also studied as comparison. The technique relies on the fact that the sheet resistant of doped layer will increase significantly when damaged by relatively low implant dose. The technique is very sensitive and applicable for adjusting the channel dose so that an accurate threshold voltage in MOS device could be achieved

  3. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  4. Physico-chemical and mechanical modifications of polyethylene and polypropylene by ion implantation, micro-wave plasma, electron beam radiation and gamma ray irradiation; Modifications physico-chimiques et mecaniques du polyethylene et du polypropylene par implantation ionique, plasma micro-ondes, bombardement d`electrons et irradiation gamma

    Energy Technology Data Exchange (ETDEWEB)

    Liao, J D

    1995-03-29

    A polyolefin surface becomes wettable when treated by micro-wave plasma or low-dose nitrogen ion implantation. A short time argon plasma treatment is sufficient to obtain polarizable peroxides on a polyolefin. X-ray photoelectron spectroscopy analyses, paramagnetic electronic resonance analyses, peroxides decomposition, wettability measurements and infrared active spectra analyses have shown that oxidized structures obtained from different treatment techniques play an important role in the interpretation of surface chemical properties of the polymer. Micro-wave plasma treatment, and in particular argon plasma treatment, yields more polarizable groups than ion implantation and is interesting for grafting. Hardness and elasticity modulus, measured by nano-indentation on a polyolefin, increase with an appropriate ion implantation dose. A 1.4 x 10{sup 17} ions.cm{sup -2} dose can multiply by 15 the hardness of high molecular weight polyethylene, and by 7 the elasticity modulus for a 30 nm depth. The viscous-plastic to quasi-elastic transition is shown. The thickness of the modified layer is over 300 nm. The study of friction between a metal sphere and a polyethylene cupula shows that ion implantation in the polymer creates a reticulated hard and elastic layer which improves its mechanical properties and reduces the erosion rate. Surface treatments on polymers used as biomaterials allow to adapt the surface properties to specific applications. 107 refs., 66 figs., 19 tabs., 4 annexes.

  5. Effect of High-Temperature Annealing on Ion-Implanted Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Hyunpil Boo

    2012-01-01

    Full Text Available P-type and n-type wafers were implanted with phosphorus and boron, respectively, for emitter formation and were annealed subsequently at 950∼1050∘C for 30∼90 min for activation. Boron emitters were activated at 1000∘C or higher, while phosphorus emitters were activated at 950∘C. QSSPC measurements show that the implied Voc of boron emitters increases about 15 mV and the J01 decreases by deep junction annealing even after the activation due to the reduced recombination in the emitter. However, for phosphorus emitters the implied Voc decreases from 622 mV to 560 mV and the J01 increases with deep junction annealing. This is due to the abrupt decrease in the bulk lifetime of the p-type wafer itself from 178 μs to 14 μs. PC1D simulation based on these results shows that, for p-type implanted solar cells, increasing the annealing temperature and time abruptly decreases the efficiency (Δηabs=−1.3%, while, for n-type implanted solar cells, deep junction annealing increases the efficiency and Voc, especially (Δηabs=+0.4% for backside emitter solar cells.

  6. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  7. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    Science.gov (United States)

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  8. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Alexey Kondyurin

    2018-04-01

    Full Text Available Ethylene-propylene diene monomer rubber (EPDM was treated by plasma immersion ion implantation (PIII with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  9. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  10. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  11. Boron

    Science.gov (United States)

    Boron is an essential micronutrient element required for plant growth. Boron deficiency is wide-spread in crop plants throughout the world especially in coarse-textured soils in humid areas. Boron toxicity can also occur, especially in arid regions under irrigation. Plants respond directly to the...

  12. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  13. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  14. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    Science.gov (United States)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  15. Comparison of two analytical methods for the local quantitative determination of lithium and boron contents in cladding materials

    International Nuclear Information System (INIS)

    Gavillet, D.; Guenther-Leopold, I.; Martin, M.; Guillong, M.; Hellwig, Ch.; Sell, H.J.

    2008-01-01

    Pressurized water reactors contain boric acid for reactivity control. As the acidic coolant conditions result in an increased attack of the circuit materials, LiOH is added to render the coolant slightly alkaline. However, LiOH can affect corrosion of the Zr alloy cladding. Thus the Li content in the oxide layers of irradiated fuel rods is of high interest, especially for new alloys (pathfinder rods). At the 'Paul Scherrer Institut' the lithium as well as the boron content in the oxide layers of claddings are determined by Secondary Ion Mass Spectrometry (SIMS). Quantification is performed by direct comparison with a Zircaloy-oxide layer implanted with B and Li. A new and independent method using Laser Ablation Inductively Coupled Plasma Mass Spectrometry was applied to cross-check the SIMS data. (authors)

  16. Boron determination in U3O8

    International Nuclear Information System (INIS)

    Ogura, Nadia S.; Sarkis, Jorge E.S.; Rosa, Daniele S.; Ulrich, Joao C.

    2009-01-01

    There exist specifications of the concentration as far the limit of impurities in the used uranium compounds is concerned. Among those impurities the boron element is detached. that in the uranium compounds acts as neutron absorber in nuclear reactions. Therefore, the determination of this element in uranium compounds, it is fundamental for the quality and performance of the nuclear fuels. However, the determination of this element is many times prejudiced by the presence of the uranium. For solving this problem, it is performed a chemical separation of the uranium (matrix) out of the interest. The most used methods to accomplish that separation are the solvent extraction and the ion exchange. In this work, the boron concentration will be done through the ion exchange technique, using polypropylene columns and Dowex AG 50W - X8 100-200 mesh cation resin in chloricide medium 0.25 M. The boron concentration will be determined through high resolution inductive coupling plasma mass spectrometry (HRICP-MS)

  17. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  18. Conversion electron Moessbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    International Nuclear Information System (INIS)

    Terwagne, G.; Hutchings, R.

    1994-01-01

    Conversion electron Moessbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI 3 ) at 350 C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ε-Fe 2 N through ε-Fe 3 N to γ'-Fe 4 N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone. (orig.)

  19. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  20. The structural and electronic properties of amine-functionalized boron nitride nanotubes via ammonia plasmas: a density functional theory study

    International Nuclear Information System (INIS)

    Cao Fenglei; Ji Yuemeng; Zhao Cunyuan; Ren Wei

    2009-01-01

    The reaction behavior of the chemical modification of boron nitride nanotubes (BNNTs) with ammonia plasmas has been investigated by density functional theory (DFT) calculations. Unlike previously studied functionalization with NH 3 and amino functional groups, we found that NH 2 * radicals involved in the ammonia plasmas can be covalently incorporated to BNNTs through a strong single B-N bond. Subsequently, the H * radicals also involved in the ammonia plasmas would prefer to combine with the N atoms neighboring the NH 2 -functionalized B atoms. Our study revealed that this reaction behavior can be elucidated using the frontier orbital theory. The calculated band structures and density of states (DOS) indicate that this modification is an effective method to modulate the electronic properties of BNNTs. We have discussed various defects on the surface of BNNTs generated by collisions of N 2 + ions. For most defects considered, the reactivity of the functionalization of BNNTs with NH 2 * are enhanced. Our conclusions are independent of the chirality, and the diameter dependence of the reaction energies is presented.

  1. Comparison of digestion procedures used for the determination of boron in biological tissues by ICP-AES [inductively-coupled, plasma-atomic emission spectroscopy

    International Nuclear Information System (INIS)

    Bauer, W.F.; Miller, D.L.; Steele, S.M.

    1988-01-01

    A study was designed to identify the most accurate and reliable procedures for the digestion of biological tissues prior to the determination of boron by inductively-coupled, plasma-atomic emission spectroscopy (ICP-AES). The four procedures used in this study were an acid bomb digestion and digestions performed in test tubes using perchloric acid and hydrogen peroxide, nitric acid and hydrogen peroxide, and nitric acid alone. Digestions using nitric acid and hydrogen peroxide and nitric acid alone were performed in a manner analogous to the perchloric acid/hydrogen peroxide procedure. The tissues used in the study were from dogs that had been administered a boron compound (Na 2 B 12 H 11 SH) and included two brain tissues, a liver and a tongue. These tissues were selected in order to eliminate results that may be due to surface spiking only. None of the test tube procedures were successful in completely dissolving the samples, as was evidenced by residual color and a coagulated precipitate. The amount of precipitate was much larger for the brain tissues in all cases. The acid bomb digestion and the perchloric acid/hydrogen peroxide procedures gave comparable boron concentrations for all of the tissues in this study. 2 refs., 1 tab

  2. Data on the surface morphology of additively manufactured Ti-6Al-4V implants during processing by plasma electrolytic oxidation

    Directory of Open Access Journals (Sweden)

    Ingmar A.J. van Hengel

    2017-08-01

    Full Text Available Additively manufactured Ti-6Al-4V implants were biofunctionalized using plasma electrolytic oxidation. At various time points during this process scanning electron microscopy imaging was performed to analyze the surface morphology (van Hengel et al., 2017 [1]. This data shows the changes in surface morphology during plasma electrolytic oxidation. Data presented in this article are related to the research article “Selective laser melting porous metallic implants with immobilized silver nanoparticles kill and prevent biofilm formation by methicillin-resistant Staphylococcus aureus” (van Hengel et al., 2017 [1].

  3. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  4. Modelling of capillary Z-pinch recombination pumping of boron extreme ultraviolet laser

    Czech Academy of Sciences Publication Activity Database

    Vrba, Pavel; Bobrova, N. A.; Sasorov, P. V.; Vrbová, M.; Hübner, Jakub

    2009-01-01

    Roč. 16, č. 7 (2009), 073105 1-073105 11 ISSN 1070-664X R&D Projects: GA ČR GA102/07/0275 Institutional research plan: CEZ:AV0Z20430508 Keywords : Boron * capillary * discharges (electric * laser ablation * optical pumping * plasma heating by laser * plasma kinetic theory * plasma magnetohydrodynamics * Z pinch Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.475, year: 2009 http://link.aip.org/link/? PHP /16/073105

  5. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication

    International Nuclear Information System (INIS)

    Wang Langping; Huang Lei; Xie Zhiwen; Wang Xiaofeng; Tang Baoyin

    2008-01-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder

  6. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    Science.gov (United States)

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  7. Thermoelectric properties of boron and boron phosphide CVD wafers

    Energy Technology Data Exchange (ETDEWEB)

    Kumashiro, Y.; Yokoyama, T.; Sato, A.; Ando, Y. [Yokohama National Univ. (Japan)

    1997-10-01

    Electrical and thermal conductivities and thermoelectric power of p-type boron and n-type boron phosphide wafers with amorphous and polycrystalline structures were measured up to high temperatures. The electrical conductivity of amorphous boron wafers is compatible to that of polycrystals at high temperatures and obeys Mott`s T{sup -{1/4}} rule. The thermoelectric power of polycrystalline boron decreases with increasing temperature, while that of amorphous boron is almost constant in a wide temperature range. The weak temperature dependence of the thermal conductivity of BP polycrystalline wafers reflects phonon scattering by grain boundaries. Thermal conductivity of an amorphous boron wafer is almost constant in a wide temperature range, showing a characteristic of a glass. The figure of merit of polycrystalline BP wafers is 10{sup -7}/K at high temperatures while that of amorphous boron is 10{sup -5}/K.

  8. Production-Worthy USJ Formation by Self-Regulatory Plasma Doping Method

    International Nuclear Information System (INIS)

    Sasaki, Y.; Ito, H.; Okashita, K.; Tamura, H.; Jin, C. G.; Mizuno, B.; Okumura, T.; Aiba, I.; Sauddin, H.; Iwai, H.; Fukagawa, Y.; Tsutsui, K.

    2006-01-01

    A new method of plasma doping that achieves tight control on dosimetry and uniformity has been developed. It uses a self-regulatory behavior of plasma processes that brings high accuracy on dose control and uniformity within 1.5%. The largest advantage of this self-regulatory plasma doping (SRPD) is that the accuracy of the process control is much less dependent on the uniformity of the plasma, which makes a revolutionary difference to the plasma process as it becomes free from the primary hardware constraint. A typical doping of boron using B2H6/He gas mixture at dose of 1x1015 ions/cm2 can achieve a uniformity of less than 1.5% across a 300mm silicon wafer when the plasma uniformity above the wafer plane is as poor as 10%. The SRPD process also forms very abrupt junctions such as less than 2nm/decade at the junction depth of 10nm due to an instantaneous amorphization of the wafer surface within the first 5 seconds of the process duration. Combined with the throughput advantage at low energy against the conventional ion implantation, the SRPD offers an ideal performance for USJ formation for 45nm technology node and beyond

  9. A study of the boron profiles caused by BF2 implantation in crystalline silicon

    International Nuclear Information System (INIS)

    Jung, Won-Chae

    2005-01-01

    For integrated CMOS circuits, the one-, two-, and three-dimensional impurity distributions are very important for analyzing the devices. The one-dimensional boron profiles were measured by using secondary ion mass spectroscopy (SIMS), and simulation data were obtained by using the TSUPREM4 and the UT-Marlowe programs. The simulated data of UT-Marlowe in 1D agreed very well with the SIMS data. From the SIMS and the simulated 1D data, the four moments were calculated, these 1D data were used in the TSUPREM4 simulator to calculate of 2D profiles. For the TSUPREM4 simulations, several different models were used for the characterization of the 1D and the 2D boron profiles. A Taurus simulation tool was used to obtain the 3D boron profiles for the case of arbitrary tilting and rotation. The measured two-dimensional cross-sectional transmission electron microscope (XTEM) data obtained by using the chemical etching method matched very well with the results of the Gauss model. The vertical depths from the Gauss model and from the XTEM data were 125.8 nm and 125 nm, respectively. The channel lengths from the Gauss model and from the XTEM data were 205 nm and 233 nm, respectively. From the XTEM data and the Gauss model, the deviations of the vertical and the lateral doping distributions were 0.6 % and 12 %, respectively. The detection limit of boron measured by using the chemical-etching method was shown to be a concentration of about 1.0 X 10 16 cm -3 for a PMOS device.

  10. Argon ion implantation inducing modifications in the properties of benzene plasma polymers

    International Nuclear Information System (INIS)

    Rangel, E.C.; Cruz, N.C.; Santos, D.C.R.; Algatti, M.A.; Mota, R.P.; Honda, R.Y.; Silva, P.A.F.; Costa, M.S.; Tabacniks, M.H.

    2002-01-01

    Benzene plasma polymer films were bombarded with Ar ions by plasma immersion ion implantation. The treatments were performed using argon pressure of 3 Pa and 70 W of applied power. The substrate holder was polarized with high voltage negative pulses (25 kV, 3 Hz). Exposure time to the immersion plasma, t, was varied from 0 to 9000 s. Optical gap and chemical composition of the samples were determined by ultraviolet-visible and Rutherford backscattering spectroscopies, respectively. Film wettability was investigated by the contact angle between a water drop and the film surface. Nanoindentation technique was employed in the hardness measurements. It was observed growth in carbon and oxygen concentrations while there was decrease in the concentration of H atoms with increasing t. Furthermore, film hardness and wettability increased and the optical gap decreased with t. Interpretation of these results is proposed in terms of the chain crosslinking and unsaturation

  11. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  12. Boronization in TEXTOR

    International Nuclear Information System (INIS)

    Winter, J.; Esser, H.G.; Koenen, L.; Reimer, H.; Seggern, J. v.; Schlueter, J.; Waelbroeck, F.; Wienhold, P.; Veprek, S.

    1989-01-01

    The liner and limiters of TEXTOR have been coated in situ with a boron containing carbon film using a RG discharge in a throughflow of 0.8 He + 0.1 B 2 H 6 + 0.1 CH 4 . The average film thickness was 30-50 nm, the ratio of boron and carbon in the layer was about 1:1 according to Auger Electron Spectroscopy. Subsequent tokamak discharges are characterized by a small fraction of radiated power ( eff lower than 1.2 are derived from conductivity measurements. The most prominent change in the impurity concentration compared to good conditions in a carbonized surrounding is measured for oxygen. The value OVI/anti n e of the OVI intensity normalized to the averaged plasma density anti n e decreases by more than a factor of four. The decrease in the oxygen content manifests itself also as a reduction of the CO and CO 2 partial pressures measured during and after the discharge with a sniffer probe. The carbon levels are reduced by a factor of about two as measured by the normalized intensity CII/anti n e of the CII line and via the ratio of the C fluxes and deuterium fluxed measured at the limiter (CI/D α ). The wall shows a pronounced sorption of hydrogen from the plasma, easing the density control and the establishment of low recycling conditions. The beneficial conditions did not show a significant deterioration during more than 200 discharges, including numerous shots at ICRH power levels >2 MW. (orig.)

  13. Ag-plasma modification enhances bone apposition around titanium dental implants: an animal study in Labrador dogs

    Directory of Open Access Journals (Sweden)

    Qiao SC

    2015-01-01

    Full Text Available Shichong Qiao,1,* Huiliang Cao,2,* Xu Zhao,1,* Hueiwen Lo,1 Longfei Zhuang,1 Yingxin Gu,1 Junyu Shi,1 Xuanyong Liu,2 Hongchang Lai1 1Department of Oral and Maxillofacial Implantology, Shanghai Key Laboratory of Stomatology, Shanghai Ninth People’s Hospital, School of Medicine, Shanghai Jiao Tong University, 2State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, People’s Republic of China *These authors contributed equally to this work Abstract: Dental implants with proper antibacterial ability as well as ideal osseointegration are being actively pursued. The antimicrobial ability of titanium implants can be significantly enhanced via modification with silver nanoparticles (Ag NPs. However, the high mobility of Ag NPs results in their potential cytotoxicity. The silver plasma immersion ion-implantation (Ag-PIII technique may remedy the defect. Accordingly, Ag-PIII technique was employed in this study in an attempt to reduce the mobility of Ag NPs and enhance osseointegration of sandblasted and acid-etched (SLA dental implants. Briefly, 48 dental implants, divided equally into one control and three test groups (further treated by Ag-PIII technique with three different implantation parameters, were inserted in the mandibles of six Labrador dogs. Scanning electron microscopy, X-ray photoelectron spectroscopy, and inductively coupled plasma optical emission spectrometry were used to investigate the surface topography, chemical states, and silver release of SLA- and Ag-PIII-treated titanium dental implants. The implant stability quotient examination, Microcomputed tomography evaluation, histological observations, and histomorphometric analysis were performed to assess the osseointegration effect in vivo. The results demonstrated that normal soft tissue healing around dental implants was observed in all the groups, whereas the implant stability

  14. Raman spectra of hot-pressed boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-01-01

    Full Text Available on in- situ/online measurements (such as GIXRD, Raman Spectroscopy, FIB- Electron Microscopy) during (i) ion implantation, (ii) PLD growth of nanoparticles SW/MW-CNTs, oxide semiconductor multi-layer, metal/Si and metal/metal systems. Moreover, He...], aluminium magnesium boride ? AlMgB14 [8], and the newly synthesized boron subnitride ? B13N2 [9, 10]. With hardness values reported between 24 GPa and 45 GPa [7, 11, 12], B6O is sometimes considered to be the third hardest material only after diamond...

  15. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  16. An accurate mobility model for the I-V characteristics of n-channel enhancement-mode MOSFETs with single-channel boron implantation

    International Nuclear Information System (INIS)

    Chingyuan Wu; Yeongwen Daih

    1985-01-01

    In this paper an analytical mobility model is developed for the I-V characteristics of n-channel enhancement-mode MOSFETs, in which the effects of the two-dimensional electric fields in the surface inversion channel and the parasitic resistances due to contact and interconnection are included. Most importantly, the developed mobility model easily takes the device structure and process into consideration. In order to demonstrate the capabilities of the developed model, the structure- and process-oriented parameters in the present mobility model are calculated explicitly for an n-channel enhancement-mode MOSFET with single-channel boron implantation. Moreover, n-channel MOSFETs with different channel lengths fabricated in a production line by using a set of test keys have been characterized and the measured mobilities have been compared to the model. Excellent agreement has been obtained for all ranges of the fabricated channel lengths, which strongly support the accuracy of the model. (author)

  17. Inflammatory markers in dependence on the plasma concentration of 37 fatty acids after the coronary stent implantation.

    Science.gov (United States)

    Handl, Jiří; Meloun, Milan; Mužáková, Vladimíra

    2018-02-05

    Using the regression model building the relationships between the concentration of 37 fatty acids of blood plasma phospholipids of 41 patients with coronary artery disease after coronary stent implantation, the inflammatory response and oxidative stress markers were estimated. The dynamics of the inflammatory response and the oxidative stress was indicated by measuring plasma concentrations of highly sensitive C-reactive protein, interleukin-6, serum amyloid A and malondialdehyde before, 24h after stent implantation. The multiple linear regression analysis was preceded by an exploratory data analysis, principal component analysis, factor analysis and cluster analysis, which proved a hidden internal relation of 37 fatty acids. The concentration of cerotic acid (C26:0) has been positively associated with an increase of malondialdehyde concentration after stent implantation, while the concentrations of tetracosatetraenoic (C24:4 N6) and nonadecanoic (C19:0) acids were associated with decrease of lipoperoxidation. The increase of interleukin-6 during the 24h after implantation was associated with higher levels of pentadecanoic acid (C15:0) and lower levels of α-linolenic acid (C18:3 N3). Regression models found several significant fatty acids at which the strength of the parameter β for each fatty acid on selected markers of C-reactive protein, malondialdehyde, interleukin-6 and serum amyloid A was estimated. Parameter β testifies to the power of the positive or negative relationship of the fatty acid concentration on the concentration of selected markers. The influencing effect of the cerotic acid (C26:0) concentration in plasma phospholipids exhibiting parameter β=140.4 is, for example, 3.5 times higher than this effect of n-3 tetracosapentaenoic acid (C24:5 N3) with β=40.0. Composition of fatty acids in plasma phospholipids shows spectrum of fatty acids available for intercellular communication in systemic inflammatory response of organism and should affect

  18. Development of plasma facing components for fusion experimental reactors

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M.; Fujiya, Y.; Inoue, M.; Morimoto, M. [Mitsubishi Heavy Industries, Ltd., Yokohama (Japan)

    1995-12-31

    The divertor structure and fabrication process have been investigated, including the structures of the divertor elements and support, fundamental brazing techniques, brazing of large divertor tiles and fabrication method of large divertor modules. Using direct brazing, a partial divertor module with large CFC tiles was fabricated and tested. It was shown that the model had sufficient structural integrity against thermal shocks of {approximately}17MW/m{sup 2} {times} 4 sec for up to 1,600 times. A fabrication technique for large and complex-shaped divertor module has been developed and successfully applied to a 1m-long linear and 0.8m-long curved divertor modules. In addition, preliminary investigation of direct brazing of beryllium to the copper substrate has been conducted. It was found that the bending strength of the bonded materials was around 40 MPa. Furthermore, boron coating on the CFC and Mo has been examined. Using the boron ion implantation technique, boron ions were implanted to the CFC and Mo plates prior to the boron atoms deposition. The samples fabricated with this method were found to have a sufficient thermal shock resistance.

  19. Evolution of surfaces properties for 100Cr6 steel by implantation and ionic mixing; Evolution des proprietes de surface de l`acier 100Cr6 par implantation et melange ioniques

    Energy Technology Data Exchange (ETDEWEB)

    Faussemagne, A

    1996-07-09

    Physico-chemical characterizations performed on samples of 100Cr6 steel implanted both with boron and nitrogen revealed the formation of boron nitride along with the following new phases: Fe{sub 1-x}(B, N), Fe{sub 2-x}(B, N) and Fe{sub 3-x}(B, N). A thorough analysis of boron NITRIDE (5BN) indicates that a low ion current density (3 {mu}A.cm{sup -2}) in the case of the boron plus nitrogen sequence favours the formation of sp{sup 2} bonds (hexagonal-BN) while a higher ion current density (6{mu}A.cm{sup -2}) promotes sp{sup 3} bonds (cubic-BN) in the opposite sequence. Tribological tests carried out on these samples revealed that nitrogen and boron implantations do not lead to any significant improvement of friction and wear at variance with the results obtained by others authors. However, on a set samples accidentally contaminated with carbon during implantation, we noticed a considerable improvement of these tribological parameters. As this pollution is commonly encountered in surface treatment by ion beams, one can invoke this phenomenon to explain the origin of the discrepancy reported by the literature. Extensive investigations allowed us to conclude that surface carbon, whatever its origin (contamination, ion implantation or ion beam mixed coating), provided that its amount is sufficiently high ({>=}2 x 10{sup 16} C.cm{sup -2}), decreases the coefficient of friction by a factor 5 and reduces drastically ({approx} 100) the wear. A careful examination of the whole results led us to propose a theoretical model, based on the role of the asperities of the two bodies in contact, to explain the evolution of the coefficient of friction and wear with the amount of surface carbon. This analysis revealed that in order to improve friction and wear of 100Cr6 steel, one needs to coat this material with a well adherent carbon layer having a thickness higher than the asperity heights of the two bodies in contact. Finally, this study allowed us to develop a simple lower

  20. Boron deprivation alters rat behaviour and brain mineral composition differently when fish oil instead of safflower oil is the diet fat source.

    Science.gov (United States)

    Nielsen, Forrest H; Penland, James G

    2006-01-01

    To determine whether boron deprivation affects rat behaviour and whether behavioural responses to boron deprivation are modified by differing amounts of dietary long-chain omega-3 fatty acids. Female rats were fed diets containing 0.1 mg (9 micromol)/kg boron in a factorial arrangement with dietary variables of supplemental boron at 0 and 3mg (278 micromol)/kg and fat sources of 75 g/kg safflower oil or 65 g/kg fish (menhaden) oil plus 10 g/kg linoleic acid. After 6 weeks, six females per treatment were bred. Dams and pups continued on their respective diets through gestation, lactation and after weaning. Between ages 6 and 20 weeks, behavioural tests were performed on 13-15 male offspring from three dams in each dietary treatment. The rats were euthanized at age 21 weeks for the collection of tissues and blood. At ages 6 and 19 weeks, auditory startle was evaluated with an acoustic startle system and avoidance behaviour was evaluated by using an elevated plus maze. At ages 7 and 20 weeks, spontaneous behaviour activity was evaluated with a photobeam activity system. A brightness discrimination test was performed on the rats between age 15 and 16 weeks. Brain mineral composition was determined by coupled argon plasma atomic emission spectroscopy. Plasma total glutathione was determined by HPLC and total cholesterol and 8-iso-prostaglandin F2alpha (8-iso-PGF2alpha) were determined by using commercially available kits. Boron-deficient rats were less active than boron-adequate rats when fed safflower oil based on reduced number, distance and time of horizontal movements, front entries, margin distance and vertical breaks and jumps in the spontaneous activity evaluation. Feeding fish oil instead of safflower oil attenuated the activity response to boron deprivation. In the plus maze evaluation, the behavioural reactivity of the boron-deficient rats fed fish oil was noticeably different than the other three treatments. They made more entries into both open and closed

  1. Modification of the Properties of Vanadium Oxide Thin Films by Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Sergey Burdyukh

    2018-01-01

    Full Text Available The paper describes the effect of doping with hydrogen and tungsten by means of plasma-immersion ion implantation (PIII on the properties of vanadium dioxide and hydrated vanadium pentoxide films. It is shown that the parameters of the metal-insulator phase transition in VO2 thin films depend on the hydrogen implantation dose. Next, we explore the effect of PIII on composition, optical properties, and the internal electrochromic effect (IECE in V2O5·nH2O films. The variations in the composition and structure caused by the hydrogen insertion, as well as those caused by the electrochromic effect, are studied by nuclear magnetic resonance, thermogravimetry, Raman spectroscopy, and X-ray structural analysis. It is shown that the ion implantation-induced hydrogenation can substantially enhance the manifestation and performance of the IECE in V2O5 xerogel films. Finally, the effect of PIII-assisted doping with W on the parameters of electrical switching in Au/V2O5·nH2O/Au sandwich structures is examined. It is shown that implanting small tungsten doses improves the switching parameters after forming. When implanting large doses, switching is observed without electroforming, and if electroforming is applied, the switching effect, on the contrary, disappears.

  2. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  3. Method of accurate thickness measurement of boron carbide coating on copper foil

    Science.gov (United States)

    Lacy, Jeffrey L.; Regmi, Murari

    2017-11-07

    A method is disclosed of measuring the thickness of a thin coating on a substrate comprising dissolving the coating and substrate in a reagent and using the post-dissolution concentration of the coating in the reagent to calculate an effective thickness of the coating. The preferred method includes measuring non-conducting films on flexible and rough substrates, but other kinds of thin films can be measure by matching a reliable film-substrate dissolution technique. One preferred method includes determining the thickness of Boron Carbide films deposited on copper foil. The preferred method uses a standard technique known as inductively coupled plasma optical emission spectroscopy (ICPOES) to measure boron concentration in a liquid sample prepared by dissolving boron carbide films and the Copper substrates, preferably using a chemical etch known as ceric ammonium nitrate (CAN). Measured boron concentration values can then be calculated.

  4. Conversion electron Mössbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    Science.gov (United States)

    Terwagne, G.; Collins, G. A.; Hutchings, R.

    1994-12-01

    Conversion electron Mössbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI3) at 350 °C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ɛ-Fe2N through ɛ-Fe3N to γ'-Fe4N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone.

  5. Study of creep of Ti-6Al-4V alloy using plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Zepka, Susana; Yogi, Lucila Mayumi; Silva, Maria Margareth da; Reis, Danieli Aparecida Pereira; Moura Neto, Carlos de; Oliveira, Vinicius Souza de; Ueda, Mario

    2010-01-01

    This study aims to investigate the creep resistance of the Ti-6Al-4V alloy after surface modification by plasma immersion ion implantation (PIII). For the PIII treatment it was used nitrogen gas (ion implantation) to the formation of plasma, the material was treated for 100 minutes. After PIII treatment the samples were analyzed using the techniques of X-ray diffraction, spectrometry energy dispersive X-ray and atomic force microscopy. The creep tests were realized at 600°C, at constant load of 250 and 319 MPa. After the creep tests the samples were analyzed by optical microscopy and scanning electron microscopy. By chemical analysis by X-ray and EDS it is possible to determinate the Ti 2 N on the surface. Through the study of the creep curves it is observed an increasing in creep resistance of the alloy after PIII treatment. (author)

  6. Boron exposure assessment using drinking water and urine in the North of Chile.

    Science.gov (United States)

    Cortes, S; Reynaga-Delgado, E; Sancha, A M; Ferreccio, C

    2011-12-01

    Boron is an essential trace element for plants and humans however it is still an open question what levels of boron are actually safe for humans. This study, conducted between 2006 and 2010, measured exposure levels of boron in drinking water and urine of volunteers in Arica, an area in the North of Chile with high levels of naturally occurring boron. Samples were taken of tap and bottled water (173 and 22, respectively), as well as urine from 22 volunteers, and subsequently analyzed by inductively coupled plasma spectroscopy (ICP-OES). Boron varied in public tap water from 0.22 to 11.3mgL(-1), with a median value of 2.9mgL(-1), while concentrations of boron in bottled water varied from 0.01 to 12.2mgL(-1). Neither tap nor bottled water samples had concentrations of boron within WHO recommended limits. The concentration of boron in urine varied between 0.45 and 17.4mgL(-1), with a median of 4.28mgL(-1) and was found to be correlated with tap water sampled from the homes of the volunteers (r=0.64). Authors highly recommend that in northern Chile - where levels of boron are naturally high - that the tap and bottled water supplies be monitored in order to protect public health and that regulatory standards also be established for boron in drinking water in order to limit exposure. Copyright © 2011 Elsevier B.V. All rights reserved.

  7. Boron exposure assessment using drinking water and urine in the North of Chile

    Energy Technology Data Exchange (ETDEWEB)

    Cortes, S., E-mail: scortes@med.puc.cl [Departamento de Salud Publica, Pontificia Universidad Catolica de Chile, Santiago (Chile); Reynaga-Delgado, E. [Centro de Investigaciones Biologicas del Noroeste, La Paz B.C.S. (Mexico); Sancha, A.M. [Facultad de Ciencias Fisicas y Matematicas, Universidad de Chile, Santiago (Chile); Ferreccio, C. [Departamento de Salud Publica, Pontificia Universidad Catolica de Chile, Santiago (Chile)

    2011-12-01

    Boron is an essential trace element for plants and humans however it is still an open question what levels of boron are actually safe for humans. This study, conducted between 2006 and 2010, measured exposure levels of boron in drinking water and urine of volunteers in Arica, an area in the North of Chile with high levels of naturally occurring boron. Samples were taken of tap and bottled water (173 and 22, respectively), as well as urine from 22 volunteers, and subsequently analyzed by inductively coupled plasma spectroscopy (ICP-OES). Boron varied in public tap water from 0.22 to 11.3 mg L{sup -1}, with a median value of 2.9 mg L{sup -1}, while concentrations of boron in bottled water varied from 0.01 to 12.2 mg L{sup -1}. Neither tap nor bottled water samples had concentrations of boron within WHO recommended limits. The concentration of boron in urine varied between 0.45 and 17.4 mg L{sup -1}, with a median of 4.28 mg L{sup -1} and was found to be correlated with tap water sampled from the homes of the volunteers (r = 0.64). Authors highly recommend that in northern Chile - where levels of boron are naturally high - that the tap and bottled water supplies be monitored in order to protect public health and that regulatory standards also be established for boron in drinking water in order to limit exposure.

  8. Peculiarities of neutron interaction with boron containing semiconductors

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; ); Hofman, A.; Institute of Atomic Energy, Otwock/Swierk; Vlasukova, L.A.

    2009-01-01

    The results of point defect creation calculation in B 4 C, BN and BP semiconductor single crystals irradiated in the fast neutron reactor IBR-2 are presented. It has been shown that during the thermal neutron interaction with light isotope boron atoms ( 10 B) the damage creation by means of fission nuclear reaction fragments (alpha particles and 7 Li recoil nuclei) exceeds the damage created by fast neutrons (E n > 0.1 MeV) by more than two orders of value. It has been concluded that such irradiation can create a well developed radiation defect structure in boron-containing crystals with nearly homogeneous vacancy depth distribution. This may be used in technological applications for more effective diffusion of impurities implanted at low energies or deposited onto the semiconductor surface. The developed homogeneous vacancy structure is very suitable for the radiation enhanced diffusion of electrically charged or neutral impurities from the surface into the technological depth of semiconductor devices under post irradiation treatment. (authors)

  9. Evidence for multiple polytypes of semiconducting boron carbide (C2B10) from electronic structure

    International Nuclear Information System (INIS)

    Lunca-Popa, Petru; Brand, J I; Balaz, Snjezana; Rosa, Luis G; Boag, N M; Bai Mengjun; Robertson, B W; Dowben, P A

    2005-01-01

    Boron carbides fabricated via plasma enhanced chemical vapour deposition from different isomeric source compounds with the same C 2 B 10 H 12 closo-icosahedral structure result in materials with very different direct (optical) band gaps. This provides compelling evidence for the existence of multiple polytypes of C 2 B 10 boron carbide and is consistent with electron diffraction results

  10. Enhanced osteogenic activity of poly ether ether ketone using calcium plasma immersion ion implantation.

    Science.gov (United States)

    Lu, Tao; Qian, Shi; Meng, Fanhao; Ning, Congqin; Liu, Xuanyong

    2016-06-01

    As a promising implantable material, poly ether ether ketone (PEEK) possesses similar elastic modulus to that of cortical bones yet suffers from bio-inertness and poor osteogenic properties, which limits its application as orthopedic implants. In this work, calcium is introduced onto PEEK surface using calcium plasma immersion ion implantation (Ca-PIII). The results obtained from scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) confirm the modified layer with varying contents of calcium are formed on PEEK surfaces. Water contact angle measurements reveal the increasing hydrophobicity of both Ca-PIII treated surfaces. In vitro cell adhesion, viability assay, alkaline phosphatase activity and collagen secretion analyses disclose improved the adhesion, proliferation, and osteo-differentiation of rat bone mesenchymal stem cells (bMSCs) on Ca-PIII treated surfaces. The obtained results indicate that PEEK surface with enhanced osteogenic activity can be produced by calcium incorporation. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Enhanced Boron Tolerance in Plants Mediated by Bidirectional Transport Through Plasma Membrane Intrinsic Proteins.

    Science.gov (United States)

    Mosa, Kareem A; Kumar, Kundan; Chhikara, Sudesh; Musante, Craig; White, Jason C; Dhankher, Om Parkash

    2016-02-23

    High boron (B) concentration is toxic to plants that limit plant productivity. Recent studies have shown the involvement of the members of major intrinsic protein (MIP) family in controlling B transport. Here, we have provided experimental evidences showing the bidirectional transport activity of rice OsPIP1;3 and OsPIP2;6. Boron transport ability of OsPIP1;3 and OsPIP2;6 were displayed in yeast HD9 mutant strain (∆fps1∆acr3∆ycf1) as a result of increased B sensitivity, influx and accumulation by OsPIP1;3, and rapid efflux activity by OsPIP2;6. RT-PCR analysis showed strong upregulation of OsPIP1;3 and OsPIP2;6 transcripts in roots by B toxicity. Transgenic Arabidopsis lines overexpressing OsPIP1;3 and OsPIP2;6 exhibited enhanced tolerance to B toxicity. Furthermore, B concentration was significantly increased after 2 and 3 hours of tracer boron ((10)B) treatment. Interestingly, a rapid efflux of (10)B from the roots of the transgenic plants was observed within 1 h of (10)B treatment. Boron tolerance in OsPIP1;3 and OsPIP2;6 lines was inhibited by aquaporin inhibitors, silver nitrate and sodium azide. Our data proved that OsPIP1;3 and OsPIP2;6 are indeed involved in both influx and efflux of boron transport. Manipulation of these PIPs could be highly useful in improving B tolerance in crops grown in high B containing soils.

  12. Magnetic insulation of secondary electrons in plasma source ion implantation

    International Nuclear Information System (INIS)

    Rej, D.J.; Wood, B.P.; Faehl, R.J.; Fleischmann, H.H.

    1993-01-01

    The uncontrolled loss of accelerated secondary electrons in plasma source ion implantation (PSII) can significantly reduce system efficiency and poses a potential x-ray hazard. This loss might be reduced by a magnetic field applied near the workpiece. The concept of magnetically-insulated PSII is proposed, in which secondary electrons are trapped to form a virtual cathode layer near the workpiece surface where the local electric field is essentially eliminated. Subsequent electrons that are emitted can then be reabsorbed by the workpiece. Estimates of anomalous electron transport from microinstabilities are made. Insight into the process is gained with multi-dimensional particle-in-cell simulations

  13. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  14. Studies for the application of Boron neutron capture therapy (BNCT) to the treatment of differentiated thyroid cancer (CDT)

    International Nuclear Information System (INIS)

    Carpano, Marina; Thomasz, Lisa; Perona, Marina; Juvenal, Guillermo J.; Pisarev, Mario; Dagrosa, Maria A.; Nievas, Susana I.; Pozzi, Emiliano; Thorp, Silvia

    2009-01-01

    Boron neutron capture therapy (BNCT) is a high linear energy transfer (LET) radiotherapy for cancer, which it is based on the nuclear reaction that occurs when boron-10 that it is a non radioactive isotope of the natural elemental boron, is irradiated with low energy thermal neutrons to produce an alpha particle and a nucleus of lithium-7. Both particles have a range smaller than the diameter of a cell causing cell tumor death without significant damage to the surrounding normal tissues. In previous studies we have shown that BNCT can be a possibility for the treatment of undifferentiated thyroid cancer (UTC). However, more than 80 % of patients with thyroid neoplasm present differentiated carcinoma (CDT). These carcinomas are treated by surgery followed by therapy with 131 I and mostly these forms are well controlled. But in some patients recurrence of the tumor is observed. BNCT can be an alternative for these patients in who the tumor lost the capacity to concentrate iodide. The aim of these studies was to evaluate the possibility of treating differentiated thyroid cancer by BNCT. Materials and Methods: The human cell lines of follicular (WRO) and papillary carcinomas (TPC-1) were grown in RPMI and modified DMEM medium respectively. Both supplemented with 10 % of SFB. The cell line of thyroid rat, FRTL-5, used as control normal, was cultured in DMEM/F12. The uptakes of 125 I and p-borophenylalanine BPA (6.93mM) were studied. The intracellular boron concentration was measured by inductively coupled plasma optical emission spectroscopy (ICP-OES) at 2 hr post incubation. The NIH strain of male nude mice, aged 6 to 8 weeks and weighing 20 to 25 g were implanted (s.c) in the back right flank with different concentrations of tumor cells. The size of the tumors was measured with a caliper twice or three times a week and the volume was calculated according the following formulae: A 2 x B/2 (were A is the width and B is the length). To evaluate the BPA uptake, animals

  15. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  16. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  17. Evolution of surfaces properties for 100Cr6 steel by implantation and ionic mixing

    International Nuclear Information System (INIS)

    Faussemagne, A.

    1996-01-01

    Physico-chemical characterizations performed on samples of 100Cr6 steel implanted both with boron and nitrogen revealed the formation of boron nitride along with the following new phases: Fe 1-x (B, N), Fe 2-x (B, N) and Fe 3-x (B, N). A thorough analysis of boron NITRIDE 5BN) indicates that a low ion current density (3 μA.cm -2 ) in the case of the boron plus nitrogen sequence favours the formation of sp 2 bonds (hexagonal-BN) while a higher ion current density (6μA.cm -2 ) promotes sp 3 bonds cubic-BN) in the opposite sequence. Tribological tests carried out on these samples revealed that nitrogen and boron implantations do not lead to any significant improvement of friction and wear at variance with the results obtained by others authors. However, on a set samples accidentally contaminated with carbon during implantation, we noticed a considerable improvement of these tribological parameters. As this pollution is commonly encountered in surface treatment by ion beams, one can invoke this phenomenon to explain the origin of the discrepancy reported by the literature. Extensive investigations allowed us to conclude that surface carbon, whatever its origin (contamination, ion implantation or ion beam mixed coating), provided that its amount is sufficiently high (≥2 x 10 16 C.cm -2 ), decreases the coefficient of friction by a factor 5 and reduces drastically (∼ 100) the wear. A careful examination of the whole results led us to propose a theoretical model, based on the role of the asperities of the two bodies in contact, to explain the evolution of the coefficient of friction and wear with the amount of surface carbon. This analysis revealed that in order to improve friction and wear of 100Cr6 steel, one needs to coat this material with a well adherent carbon layer having a thickness higher than the asperity heights of the two bodies in contact. Finally, this study allowed us to develop a simple lower-cost process for the improvement of the tribological

  18. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  19. Plasma synthesis and HPHT consolidation of BN nanoparticles, nanospheres, and nanotubes to produce nanocrystalline cubic boron nitride

    Science.gov (United States)

    Stout, Christopher

    Plasma methods offer a variety of advantages to nanomaterials synthesis. The process is robust, allowing varying particle sizes and phases to be generated simply by modifying key parameters. The work here demonstrates a novel approach to nanopowder synthesis using inductively-coupled plasma to decompose precursor, which are then quenched to produce a variety of boron nitride (BN)-phase nanoparticles, including cubic phase, along with short-range-order nanospheres (e.g., nano-onions) and BN nanotubes. Cubic BN (c-BN) powders can be generated through direct deposition onto a chilled substrate. The extremely-high pyrolysis temperatures afforded by the equilibrium plasma offer a unique particle growth environment, accommodating long deposition times while exposing resulting powders to temperatures in excess of 5000K without any additional particle nucleation and growth. Such conditions can yield short-range ordered amorphous BN structures in the form of 20nm diameter nanospheres. Finally, when introducing a rapid-quenching counter-flow gas against the plasma jet, high aspect ratio nanotubes are synthesized, which are collected on substrate situated radially. The benefits of these morphologies are also evident in high-pressure/high-temperature consolidation experiments, where nanoparticle phases can offer a favorable conversion route to super-hard c-BN while maintaining nanocrystallinity. Experiments using these morphologies are shown to begin to yield c-BN conversion at conditions as low as 2.0 GPa and 1500°C when using micron sized c-BN seeding to create localized regions of high pressures due to Hertzian forces acting on the nanoparticles.

  20. Raman Microscopic Analysis of Internal Stress in Boron-Doped Diamond

    Directory of Open Access Journals (Sweden)

    Kevin E. Bennet

    2015-05-01

    Full Text Available Analysis of the induced stress on undoped and boron-doped diamond (BDD thin films by confocal Raman microscopy is performed in this study to investigate its correlation with sample chemical composition and the substrate used during fabrication. Knowledge of this nature is very important to the issue of long-term stability of BDD coated neurosurgical electrodes that will be used in fast-scan cyclic voltammetry, as potential occurrence of film delaminations and dislocations during their surgical implantation can have unwanted consequences for the reliability of BDD-based biosensing electrodes. To achieve a more uniform deposition of the films on cylindrically-shaped tungsten rods, substrate rotation was employed in a custom-built chemical vapor deposition reactor. In addition to visibly preferential boron incorporation into the diamond lattice and columnar growth, the results also reveal a direct correlation between regions of pure diamond and enhanced stress. Definite stress release throughout entire film thicknesses was found in the current Raman mapping images for higher amounts of boron addition. There is also a possible contribution to the high values of compressive stress from sp2 type carbon impurities, besides that of the expected lattice mismatch between film and substrate.

  1. Spectroscopy of bound multi exciton complexes and deep centers in implanted and annealed silicon

    International Nuclear Information System (INIS)

    Babich, V.M.; Valakh, M.Ya.; Kovalchuk, V.B.; Rudko, G.Yu.; Shakhrajchuk, N.I.

    1989-01-01

    The change of silicon properties relevant to device physics caused by ion implantation and thermal annealing is studied. It is shown that in boron-doped p-Si the increase of P + ions implantation doses from 10 12 to 10 14 ions/cm 2 lead to a decrease of the broadening of boron bound exciton bands. This behaviour is caused by implantation-induced disordering of the lattice. The subsequent thermal annealing restores the intensity and the halfwidth of the above-mentioned bands and initiates the increase of the bands which correspond to excitons bond on the implanted phosphorus ions. Measurements of phosphorus bound exciton band intensities are applicable to the characterization of the process of phosphorus activation. Analysis of low energy region of luminescence spectra of heat treated samples shows that there is a correlation between the process of implanted phosphorus activation and the one of radiation defects transformation. The influence of germanium doping on the generation of thermal donors by means of spectroscopy of deep centres luminescence has been investigated. It is shown that the introduction of germanium in concentrations of 10 19 -10 20 cm -3 effectively suppresses the generation of thermal donors and deep centres under investigation. (author)

  2. Structure-phase composition and nano hardness of chrome-fullerite-chrome films irradiated by boron ions

    International Nuclear Information System (INIS)

    Baran, L.V.

    2015-01-01

    By methods of atomic force microscopy, X-ray diffraction and nano indentation the research of change of structure phase composition and nano hardness of the chrome - fullerite - chrome films, subjected to implantation by B + ions (E = 80 keV, F = 5*10 17 ions/cm 2 ) are submitted. It is established, that as a result of Boron ion implantation of the chrome - fullerite - chrome films, chrome and fullerite inter fusion on sues, that is the solid-phase interaction and as a result of which forms the heterophase with increased nano hardness. (authors)

  3. Isothermal annealing of silicon implanted with 50 keV 10B ions

    International Nuclear Information System (INIS)

    Weidner, B.; Zaschke, G.

    1974-01-01

    Isothermal annealing characteristics of silicon implanted with boron were measured and compared with calculated results. Implantation was performed with 50 keV 10 B ions in the dose range of 7.5 x 10 12 cm -2 to 2.0 x 10 15 cm -2 . Annealing temperatures ranged from 700 to 900 0 C. Maximum annealing time was 10 4 minutes. Annealing time strongly increases with increasing dose and decreasing temperature. Assuming that there is only one activation energy the isothermal annealing curves of constant dose and different temperatures were combined to a reduced annealing curve and the reduced isothermal annealing curve calculated. Starting from first order kinetics, considering the doping profile of boron in silicon and assuming a depth-dependent decay constant the experimentally determined annealing curves could be easily described over the total dose and time range

  4. Adsorption of boron from boron-containing wastewaters by ion exchange in a continuous reactor

    International Nuclear Information System (INIS)

    Yilmaz, A. Erdem; Boncukcuoglu, Recep; Yilmaz, M. Tolga; Kocakerim, M. Muhtar

    2005-01-01

    In this study, boron removal from boron-containing wastewaters prepared synthetically was investigated. The experiments in which Amberlite IRA 743, boron specific resin was used were carried out in a column reactor. The bed volume of resin, boron concentration, flow rate and temperature were selected as experimental parameters. The experimental results showed that percent of boron removal increased with increasing amount of resin and with decreasing boron concentration in the solution. Boron removal decreased with increasing of flow rate and the effect of temperature on the percent of total boron removal increased the boron removal rate. As a result, it was seen that about 99% of boron in the wastewater could be removed at optimum conditions

  5. Composition, structure and morphology of oxide layers formed on austenitic stainless steel by oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Anandan, C.; Rajam, K.S.

    2007-01-01

    Oxygen ions were implanted in to austenitic stainless steel by plasma immersion ion implantation at 400 deg. C. The implanted samples were characterized by XPS, GIXRD, micro-Raman, AFM, optical and scanning electron microscopies. XPS studies showed the presence of Fe in elemental, as Fe 2+ in oxide form and as Fe 3+ in the form of oxyhydroxides in the substrate. Iron was present in the oxidation states of Fe 2+ and Fe 3+ in the implanted samples. Cr and Mn were present as Cr 3+ and Mn 2+ , respectively, in both the substrate and implanted samples. Nickel remained unaffected by implantation. GIXRD and micro-Raman studies showed the oxide to be a mixture of spinel and corundum structures. Optical and AFM images showed an island structure on underlying oxide. This island structure was preserved at different thicknesses. Further, near the grain boundaries more oxide growth was found. This is explained on the basis of faster diffusion of oxygen in the grain boundary regions. Measurement of total hemispherical optical aborptance, α and emittance, ε of the implanted sample show that it has good solar selective properties

  6. Noncrucial role of the defects in the splitting for hydrogen implanted silicon with high boron concentration

    International Nuclear Information System (INIS)

    Popov, V.P.; Stas, V.F.; Antonova, I.V.

    1999-01-01

    The present work deals with the investigation of the electrical and structural properties of heavily boron-doped silicon irradiated by hydrogen. Blistering and splitting processes are enhanced with an increase in boron concentration in the crystal. The measured values of perpendicular strain are over 0.7% which corresponds to a gas overpressure of 0.5 GPa. Processes which lead to blistering and splitting is better described in the frame of a gas pressure model than a model of local stress caused by the defects

  7. Copper-base alloys processed by rapid solidification and ion implantation

    International Nuclear Information System (INIS)

    Wood, J.V.; Elvidge, C.J.; Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.; Henriksen, O.

    1985-01-01

    Alloys of Cu-Sn and Cu-B have been processed by both melt spinning and ion implantation. In some instances (e.g. Cu-Sn alloys) rapidly solidified ribbons have been subjected to further implantation. This paper describes the similarities and differences in structure of materials subjected to a dynamic and contained process. For example in Cu-B alloys (up to 2wt% Boron) extended solubility is found in implanted alloys which is not present to the same degree in rapidly solidified alloys of the same composition. Likewise the range and nature of the reversible martensitic transformation is different in both cases as examined by electron microscopy and differential scanning calorimetry. (orig.)

  8. Modification of structure and properties of tin – fullerite films irradiated by boron ions

    International Nuclear Information System (INIS)

    Baran, L.V.

    2013-01-01

    By methods of raster electronic, atomic force and electronic force microscopy and X-ray diffraction the research of change of structure, phase composition and local electronic properties of the tin - fullerite films, subjected to implantation by B + ions (E = 80 keV, F = 5×10 17 ions/cm 2 ) are submitted. It is established, that as a result of boron ion implantation of two-layered tin - fullerite films, tin and fullerite interfusion on sues, that is the solid-phase interaction and as a result of which forms the heterophase with heterogeneous local electric properties. (authors)

  9. Boron reclamation

    International Nuclear Information System (INIS)

    Smith, R.M.

    1980-07-01

    A process to recover high purity 10 B enriched crystalline boron powder from a polymeric matrix was developed on a laboratory basis and ultimately scaled up to production capacity. The process is based on controlled pyrolysis of boron-filled scrap followed by an acid leach and dry sieving operation to return the powder to the required purity and particle size specifications. Typically, the recovery rate of the crystalline powder is in excess of 98.5 percent, and some of the remaining boron is recovered in the form of boric acid. The minimum purity requirement of the recovered product is 98.6 percent total boron

  10. Improvement on corrosion resistance of NiTi orthopedic materials by carbon plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Luk, Camille M.Y.; Liu Xuanyong; Chung, Jonathan C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2006-01-01

    Nickel-titanium shape memory alloys (NiTi) have potential applications as orthopedic implants because of their unique super-elastic properties and shape memory effects. However, the problem of out-diffusion of harmful Ni ions from the alloys during prolonged use inside a human body must be overcome before they can be widely used in orthopedic implants. In this work, we enhance the corrosion resistance of NiTi using carbon plasma immersion ion implantation and deposition (PIII and D). Our corrosion and simulated body fluid tests indicate that either an ion-mixed amorphous carbon coating fabricated by PIII and D or direct carbon PIII can drastically improve the corrosion resistance and block the out-diffusion of Ni from the materials. Results of atomic force microscopy (AFM) indicate that both C 2 H 2 -PIII and D and C 2 H 2 -PIII do not roughen the original flat surface to an extent that can lead to degradation in corrosion resistance

  11. Boron-enhanced diffusion in excimer laser annealed Si

    International Nuclear Information System (INIS)

    Monakhov, E.V.; Svensson, B.G.; Linnarsson, M.K.; La Magna, A.; Privitera, V.; Fortunato, G.; Mariucci, L.

    2004-01-01

    The effect of excimer laser annealing (ELA) and rapid thermal annealing (RTA) on B redistribution in B-implanted Si has been studied by secondary ion mass spectrometry (SIMS) and spreading resistance probe (SRP). B has been implanted with an energy of 1 keV and a dose of 10 16 cm -2 forming a distribution with a width of 20-30 nm and a peak concentration of ∼5 x 10 21 cm -3 . It has been found that ELA with 10 pulses of the energy density of 850 mJ/cm 2 results in a uniform B distribution over the ELA-molten region with an abrupt profile edge. SRP measurements demonstrate good activation of the implanted B after ELA, with the concentration of the activated fraction (∼10 21 cm -3 ) exceeding the solid solubility level. RTA (30 s at 1100 deg. C) of the as-implanted and ELA-treated samples leads to a diffusion of B with diffusivities exceeding the equilibrium one and the enhancement is similar for both of the samples. It is also found that RTA decreases the activated B in the ELA-treated sample to the solid solubility limit (2 x 10 20 cm -3 ). The similarity of the B diffusivity for the as-implanted and ELA-treated samples suggests that the enhancement of the B diffusivity is due to the so-called boron-enhanced diffusion (BED). Possible mechanisms of BED are discussed

  12. Protection of active implant electronics with organosilicon open air plasma coating for plastic overmolding

    Directory of Open Access Journals (Sweden)

    Zeppenfeld Matthias

    2016-09-01

    Full Text Available To overcome challenges for manufacturing of modern smart medical plastic parts by injection molding, e.g. for active implants, the optimization of the interface between electronics and the polymer component concerning adhesion and diffusion behavior is crucial. Our results indicate that a nano-sized SiOxCyHz layer formed by plasma-enhanced chemical vapour deposition (PE-CVD via open air atmospheric pressure plasma jet (APPJ and by use of a hexamthyldisiloxane (HMDSO precursor can form a non-corrosive, anti-permeable and biocompatible coating. Due to the open air character of the APPJ process an inline coating before overmolding could be an easy applicable method and a promising advancement.

  13. Fabrication and characterization of boron-doped nanocrystalline diamond-coated MEMS probes

    Science.gov (United States)

    Bogdanowicz, Robert; Sobaszek, Michał; Ficek, Mateusz; Kopiec, Daniel; Moczała, Magdalena; Orłowska, Karolina; Sawczak, Mirosław; Gotszalk, Teodor

    2016-04-01

    Fabrication processes of thin boron-doped nanocrystalline diamond (B-NCD) films on silicon-based micro- and nano-electromechanical structures have been investigated. B-NCD films were deposited using microwave plasma assisted chemical vapour deposition method. The variation in B-NCD morphology, structure and optical parameters was particularly investigated. The use of truncated cone-shaped substrate holder enabled to grow thin fully encapsulated nanocrystalline diamond film with a thickness of approx. 60 nm and RMS roughness of 17 nm. Raman spectra present the typical boron-doped nanocrystalline diamond line recorded at 1148 cm-1. Moreover, the change in mechanical parameters of silicon cantilevers over-coated with boron-doped diamond films was investigated with laser vibrometer. The increase of resonance to frequency of over-coated cantilever is attributed to the change in spring constant caused by B-NCD coating. Topography and electrical parameters of boron-doped diamond films were investigated by tapping mode AFM and electrical mode of AFM-Kelvin probe force microscopy (KPFM). The crystallite-grain size was recorded at 153 and 238 nm for boron-doped film and undoped, respectively. Based on the contact potential difference data from the KPFM measurements, the work function of diamond layers was estimated. For the undoped diamond films, average CPD of 650 mV and for boron-doped layer 155 mV were achieved. Based on CPD values, the values of work functions were calculated as 4.65 and 5.15 eV for doped and undoped diamond film, respectively. Boron doping increases the carrier density and the conductivity of the material and, consequently, the Fermi level.

  14. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Delgadillo, N. [Universidad Autónoma de Tlaxcala, Av. Universidad No. 1, Z. P. 90006 Tlaxcala (Mexico); Torres, A. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Ambrosio, R. [Technology and Engineering Institute, Ciudad Juarez University UACJ, Av. Del Charro 450N, Z. P. 32310 Chihuahua (Mexico); Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico)

    2013-12-02

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E{sub a}) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ{sub RT}), E{sub a} and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E{sub a}, TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors.

  15. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    International Nuclear Information System (INIS)

    Moreno, M.; Delgadillo, N.; Torres, A.; Ambrosio, R.; Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W.

    2013-01-01

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E a ) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ RT ), E a and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E a , TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors

  16. Ceramic silicon-boron-carbon fibers from organic silicon-boron-polymers

    Science.gov (United States)

    Riccitiello, Salvatore R. (Inventor); Hsu, Ming-Ta S. (Inventor); Chen, Timothy S. (Inventor)

    1993-01-01

    Novel high strength ceramic fibers derived from boron, silicon, and carbon organic precursor polymers are discussed. The ceramic fibers are thermally stable up to and beyond 1200 C in air. The method of preparation of the boron-silicon-carbon fibers from a low oxygen content organosilicon boron precursor polymer of the general formula Si(R2)BR(sup 1) includes melt-spinning, crosslinking, and pyrolysis. Specifically, the crosslinked (or cured) precursor organic polymer fibers do not melt or deform during pyrolysis to form the silicon-boron-carbon ceramic fiber. These novel silicon-boron-carbon ceramic fibers are useful in high temperature applications because they retain tensile and other properties up to 1200 C, from 1200 to 1300 C, and in some cases higher than 1300 C.

  17. Aging effects of plasma polymerized ethylenediamine (PPEDA) thin films on cell-adhesive implant coatings

    International Nuclear Information System (INIS)

    Testrich, H.; Rebl, H.; Finke, B.; Hempel, F.; Nebe, B.; Meichsner, J.

    2013-01-01

    Thin plasma polymer films from ethylenediamine were deposited on planar substrates placed on the powered electrode of a low pressure capacitively coupled 13.56 MHz discharge. The chemical composition of the plasma polymer films was analyzed by Fourier Transform Infrared Reflection Absorption Spectroscopy (FT-IRRAS) as well as by X-ray photoelectron spectroscopy (XPS) after derivatization of the primary amino groups. The PPEDA films undergo an alteration during the storage in ambient air, particularly, due to reactions with oxygen. The molecular changes in PPEDA films were studied over a long-time period of 360 days. Simultaneously, the adhesion of human osteoblast-like cells MG-63 (ATCC) was investigated on PPEDA coated corundum blasted titanium alloy (Ti-6Al-4V), which is applied as implant material in orthopedic surgery. The cell adhesion was determined by flow cytometry and the cell shape was analyzed by scanning electron microscopy. Compared to uncoated reference samples a significantly enhanced cell adhesion and proliferation were measured for PPEDA coated samples, which have been maintained after long-time storage in ambient air and additional sterilization by γ−irradiation. - Highlights: • Development of cell-adhesive nitrogen-rich coatings for biomedical applications. • Plasma polymer films from low pressure 13.56 MHz discharge in argon-ethylenediamine. • Enhanced osteoblast adhesion/proliferation on coated implant material (Ti-6Al-4V). • Despite film aging over 360 days the enhanced cell adhesion of the coating remains. • No influence of additional y-sterilization on the enhanced cell adhesion

  18. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  19. A comparison of tokamak operation with metallic getters (Ti, Cr, Be) and boronization

    International Nuclear Information System (INIS)

    Winter, J.

    1990-07-01

    In addition to discharge cleaning techniques, gettering of tokamaks has been used since 1975 as a powerful tool for controlling the impurity influx into fusion plasmas. High-Z metals like Ti and Cr, evaporated onto the walls of the fusion devices, have first been used. After the introduction of carbon as low Z plasma facing material for the large tokamaks new scenarios were developed, optimizing the low-Z aspect of wall materials. These are the boronization technique and the evaporation of Be in conjunction with the use of Be limiters. A review of the different getter techniques and of the observed results will be given, focussing on the comparison of the tokamak performance achieved with boronization and the use of beryllium. It is shown that in all cases of gettering the most important mechanism for the improved machine performance is the control of the oxygen impurity influx. Very similar results are found for the impurity control potential. The added benefit of boronization and Be gettering arises from the low Z of the materials. Both scenarios essentially lead to the same machine performance. Both render themselves as an option for future devices. (orig.)

  20. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  1. Optical and electronic properties of sub-surface conducting layers in diamond created by MeV B-implantation at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Willems van Beveren, L. H., E-mail: laurensw@unimelb.edu.au; Bowers, H.; Ganesan, K.; Johnson, B. C.; McCallum, J. C.; Prawer, S. [School of Physics, University of Melbourne, Parkville, Victoria 3010 (Australia); Liu, R. [SIMS Facility, Office of the Deputy-Vice Chancellor (Research and Development) Western Sydney University, Locked Bag 1797, Penrith, New South Wales 2751 (Australia)

    2016-06-14

    Boron implantation with in-situ dynamic annealing is used to produce highly conductive sub-surface layers in type IIa (100) diamond plates for the search of a superconducting phase transition. Here, we demonstrate that high-fluence MeV ion-implantation, at elevated temperatures avoids graphitization and can be used to achieve doping densities of 6 at. %. In order to quantify the diamond crystal damage associated with implantation Raman spectroscopy was performed, demonstrating high temperature annealing recovers the lattice. Additionally, low-temperature electronic transport measurements show evidence of charge carrier densities close to the metal-insulator-transition. After electronic characterization, secondary ion mass spectrometry was performed to map out the ion profile of the implanted plates. The analysis shows close agreement with the simulated ion-profile assuming scaling factors that take into account an average change in diamond density due to device fabrication. Finally, the data show that boron diffusion is negligible during the high temperature annealing process.

  2. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  3. Aspects of the chemistry of boron

    International Nuclear Information System (INIS)

    Moellinger, H.

    1976-01-01

    Crystal phases of elementary boron are reviewed as well as boron-sulphur, boron-selenum, boron-tellurium, and boron-nitrogen compounds, carboranes, and boron-carbohydrate complexes. A boron cadastre of rivers and lakes serves to illustrate the role of boron in environmental protection. Technically relevant boron compounds and their uses are mentioned. (orig.) 891 HK/orig. 892 MB [de

  4. Fluorine and boron co-doped diamond-like carbon films deposited by pulsed glow discharge plasma immersion ion processing

    CERN Document Server

    He, X M; Peters, A M; Taylor, B; Nastasi, M

    2002-01-01

    Fluorine (F) and boron (B) co-doped diamond-like carbon (FB-DLC) films were prepared on different substrates by the plasma immersion ion processing (PIIP) technique. A pulse glow discharge plasma was used for the PIIP deposition and was produced at a pressure of 1.33 Pa from acetylene (C sub 2 H sub 2), diborane (B sub 2 H sub 6), and hexafluoroethane (C sub 2 F sub 6) gas. Films of FB-DLC were deposited with different chemical compositions by varying the flow ratios of the C sub 2 H sub 2 , B sub 2 H sub 6 , and C sub 2 F sub 6 source gases. The incorporation of B sub 2 H sub 6 and C sub 2 F sub 6 into PIIP deposited DLC resulted in the formation of F-C and B-C hybridized bonding structures. The levels of the F and B concentrations effected the chemical bonding and the physical properties as was evident from the changes observed in density, hardness, stress, friction coefficient, and contact angle of water on films. Compared to B-doped or F-doped DLC films, the F and B co-doping of DLC during PIIP deposition...

  5. Long-wavelength germanium photodetectors by ion implantation

    International Nuclear Information System (INIS)

    Wu, I.C.; Beeman, J.W.; Luke, P.N.; Hansen, W.L.; Haller, E.E.

    1990-11-01

    Extrinsic far-infrared photoconductivity in thin high-purity germanium wafers implanted with multiple-energy boron ions has been investigated. Initial results from Fourier transform spectrometer(FTS) measurements have demonstrated that photodetectors fabricated from this material have an extended long-wavelength threshold near 192μm. Due to the high-purity substrate, the ability to block the hopping conduction in the implanted IR-active layer yields dark currents of less than 100 electrons/sec at temperatures below 1.3 K under an operating bias of up to 70 mV. Optimum peak responsivity and noise equivalent power (NEP) for these sensitive detectors are 0.9 A/W and 5 x 10 -16 W/Hz 1/2 at 99 μm, respectively. The dependence of the performance of devices on the residual donor concentration in the implanted layer will be discussed. 12 refs., 4 figs

  6. Boron delivery with liposomes for boron neutron capture therapy (BNCT): biodistribution studies in an experimental model of oral cancer demonstrating therapeutic potential

    International Nuclear Information System (INIS)

    Nigg, David W.

    2012-01-01

    Boron neutron capture therapy (BNCT) combines selective accumulation of 10B carriers in tumor tissue with subsequent neutron irradiation. We previously demonstrated the therapeutic efficacy of BNCT in the hamster cheek pouch oral cancer model. Optimization of BNCT depends largely on improving boron targeting to tumor cells. Seeking to maximize the potential of BNCT for the treatment for head and neck cancer, the aim of the present study was to perform boron biodistribution studies in the oral cancer model employing two different liposome formulations that were previously tested for a different pathology, i.e., in experimental mammary carcinoma in BALB/c mice: (1) MAC: liposomes incorporating K(nido-7-CH3(CH2)15-7,8-C2B9H11) in the bilayer membrane and encapsulating a hypertonic buffer, administered intravenously at 6 mg B per kg body weight, and (2) MAC-TAC: liposomes incorporating K(nido-7-CH3(CH2)15-7,8-C2B9H11) in the bilayer membrane and encapsulating a concentrated aqueous solution of the hydrophilic species Na3 (ae-B20H17NH3), administered intravenously at 18 mg B per kg body weight. Samples of tumor, precancerous and normal pouch tissue, spleen, liver, kidney, and blood were taken at different times post-administration and processed to measure boron content by inductively coupled plasma mass spectrometry. No ostensible clinical toxic effects were observed with the selected formulations. Both MAC and MAC-TAC delivered boron selectively to tumor tissue. Absolute tumor values for MAC-TAC peaked to 66.6 ± 16.1 ppm at 48 h and to 43.9 ± 17.6 ppm at 54 h with very favorable ratios of tumor boron relative to precancerous and normal tissue, making these protocols particularly worthy of radiobiological assessment. Boron concentration values obtained would result in therapeutic BNCT doses in tumor without exceeding radiotolerance in precancerous/normal tissue at the thermal neutron facility at RA-3.

  7. Boron delivery with liposomes for boron neutron capture therapy (BNCT): biodistribution studies in an experimental model of oral cancer demonstrating therapeutic potential

    Energy Technology Data Exchange (ETDEWEB)

    David W. Nigg

    2012-05-01

    Boron neutron capture therapy (BNCT) combines selective accumulation of 10B carriers in tumor tissue with subsequent neutron irradiation. We previously demonstrated the therapeutic efficacy of BNCT in the hamster cheek pouch oral cancer model. Optimization of BNCT depends largely on improving boron targeting to tumor cells. Seeking to maximize the potential of BNCT for the treatment for head and neck cancer, the aim of the present study was to perform boron biodistribution studies in the oral cancer model employing two different liposome formulations that were previously tested for a different pathology, i.e., in experimental mammary carcinoma in BALB/c mice: (1) MAC: liposomes incorporating K[nido-7-CH3(CH2)15-7,8-C2B9H11] in the bilayer membrane and encapsulating a hypertonic buffer, administered intravenously at 6 mg B per kg body weight, and (2) MAC-TAC: liposomes incorporating K[nido-7-CH3(CH2)15-7,8-C2B9H11] in the bilayer membrane and encapsulating a concentrated aqueous solution of the hydrophilic species Na3 [ae-B20H17NH3], administered intravenously at 18 mg B per kg body weight. Samples of tumor, precancerous and normal pouch tissue, spleen, liver, kidney, and blood were taken at different times post-administration and processed to measure boron content by inductively coupled plasma mass spectrometry. No ostensible clinical toxic effects were observed with the selected formulations. Both MAC and MAC-TAC delivered boron selectively to tumor tissue. Absolute tumor values for MAC-TAC peaked to 66.6 {+-} 16.1 ppm at 48 h and to 43.9 {+-} 17.6 ppm at 54 h with very favorable ratios of tumor boron relative to precancerous and normal tissue, making these protocols particularly worthy of radiobiological assessment. Boron concentration values obtained would result in therapeutic BNCT doses in tumor without exceeding radiotolerance in precancerous/normal tissue at the thermal neutron facility at RA-3.

  8. Titanium and aluminium ions implanted by plasma on polyethylene; lones de titanio y aluminio implantados por plasma sobre polietileno

    Energy Technology Data Exchange (ETDEWEB)

    Cruz, G.J.; Olayo, M.G.; Lopez, R.; Granda, E.; Munoz, A.; Valencia, R. [ININ, 52750 La Marquesa, Estado de Mexico (Mexico); Morales, J. [UAM-I, Apdo. Postal 5534, Iztapalapa, D.F. (Mexico)]. e-mail: gcc@nuclear.inin.mx

    2007-07-01

    The ion implantation by plasma of titanium and aluminum on polyethylene thin films (PE) is presented. The results indicate that the polymers reacted firstly with the oxygen and/or nitrogen carrying gases, and later its received the metallic particles that formed thin films. The stainless steel and the titanium formed a single phase. The metallic layers grew in the interval of 1 to 2 nm/min, its are thin, but enough to change the hardness of the polymer that it is increased in more of 20 times. (Author)

  9. Plasma Electrolytic Oxidation of Titanium Implant Surfaces: Microgroove-Structures Improve Cellular Adhesion and Viability.

    Science.gov (United States)

    Hartjen, Philip; Hoffmann, Alexia; Henningsen, Anders; Barbeck, Mike; Kopp, Alexander; Kluwe, Lan; Precht, Clarissa; Quatela, Olivia; Gaudin, Robert; Heiland, Max; Friedrich, Reinhard E; Knipfer, Christian; Grubeanu, Daniel; Smeets, Ralf; Jung, Ole

    2018-01-01

    Plasma electrolytic oxidation (PEO) is an established electrochemical treatment technique that can be used for surface modifications of metal implants. In this study we to treated titanium implants with PEO, to examine the resulting microstructure and to characterize adhesion and viability of cells on the treated surfaces. Our aim was to identify an optimal surface-modification for titanium implants in order to improve soft-tissue integration. Three surface-variants were generated on titanium alloy Ti6Al4V by PEO-treatment. The elemental composition and the microstructures of the surfaces were characterized using energy dispersive X-ray spectroscopy, scanning electron microscopy and profilometry. In vitro cytocompatibility of the surfaces was assessed by seeding L929 fibroblasts onto them and measuring the adhesion, viability and cytotoxicity of cells by means of live/dead staining, XTT assay and LDH assay. Electron microscopy and profilometry revealed that the PEO-surface variants differed largely in microstructure/topography, porosity and roughness from the untreated control material as well as from one another. Roughness was generally increased after PEO-treatment. In vitro, PEO-treatment led to improved cellular adhesion and viability of cells accompanied by decreased cytotoxicity. PEO-treatment provides a promising strategy to improve the integration of titanium implants with surrounding tissues. Copyright© 2018, International Institute of Anticancer Research (Dr. George J. Delinasios), All rights reserved.

  10. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  11. Dispersion and absorption of longitudinal electro-kinetic wave in ion-implanted GaN semiconductor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Dilip [Government P G Madhav Science College, Ujjain (M P) (India); Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Saxena, Ajay [Government College, Garoth, Dist. Mandsaur (M P) (India); Jadhav, Akhilesh [Government J Yoganandam Chhattisgarh College, Raipur (C G) (India)

    2015-07-31

    An analytical study on propagation characteristics of longitudinal electro-kinetic (LEK) waves is presented. Based on multi-fluid model of plasma, we have derived a dispersion relation for LEK waves in colloid laden GaN semiconductor plasmas. It is assumed that ions are implanted to form colloids in the GaN sample. The colloids are continuously bombarded by the plasma particles and stick on them, but they acquire a net negative charge due to relatively higher mobility of electrons. It is found from the dispersion relation that the presence of charged colloids not only modifies the existing modes but also supports new novel modes of LEKWs. It is hoped that the study would enhance understanding on dispersion and absorption of LEKWs and help in singling out the appropriate configurations in which GaN crystal would be better suited for fabrication of microwave devices.

  12. Nuclear Physics meets Medicine and Biology: Boron Neutron Capture Therapy

    CERN Document Server

    F. Ballarini, F; S. Bortolussi, S; P. Bruschi, P; A.M. Clerici, A M; A. De Bari, A; P. Dionigi, P; C. Ferrari, C; M.A. Gadan, M A; N. Protti, N; S. Stella, S; C. Zonta, C; A. Zonta, A; S. Altieri, S

    2010-01-01

    BNCT is a tumour treatment based on thermal-neutron irradiation of tissues enriched with 10B, which according to the 10B(n, )7Li reaction produces particles with high Linear Energy Transfer and short range. Since this treatment can deliver a therapeutic tumour dose sparing normal tissues, BNCT represents an alternative for diffuse tumours and metastases, which show poor response to surgery and photontherapy. In 2001 and 2003, in Pavia BNCT was applied to an isolated liver, which was infused with boron, explanted, irradiated and re-implanted. A new project was then initiated for lung tumours, developing a protocol for Boron concentration measurements and performing organ-dose Monte Carlo calculations; in parallel, radiobiology studies are ongoing to characterize the BNCT effects down to cellular level. After a brief introduction, herein we will present the main activities ongoing in Pavia including the radiobiological ones, which are under investigation not only experimentally but also theoretically, basing on...

  13. Segregation and Clustering Effects on Complex Boron Redistribution in Strongly Doped Polycrystalline-Silicon Layers

    International Nuclear Information System (INIS)

    Abadli, S.; Mansour, F.

    2011-01-01

    This work deals with the investigation of the complex phenomenon of boron (B) transient enhanced diffusion (TED) in strongly implanted silicon (Si) layers. It concerns the instantaneous influences of the strong B concentrations, the Si layers crystallization, the clustering and the B trapping/segregation during thermal post-implantation annealing. We have used Si thin layers obtained from disilane (Si2H6) by low pressure chemical vapor deposition (LPCVD) and then B implanted with a dose of 4 x 1015 atoms/cm2 at an energy of 15 keV. To avoid long redistributions, thermal annealing was carried out at relatively low-temperatures (700, 750 and 800 'deg'C) for various short-times ranging between 1 and 30 minutes. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of Si-LPCVD layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the TED process in strongly doped Si-LPCVD layers. It was found that boron TED is strongly affected by the simultaneous complex kinetics of clustering, crystallization, trapping and segregation during annealing. The fast formation of small Si-B clusters enhances the B diffusivity whereas the evolution of the clusters and segregation reduce this enhancement. (author)

  14. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    Science.gov (United States)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  15. The determination of boron and carbon in reactor grade boron carbide

    International Nuclear Information System (INIS)

    Crossley, D.; Wood, A.J.; McInnes, C.A.J.; Jones, I.G.

    1978-09-01

    The sealed tube method of dissolution at high temperature and pressure has been successfully applied in the analysis of reactor grade boron carbide for the determination of boron. A 50 mg sample of boron carbide is completely dissolved by heating with concentrated nitric acid in a sealed tube at 300 0 C. The boron content of the resultant sample solution is determined by the mannitol potentiometric titration method. The precision of the method for the determination of 2.5 mg of boron using the Harwell automatic potentiometric titrator is 0.2% (coefficient of variation). The carbon content of a boron carbide sample is determined by combustion of the sample at 1050 0 C in a stream of oxygen using vanadium pentoxide to ensure the complete oxidation of the sample. The carbon dioxide produced from the sample is measured manometrically and the precision of the method for the determination of 4 mg of carbon is 0.4% (coefficient of variation). (author)

  16. New Carbonate Standard Reference Materials for Boron Isotope Geochemistry

    Science.gov (United States)

    Stewart, J.; Christopher, S. J.; Day, R. D.

    2015-12-01

    The isotopic composition of boron (δ11B) in marine carbonates is well established as a proxy for past ocean pH. Yet, before palaeoceanographic interpretation can be made, rigorous assessment of analytical uncertainty of δ11B data is required; particularly in light of recent interlaboratory comparison studies that reported significant measurement disagreement between laboratories [1]. Well characterised boron standard reference materials (SRMs) in a carbonate matrix are needed to assess the accuracy and precision of carbonate δ11B measurements throughout the entire procedural chemistry; from sample cleaning, to ionic separation of boron from the carbonate matrix, and final δ11B measurement by multi-collector inductively coupled plasma mass spectrometry. To date only two carbonate reference materials exist that have been value-assigned by the boron isotope measurement community [2]; JCp-1 (porites coral) and JCt-1 (Giant Clam) [3]. The National Institute of Standards and Technology (NIST) will supplement these existing standards with new solution based inorganic carbonate boron SRMs that replicate typical foraminiferal and coral B/Ca ratios and δ11B values. These new SRMs will not only ensure quality control of full procedural chemistry between laboratories, but have the added benefits of being both in abundant supply and free from any restrictions associated with shipment of biogenic samples derived from protected species. Here we present in-house δ11B measurements of these new boron carbonate SRM solutions. These preliminary data will feed into an interlaboratory comparison study to establish certified values for these new NIST SRMs. 1. Foster, G.L., et al., Chemical Geology, 2013. 358(0): p. 1-14. 2. Gutjahr, M., et al., Boron Isotope Intercomparison Project (BIIP): Development of a new carbonate standard for stable isotopic analyses. Geophysical Research Abstracts, EGU General Assembly 2014, 2014. 16(EGU2014-5028-1). 3. Inoue, M., et al., Geostandards and

  17. Measurement of mobility profile in ion-implanted silicon layers using electroreflection spectroscopy

    International Nuclear Information System (INIS)

    Galiev, G.B.; Kapaev, V.V.; Mokerov, V.G.

    1986-01-01

    The possibility is shown of the application of the low field linearized electroreflection spectroscopy for the measurement of profiles of carriers mobilities μ(x) simultaneously with the concentration profiles N(x) in thin ion-implanted silicon layers. The μ(χ) value is determined from the calibration curve of the dependence of the phenomenological broadening parameter γ on the mobility for uniformly doped samples. The results are presented for the measurements of the profiles μ(x) for boron- and arsenic-implanted silicon

  18. A technique to prepare boronated B72.3 monoclonal antibody for boron neutron capture therapy

    International Nuclear Information System (INIS)

    Ranadive, G.N.; Rosenzweig, H.S.; Epperly, M.W.

    1993-01-01

    B72.3 monoclonal antibody has been successfully boronated using mercaptoundecahydro-closo-dodecaborate (boron cage compound). The reagent was incorporated by first reacting the lysine residues of the antibody with m-maleimidobenzoyl succinimide ester (MBS), followed by Michael addition to the maleimido group by the mercapto boron cage compound to form a physiologically stable thioether linkage. Boron content of the antibody was determined by atomic absorption spectroscopy. For biodistribution studies, boronated antibody was radioiodinated with iodogen. 125 I-labeled and boronated B72.3 monoclonal antibody demonstrated clear tumor localization when administered via tail vein injections to athymic nude mice bearing LS174-T tumor xenografts. Boronated antibody was calculated to deliver 10 6 boron atoms per tumor cell. Although this falls short of the specific boron content originally proposed as necessary for boron neutron capture therapy (BNCT), recent calculations suggest that far fewer atoms of 10 B per tumor cell would be necessary to effect successful BNCT when the boron is targeted to the tumor cell membrane. (author)

  19. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  20. RBS analysis of ions implanted in light substrates exposed to hot plasmas laser-generated at PALS

    Czech Academy of Sciences Publication Activity Database

    Torrisi, L.; Gammino, S.; Picciotto, A.; Wolowski, J.; Krása, Josef; Láska, Leoš; Calcagnile, L.; Quarta, G.

    2005-01-01

    Roč. 160, 10-12 (2005), s. 685-695 ISSN 1042-0150. [Workshop PIBHI 2005 /2./. Giardini Naxos, 08.06.06-11.06.06] R&D Projects: GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z10100523 Keywords : RBS analysis * ion implantation * plasma-generated by lasers Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.353, year: 2005

  1. Fine Structure Study of the Plasma Coatings B4C-Ni-P

    Science.gov (United States)

    Kornienko, E. E.; Bezrukova, V. A.; Kuz'min, V. I.; Lozhkin, V. S.; Tutunkova, M. K.

    2017-12-01

    The article considers structure of coatings formed of the B4C-Ni-P powder. The coatings were deposited using air-plasma spraying with the unit for annular injection of powder. The pipes from steel 20 (0.2 % C) were used as a substrate. The structure and phase composition of the coatings were studied by optical microscopy, scanning electron microscopy, transmission electron microscopy and X-ray diffractometry. It is shown that high-density composite coatings consisting of boron carbide particles distributed in the nickel boride metal matrix are formed using air-plasma spraying. The areas with round inclusions characterized by the increased amount of nickel, phosphorus and boron are located around the boron carbide particles. Boron oxides and nickel oxides are also present in the coatings. Thin interlayers with amorphous-crystalline structure are formed around the boron carbide particles. The thickness of these interlayers does not exceed 1 μm. The metal matrix material represents areas with nanocrystalline structure and columnar crystals.

  2. Prognostic Value of Plasma Pentraxin-3 Levels in Patients with Stable Coronary Artery Disease after Drug-Eluting Stent Implantation

    Directory of Open Access Journals (Sweden)

    Liu Haibo

    2014-01-01

    Full Text Available Pentraxin-3 (PTX3 is an inflammatory marker thought to be more specific to cardiovascular inflammation than C-reactive protein (CRP. Our aim was to assess the prognostic value of PTX3 in patients with stable coronary artery disease (CAD after drug eluting stent (DES implantation. Plasma PTX3 levels were measured before percutaneous coronary intervention (PCI and at 24 h post-PCI in 596 consecutive patients with stable CAD. Patients were followed up for a median of 3 years (range 1–5 for major adverse cardiovascular events (MACEs. We found that the post-PCI plasma PTX3 levels were significantly higher at 24 h after PCI than pre-PCI, patients with MACEs had higher post-PCI PTX3 levels compared with MACEs-free patients, patients with higher post-PCI PTX3 levels (median > 4.384 ng/mL had a higher risk for MACEs than those with PTX3 < 4.384 ng/mL, and post-PCI PTX3, cTnI, multiple stents, and age but not high-sensitivity CRP (hsCRP were independently associated with the prevalence of MACEs after DES implantation. The present study shows that post-PCI PTX3 may be a more reliable inflammatory predictor of long-term MACEs in patients with stable CAD undergoing DES implantation than CRP. Measurement of post-PCI PTX3 levels could provide a rationale for risk stratification of patients with stable CAD after DES implantation.

  3. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    Directory of Open Access Journals (Sweden)

    Ronald Machaka

    2012-01-01

    degradation of near-surface mechanical properties with increasing fluorine fluence. Implications of these observations in the creation of amorphous near-surface layers by high-dose ion implantation are discussed in this paper.

  4. Microwave digestion techniques applied to determination of boron by ICP-AES in BNCT program

    International Nuclear Information System (INIS)

    Farias, Silvia S.; Di Santo, Norberto R.; Garavaglia, Ricardo N.; Pucci, Gladys N.; Batistoni, Daniel A.; Schwint, Amanda E.

    1999-01-01

    Recently, boron neutron capture therapy (BNCT) has merged as an interesting option for the treatment of some kind of tumors where established therapies show no success. A molecular boronated species, enriched in 10 B is administrated to the subject; it localizes in malignant tissues depending the kind of tumor and localization. Therefore, a very important fact in BNCT research is the detection of boron at trace or ultra trace levels precisely and accurately. This is extremely necessary as boronated species do localize in tumoral tissue and also localize in liver, kidney, spleen, skin, membranes. By this way, before testing a boronated species, it is mandatory to determine its biodistribution in a statistically meaning population, that is related with managing of a great number of samples. In the other hand, it is necessary to exactly predict when to begin the irradiation and to determine the magnitude of radiation to obtain the desired radiological dose for a specified mean boron concentration. This involves the determination of boron in whole blood, which is related with boron concentration in the tumor object of treatment. The methodology selected for the analysis of boron in whole blood and tissues must join certain characteristics: it must not be dependant of the chemical form of boron, it has to be fast and capable to determine boron accurately and precisely in a wide range of concentrations. The design and validation of experimental models involving animals in BNCT studies and the determination of boron in blood of animals and subjects upon treatment require reliable analytical procedures to determine boron quantitatively in those biologic materials. Inductively coupled plasma-atomic emission spectrometry (ICP-AES) using pneumatic nebulization is one of the most promising methods for boron analysis, but the sample must be liquid and have low solid concentration. In our case, biological tissues and blood, it is mandatory to mineralize and/or dilute samples

  5. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  6. Validation and Comparison of the Therapeutic Efficacy of Boron Neutron Capture Therapy Mediated By Boron-Rich Liposomes in Multiple Murine Tumor Models

    Directory of Open Access Journals (Sweden)

    Charles A Maitz

    2017-08-01

    Full Text Available Boron neutron capture therapy (BNCT was performed at the University of Missouri Research Reactor in mice bearing CT26 colon carcinoma flank tumors and the results were compared with previously performed studies with mice bearing EMT6 breast cancer flank tumors. Mice were implanted with CT26 tumors subcutaneously in the caudal flank and were given two separate tail vein injections of unilamellar liposomes composed of cholesterol, 1,2-distearoyl-sn-glycer-3-phosphocholine, and K[nido-7-CH3(CH215–7,8-C2B9H11] in the lipid bilayer and encapsulated Na3[1-(2`-B10H9-2-NH3B10H8] within the liposomal core. Mice were irradiated 30 hours after the second injection in a thermal neutron beam for various lengths of time. The tumor size was monitored daily for 72 days. Despite relatively lower tumor boron concentrations, as compared to EMT6 tumors, a 45 minute neutron irradiation BNCT resulted in complete resolution of the tumors in 50% of treated mice, 50% of which never recurred. Median time to tumor volume tripling was 38 days in BNCT treated mice, 17 days in neutron-irradiated mice given no boron compounds, and 4 days in untreated controls. Tumor response in mice with CT26 colon carcinoma was markedly more pronounced than in previous reports of mice with EMT6 tumors, a difference which increased with dose. The slope of the dose response curve of CT26 colon carcinoma tumors is 1.05 times tumor growth delay per Gy compared to 0.09 times tumor growth delay per Gy for EMT6 tumors, indicating that inherent radiosensitivity of tumors plays a role in boron neutron capture therapy and should be considered in the development of clinical applications of BNCT in animals and man.

  7. The study of high-boron steel and high-boron cast iron used for shield

    International Nuclear Information System (INIS)

    Pan Xuerong; Lu Jixin; Wen Yaozeng; Wang Zhaishu; Cheng Jiantin; Cheng Wen; Shun Danqi; Yu Jinmu

    1996-12-01

    The smelting, forging, heat-treatment technology and the mechanical properties of three kinds of high-boron steels (type 1: 0.5% boron; type 2: 0.5% boron and 4% or 2% nickel; type 3: 0.5% boron, 0.5% nickel and 0.5% molybdenum) were studied. The test results show that the technology for smelting, forging and heat-treatment (1050 degree C/0.5 h water cooled + 810 degree C/1 h oil cooled) in laboratory is feasible. Being sensitive to notch, the impact toughness of high-boron steel type 1 is not steady and can not meet the technology requirements on mechanical properties. The mechanical properties of both high-boron steel type 2 and type 3 can meet the technological requirements. The smelting technology of high-boron casting iron containing 0.5% boron was researched. The tests show that this casting iron can be smelted in laboratory and its properties can basically satisfy the technology requirements. (10 refs., 6 figs., 11 tab.)

  8. Plasma Concentrations of Itraconazole, Voriconazole, and Terbinafine When Delivered by an Impregnated, Subcutaneous Implant in Japanese Quail ( Coturnix japonica ).

    Science.gov (United States)

    Souza, Marcy J; Redig, Patrick; Cox, Sherry K

    2017-06-01

    Aspergillosis is a common fungal infection in both wild and pet birds. Although effective antifungal medications are available, treatment of aspergillosis can require months of medication administration, which entails stressful handling one or more times per day. This study examined the delivery of the antifungal drugs itraconazole, voriconazole, and terbinafine to Japanese quail ( Coturnix japonica ) via an impregnated implant. Implants contained 0.5, 3, 8, or 24 mg of itraconazole, voriconazole, or terbinafine. The implants were administered subcutaneously over the dorsum and between the scapulae. Blood was collected from birds before and 2, 7, 21, 42, and 56 days after implant placement. Plasma was analyzed by high-performance liquid chromatography for concentrations of itraconazole, voriconazole, or terbinafine, as appropriate. During the course of the study, targeted terbinafine concentrations were achieved in some birds at various time points, but concentrations were inconsistent. Itraconazole and voriconazole concentrations were also inconsistent and did not reach targeted concentrations. Currently, the implant examined in this study cannot be recommended for treatment of aspergillosis in avian species.

  9. EFECT OF PLASMA IMMERSION ION IMPLANTATION TREATEMENT IN THE WEAR RESISTANCE OF Ti-6Al-4V ALLOY

    Directory of Open Access Journals (Sweden)

    Zepka, Susana

    2015-07-01

    Full Text Available The objective of this work was the evaluation of wear resistance of Ti-6Al-4V alloy after plasma immersion ion implantation (PIII in different immersion times. The goal of this process was the modification of surface properties of the alloy to obtain better tribology properties. In this process, atoms can be injected on the material´s surface changing the mechanical properties in the region near the surface independently of thermodynamics variables, as solubility and difusivity. The samples were submitted to 120 e 180 minutes of implantation at 250°C in the three samples for each condition. The wear analyses were made by pin-on-disk process, where the lost volumes and wear coefficients were compared in the samples. It was observed the decreasing of attrite coefficient and the lost volume of the material during wear test. The implanted sample by 180 minutes has showed the wear coefficient 35.12% lower in comparison of the sample without treatment, and 11.09% lower in comparison of implanted sample by 120 minutes. It can be observed that the sample implanted by 180 minutes showed lower wear coefficient.

  10. Mechanical and tribological properties of AISI 304 stainless steel nitrided by glow discharge compared to ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Foerster, C.E.; Serbena, F.C.; Silva, S.L.R. da; Lepienski, C.M.; Siqueira, C.J. de M.; Ueda, M.

    2007-01-01

    Results about mechanical and tribological behavior of AISI 304 stainless steel nitrided by three different ion beam processes - glow discharge (GD), ion implantation (II) and plasma immersion ion implantation (PI3) are reported. Expanded austenite γ N and nitrides phases (Fe 2+x N, γ'-Fe 4 N and Cr-N) were identified as a function of nitriding conditions. Hardness (H) and elastic modulus (E) profiles were obtained by instrumented penetration. The hardness reached values as high as 21 GPa by PI3. Tribological behavior was studied by reciprocating sliding tests with a WC (Co) ball at room temperature (RT) in dry condition. Different wear regimes were identified in the friction coefficient profiles. The profile form and the running-in distance are strongly dependent on the nitriding process. Adhesive and abrasive wear components can be inferred from these friction profiles. Hardness and tribological performance, after the nitriding processes, are discussed in terms of surface microstructure

  11. The reduction of leading- and trailing-edge of high-voltage steep pulse in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zhu Zongtao; Gui Gang; Wang Zhijian; Gong Chunzhi; Yang Shiqin; Tian Xiubo

    2010-01-01

    During plasma immersion ion implantation (PIII) processes, due to the capacitance effect of the coaxial cable and plasma load, the output voltage pulse of high-voltage modulator possesses a longer leading- and trailing-edge time. The leading- and trailing-edge of the high voltage (HV) pulse have a critical effect on the ion-energy uniformity, depth and dose distribution during PIII processes. In this work, a tetrode was used as a hard tube to switch the DC high voltage, and a HV pulse modulator with a maximum pulse voltage of 40 kV was built successfully. The effect of the trailing-edge time on the implantation uniformity was simulated by one-dimension PIC method. The potential on the control grids of the tetrode was optimized to obtain a HV pulse with a short rise time. In our system, 200 V potential on grid one is utilized and the leading-edge time of pulse can be as small as 1 μs. The IGBTs in series was utilized to release the remnant charges reserved in the equivalent capacitance of the plasma load and coaxial cable. Thus the trailing-edge time of the HV pulse could be reduced. The effect of the driver signals with different delay time and the absorption parameters of each IGBTs were simulated by PSPICE software to optimize the design the electric circuit. (authors)

  12. Thermal shock resistance of thick boron-doped diamond under extreme heat loads

    NARCIS (Netherlands)

    De Temmerman, G.; Dodson, J.; Linke, J.; Lisgo, S.; Pintsuk, G.; Porro, S.; Scarsbrook, G.

    2011-01-01

    Thick free-standing boron-doped diamonds were prepared by microwave plasma assisted chemical vapour deposition. Samples with a final thickness close to 5 mm and with lateral dimensions 25 x 25 mm were produced. The thermal shock resistance of the material was tested by exposure in the JUDITH

  13. High density crystalline boron prepared by hot isostatic pressing in refractory metal containers

    Science.gov (United States)

    Hoenig, C.L.

    1993-08-31

    Boron powder is hot isostatically pressed in a refractory metal container to produce a solid boron monolith with a bulk density at least 2.22 g/cc and up to or greater than 2.34 g/cc. The refractory metal container is formed of tantalum, niobium, tungsten, molybdenum or alloys thereof in the form of a canister or alternatively plasma sprayed or chemical vapor deposited onto a powder compact. Hot isostatic pressing at 1,800 C and 30 PSI (206.8 MPa) argon pressure for four hours produces a bulk density of 2.34 g/cc. Complex shapes can be made.

  14. Study of boron detection limit using the in-air PIGE set-up at LAMFI-USP

    International Nuclear Information System (INIS)

    Moro, M. V.; Silva, T. F.; Trindade, G. F.; Added, N.; Tabacniks, M. H.

    2014-01-01

    The quantification of small amounts of boron in materials is of extreme importance in different areas of materials science. Boron is an important contaminant and also a silicon dopant in the semiconductor industry. Boron is also extensively used in nuclear power plants, either for neutron shielding or for safety control and boron is an essential nutrient for life, either vegetable or animal. The production of silicon solar cells, by refining metallurgical-grade silicon (MG-Si) requires the control and reduction of several silicon contaminants to very low concentration levels. Boron is one of the contaminants of solar-grade silicon (SG-Si) that must be controlled and quantified at sub-ppm levels. In the metallurgical purification, boron quantification is usually made by Inductive Coupled Plasma Mass Spectrometry, (ICP-MS) but the results need to be verified by an independent analytical method. In this work we present the results of the analysis of silicon samples by Particle Induced Gamma-Ray Emission (PIGE) aiming the quantification of low concentrations of boron. PIGE analysis was carried out using the in-air external beam line of the Laboratory for Materials Analysis with Ion Beams (LAMFI-USP) by the 10 B(p,αγ( 7 Be nuclear reaction, and measuring the 429 keV γ-ray. The in-air PIGE measurements at LAMFI have a quantification limit of the order of 10 16 at/cm 2

  15. Study of boron detection limit using the in-air PIGE set-up at LAMFI-USP

    Science.gov (United States)

    Moro, M. V.; Silva, T. F.; Trindade, G. F.; Added, N.; Tabacniks, M. H.

    2014-11-01

    The quantification of small amounts of boron in materials is of extreme importance in different areas of materials science. Boron is an important contaminant and also a silicon dopant in the semiconductor industry. Boron is also extensively used in nuclear power plants, either for neutron shielding or for safety control and boron is an essential nutrient for life, either vegetable or animal. The production of silicon solar cells, by refining metallurgical-grade silicon (MG-Si) requires the control and reduction of several silicon contaminants to very low concentration levels. Boron is one of the contaminants of solar-grade silicon (SG-Si) that must be controlled and quantified at sub-ppm levels. In the metallurgical purification, boron quantification is usually made by Inductive Coupled Plasma Mass Spectrometry, (ICP-MS) but the results need to be verified by an independent analytical method. In this work we present the results of the analysis of silicon samples by Particle Induced Gamma-Ray Emission (PIGE) aiming the quantification of low concentrations of boron. PIGE analysis was carried out using the in-air external beam line of the Laboratory for Materials Analysis with Ion Beans (LAMFI-USP) by the 10B ( p ,αγ(7Be nuclear reaction, and measuring the 429 keV γ-ray. The in-air PIGE measurements at LAMFI have a quantification limit of the order of 1016 at/cm2.

  16. The thermal evolution of targets under plasma focus pulsed ion implantation

    International Nuclear Information System (INIS)

    Sanchez, G.; Feugeas, J.

    1997-01-01

    Pulsed ion beam implantation with plasma focus has proved to be an effective method of metal surface treatment for tribological purposes. Nevertheless, the pulsed nature and the continuous energy spectrum of the ion beams differ from those of the standard ion implantation processes. In this paper a model of the thermal evolution of the surface layers of stainless steel, titanium and copper, during and after nitrogen and argon ion beam incidence, is presented using the finite-difference method. In the calculations, the geometry and physical characteristics of the ion beams, the single-ion-solid interaction process and the thermal properties of the materials were used. The results showed a strong thermal effect consisting in the generation of transitory heating slopes and heating speeds as high as ∼3600 K μm -1 and ∼40 K ns -1 respectively, with maximum temperatures that can reach even the material evaporation point at the surface layers. The cooling down process, through the thermal conduction mechanism at the target bulk, turns out to be fast enough to produce the complete thermal relaxation of the target in only a few microseconds after the end of the ion beam incidence. The results presented are contrasted with experiments performed in similar conditions to those used in the numerical model. (Author)

  17. COMPARISON OF TWO 4.7-MILLIGRAM TO ONE 9.4-MILLIGRAM DESLORELIN ACETATE IMPLANTS ON EGG PRODUCTION AND PLASMA PROGESTERONE CONCENTRATIONS IN JAPANESE QUAIL (COTURNIX COTURNIX JAPONICA).

    Science.gov (United States)

    Petritz, Olivia A; Guzman, David Sanchez-Migallon; Hawkins, Michelle G; Kass, Philip H; Conley, Alan J; Paul-Murphy, Joanne

    2015-12-01

    Reproductive disease in captive avian species is common, and medical management is often chosen over surgical removal of the reproductive tract. In a previous study with Japanese quail, a single 4.7-mg deslorelin acetate implant reversibly decreased egg production in 6 out 10 birds for 70 days. The objective of the current study was to evaluate the effects of two 4.7-mg deslorelin acetate implants versus one 9.4-mg implant on egg production and plasma progesterone concentrations in Japanese quail ( Coturnix coturnix japonica). Following a 10-day period of consistent egg laying, 30 adult female Japanese quail were anesthetized and received two 4.7-mg deslorelin implants (n = 10), one 9.4-mg deslorelin implant (n = 10), or a single, identical placebo implant (n = 10) s.c. between the scapulae. Egg production was monitored daily, and plasma progesterone concentrations were measured on days 0, 14, 29, 120, 148, and 182 via enzyme-linked immunoassay. All birds were weighed periodically and euthanized at day 182, after which their reproductive tracts were evaluated at gross necropsy. Seven out of 10 birds treated with two 4.7-mg implants ceased egg laying 1 wk after implantation and remained nonovulatory for approximately 100 days. Cessation of egg laying for the 9.4-mg treatment group occurred in 7 out of 10 birds; onset was variable (weeks 5-12) and continued for the remainder of the study period. Plasma progesterone concentrations for deslorelin treatment groups were not significantly different compared to the placebo group at any time point. In conclusion, the two 4.7-mg and the one 9.4-mg implant treatments ceased egg laying in a similar number of birds, but the 9.4-mg implant had a slower onset of action and the effects on egg laying were inconsistent throughout the study period. Further studies evaluating use of deslorelin acetate in other avian species are needed.

  18. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  19. Regime of very high confinement in the boronized DIII-D tokamak

    International Nuclear Information System (INIS)

    Jackson, G.L.; Winter, J.; Taylor, T.S.; Burrell, K.H.; DeBoo, J.C.; Greenfield, C.M.; Groebner, R.J.; Hodapp, T.; Holtrop, K.; Lazarus, E.A.; Lao, L.L.; Lippmann, S.I.; Osborne, T.H.; Petrie, T.W.; Phillips, J.; James, R.; Schissel, D.P.; Strait, E.J.; Turnbull, A.D.; West, W.P.; DIII-D Team

    1991-01-01

    Following boronization, tokamak discharges in DIII-D have been obtained with confinement times up to a factor of 3.5 above the ITER89-P L-mode scaling and 1.8 times greater than the DIII-D/JET H-mode scaling relation. Very high confinement phases are characterized by relatively high central density with n e (0)∼1x10 20 m -3 , and central ion temperatures up to 13.6 keV at moderate plasma currents (1.6 MA) and heating powers (12.5--15.3 MW). These discharges exhibit a low fraction of radiated power, P≤25%, Z eff (0) close to unity, and lower impurity influxes than comparable DIII-D discharges before boronization

  20. Oxygen collection in the limiter shadow of TEXTOR depending on wall conditioning with boron

    International Nuclear Information System (INIS)

    Wienhold, P.; Seggern, J. v.; Kuenzli, H.

    1991-01-01

    One of the major consequences of the boronization of TEXTOR compared to the carbonized machine was the further and remaining decrease of the oxygen contamination of the plasma. This has lowered also the carbon chemical sputtering by a factor of two in spite of higher radiative power loads to the graphite limiters and made auxiliary heating up to 6 MW possible. The fact, that oxygen did not reoccur as it happened during operation with carbonized walls caused the suggestion of gettering by the formation of a stable bond to the boron. Therefore, a period (May/June 89) where different conditioning treatments with boron were applied to TEXTOR gave ideal circumstances for collection experiments in the SOL and the subsequent analysis of the deposits aiming at the understanding of this hypothesis. (author) 10 refs., 2 figs

  1. Lattice dynamics of α boron and of boron carbide

    International Nuclear Information System (INIS)

    Vast, N.

    1999-01-01

    The atomic structure and the lattice dynamics of α boron and of B 4 C boron carbide have been studied by Density Functional Theory (D.F.T.) and Density Functional Perturbation Theory (D.F.P.T.). The bulk moduli of the unit-cell and of the icosahedron have been investigated, and the equation of state at zero temperature has been determined. In α boron, Raman diffusion and infrared absorption have been studied under pressure, and the theoretical and experimental Grueneisen coefficients have been compared. In boron carbide, inspection of the theoretical and experimental vibrational spectra has led to the determination of the atomic structure of B 4 C. Finally, the effects of isotopic disorder have been modeled by an exact method beyond the mean-field approximation, and the effects onto the Raman lines has been investigated. The method has been applied to isotopic alloys of diamond and germanium. (author)

  2. Research of boron conversion coating in neutron detector with boron deposited GEM

    International Nuclear Information System (INIS)

    Ye Di; Sun Zhijia; Zhou Jianrong; Wang Yanfeng; Yang Guian; Xu Hong; Chen Yuanbai; Xiao Yu; Diao Xungang

    2014-01-01

    GEM is a flourishing new gas detector and nowadays its technology become more mature. It has outstanding properties, such as excellent position resolution, high counting rate, radiation resistance, simple and flexible signal readout, can be large-area detector, wide application range. Detector with boron deposited GEM uses multilayer GEM with deposited boron film as neutron conversion carrier which reads out the information of neutron shot from the readout electrode with gas amplification from every GEM layer. The detector is high performance which can meet the demands of neutron detector of a new generation. Boron deposited neutron conversion electrode with boron deposited cathode and GEM included is the core part of the detector. As boron is a high-melting-point metalloid (> 2 000 ℃), electroplating and thermal evaporation are inappropriate ways. So finding a way to deposit boron on electrode which can meet the demands become a key technology in the development of neutron detector with boron deposited GEM. Compared with evaporation, sputtering has features such as low deposition temperature, high film purity, nice adhesive, thus is appropriate for our research. Magnetron sputtering is a improved way of sputtering which can get lower sputtering air pressure and higher target voltage, so that we can get better films. Through deposit process, the research uses magnetron sputtering to deposit pure boron film on copper electrode and GEM film. This method can get high quality, nice adhere, high purity, controllable uniformity, low cost film with high speed film formation. (authors)

  3. Planar transistors and impatt diodes with ion implantation

    International Nuclear Information System (INIS)

    Dorendorf, H.; Glawischnig, H.; Grasser, L.; Hammerschmitt, J.

    1975-03-01

    Low frequency planar npn and pnp transistors have been developed in which the base and emitter have been fabricated using ion implantation of boron and phosphorus by a drive-in diffusion. Electrical parameters of the transistors are comparable with conventionally produced transistors; the noise figure was improved and production tolerances were significantly reduced. Silicon-impatt diodes for the microwave range were also fabricated with implanted pn junctions and tested for their high frequency characteristics. These diodes, made in an improved upside down technology, delivered output power up to 40 mW (burn out power) at 30 GHz. Reverse leakage current and current carrying capability of these diodes were comparable to diffused structures. (orig.) 891 ORU 892 MB [de

  4. Effects of Boron-Incorporation in a V-Containing Zr-Based AB2 Metal Hydride Alloy

    Directory of Open Access Journals (Sweden)

    Shiuan Chang

    2017-11-01

    Full Text Available In this study, boron, a metalloid element commonly used in semiconductor applications, was added in a V-containing Zr-based AB2 metal hydride alloy. In general, as the boron content in the alloy increased, the high-rate dischargeability, surface exchange current, and double-layer capacitance first decreased and then increased whereas charge-transfer resistance and dot product of charge-transfer resistance and double-layer capacitance changed in the opposite direction. Electrochemical and gaseous phase characteristics of two boron-containing alloys, with the same boron content detected by the inductively coupled plasma optical emission spectrometer, showed significant variations in performances due to the difference in phase abundance of a newly formed tetragonal V3B2 phase. This new phase contributes to the increases in electrochemical high-rate dischargeability, surface exchange current, charge-transfer resistances at room, and low temperatures. However, the V3B2 phase does not contribute to the hydrogen storage capacities in either gaseous phase and electrochemical environment.

  5. Silicon Heterojunction Solar Cells Using AlOx and Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-06-01

    Full Text Available Aluminum oxide (AlOx and plasma immersion ion implantation (PIII were studied in relation to passivated silicon heterojunction solar cells. When aluminum oxide (AlOx was deposited on the surface of a wafer; the electric field near the surface of wafer was enhanced; and the mobility of the carrier was improved; thus reducing carrier traps associated with dangling bonds. Using PIII enabled implanting nitrogen into the device to reduce dangling bonds and achieve the desired passivation effect. Depositing AlOx on the surface of a solar cell increased the short-circuit current density (Jsc; open-circuit voltage (Voc; and conversion efficiency from 27.84 mA/cm2; 0.52 V; and 8.97% to 29.34 mA/cm2; 0.54 V; and 9.68%; respectively. After controlling the depth and concentration of nitrogen by modulating the PIII energy; the ideal PIII condition was determined to be 2 keV and 10 min. As a result; a 15.42% conversion efficiency was thus achieved; and the Jsc; Voc; and fill factor were 37.78 mA/cm2; 0.55 V; and 0.742; respectively.

  6. Negative charge induced degradation of PMOSFETs with BF2-implanted p+-poly gate

    International Nuclear Information System (INIS)

    Lu, C.Y.; Sung, J.M.

    1989-01-01

    A new degradation phenomenon on thin gate oxide PMOS-FETs with BF 2 implanted p + -poly gate has been demonstrated and investigated. The cause of this type of degradation is a combination of the boron penetration through the gate oxide and charge trap generation due to the presence of fluorine in the gate oxide and some other processing-induced effects. The negative charge-induced degradation other than enhanced boron diffusion has been studied in detail here. The impact of this process-sensitive p + -poly gate structure on deep submicron CMOS process integration has been discussed. (author)

  7. FTIR and electrical characterization of a-Si:H layers deposited by PECVD at different boron ratios

    Energy Technology Data Exchange (ETDEWEB)

    Orduna-Diaz, A., E-mail: abdu@susu.inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Trevino-Palacios, C.G. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Rojas-Lopez, M.; Delgado-Macuil, R.; Gayou, V.L. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Torres-Jacome, A. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico)

    2010-10-25

    Hydrogenated amorphous silicon (a-Si:H) has found applications in flat panel displays, photovoltaic solar cell and recently has been employed in boron doped microbolometer array. We have performed electrical and structural characterizations of a-Si:H layers prepared by plasma enhanced chemical vapor deposition (PECVD) method at 540 K on glass substrates at different diborane (B{sub 2}H{sub 6}) flow ratios (500, 250, 150 and 50 sccm). Fourier transform infrared spectroscopy (FTIR) measurements obtained by specular reflectance sampling mode, show Si-Si, B-O, Si-H, and Si-O vibrational modes (611, 1300, 2100 and 1100 cm{sup -1} respectively) with different strengths which are associated to hydrogen and boron content. The current-voltage curves show that at 250 sccm flow of boron the material shows the lowest resistivity, but for the 150 sccm boron flow it is obtained the highest temperature coefficient of resistance (TCR).

  8. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  9. The gettering of boron by an ion-implanted antimony layer in silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    Secondary ion mass spectrometry has been employed to reveal the gettering of implanted B by an annealed, implanted Sb layer. It is shown that the gettering of B is significant, and may be caused by electric-field-enhanced diffusion of the B as well as by solubility enhancement of the electrically-active Sb. These results emphasize the first-order importance of cooperative effects between donors and acceptors in diffusion profile calculations. (author)

  10. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  11. Boron analyses in the reactor coolant system of French PWR by acid-base titration ([B]) and ICP-MS (10B atomic %): key to NPP safety

    International Nuclear Information System (INIS)

    Jouvet, Fabien; Roux, Sylvie; Carabasse, Stephanie; Felgines, Didier

    2012-09-01

    Boron is widely used by Nuclear Power Plants and especially by EDF Pressurized Water Reactors to ensure the control of the neutron rate in the reactor coolant system and, by this way, the fission reaction. The Boron analysis is thus a major factor of safety which enables operators to guarantee the permanent control of the reactor. Two kinds of analyses carried out by EDF on the Boron species, recently upgraded regarding new method validation standards and developed to enhance the measurement quality by reducing uncertainties, will be discussed in this topic: Acid-Base titration of Boron and Boron isotopic composition by Inductively Coupled Plasma Mass Spectrometer - ICP MS. (authors)

  12. Note on boron toxicity in oats

    Energy Technology Data Exchange (ETDEWEB)

    Langille, W M; Mahoney, J F

    1959-01-01

    Boron was applied at the rate of 35 pounds per acre of borax to a field of oats. With the first noticeable growth there appeared a definite chlorotic condition of the oat seedlings on plots receiving boron treatments. Analysis of chlorotic tissue at 3 weeks after seeding indicated 110 ppm boron, while apparently healthy tissue contained 6.1 ppm boron at the same stage of growth. There was a rapid decline in the boron content of the oat tissue as the crop grew older. At maturity the oat tissue from the boron-treated plots contained an average of 14.15 ppm boron as compared with 4.10 boron from untreated areas. Boron toxicity had no harmful effect so far as yields were concerned, under the conditions of this experiment. 3 references.

  13. Method for producing polycrystalline boron nitride

    International Nuclear Information System (INIS)

    Alexeevskii, V.P.; Bochko, A.V.; Dzhamarov, S.S.; Karpinos, D.M.; Karyuk, G.G.; Kolomiets, I.P.; Kurdyumov, A.V.; Pivovarov, M.S.; Frantsevich, I.N.; Yarosh, V.V.

    1975-01-01

    A mixture containing less than 50 percent of graphite-like boron nitride treated by a shock wave and highly defective wurtzite-like boron nitride obtained by a shock-wave method is compressed and heated at pressure and temperature values corresponding to the region of the phase diagram for boron nitride defined by the graphite-like compact modifications of boron nitride equilibrium line and the cubic wurtzite-like boron nitride equilibrium line. The resulting crystals of boron nitride exhibit a structure of wurtzite-like boron nitride or of both wurtzite-like and cubic boron nitride. The resulting material exhibits higher plasticity as compared with polycrystalline cubic boron nitride. Tools made of this compact polycrystalline material have a longer service life under impact loads in machining hardened steel and chilled iron. (U.S.)

  14. Influence of temperature on properties of nitrogen plasma source ion implantation (N-PSII) of Ti6A14V alloy

    CERN Document Server

    Geng Man; Zhao Qing

    2001-01-01

    Specimens of Ti6Al4V alloy were implanted with nitrogen plasma source ion implantation (N-PSII) at temperatures between 100 degree C and 600 degree C to a ion dose of 4 x 10 sup 1 sup 7 cm sup - sup 2. Auger Electron Spectroscopy (AES) was used to determine the nitrogen concentration depth profiles. Microhardness measurements and pin-on-disk wear test were performed to evaluate the improvements of the surface modification. Glancing angle X-ray diffraction (XRD) was employed to determine the phases presented in the surface modified layer. The thickness of implanted layer increased by about an order of magnitude when the temperature was elevated from 100 degree C to 600 degree C. Higher surface hardness and wear resistance was also obtained at higher temperature. Scanning electron microscopy (SEM) showed distinct microstructural changes and the presence of titanium nitrides in the implanted surface

  15. Data on the surface morphology of additively manufactured Ti-6Al-4V implants during processing by plasma electrolytic oxidation

    NARCIS (Netherlands)

    van Hengel, I.A.J. (Ingmar A.J.); M. Riool (Martijn); L.E. Fratila-Apachitei (L.); J. Witte-Bouma (Janneke); E. Farrell (Eric); A.A. Zadpoor (Amir Abbas); S.A.J. Zaat (Sebastiaan); I. Apachitei (I.)

    2017-01-01

    textabstractAdditively manufactured Ti-6Al-4V implants were biofunctionalized using plasma electrolytic oxidation. At various time points during this process scanning electron microscopy imaging was performed to analyze the surface morphology (van Hengel et al., 2017) [1]. This data shows the

  16. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  17. Deep ion implantation for bipolar silicon devices; investigations into the use of the third dimension

    International Nuclear Information System (INIS)

    Mouthaan, A.J.

    1986-01-01

    This thesis covers various aspects of the use of deep ion implantations in digital bipolar circuits. It starts with the implications of the use of deep ion implantations for numerical process, device and circuit simulation. It shows the use of 1MeV boron and phosphorus implantations in the realization of a fully vertical IIL, here named Buried Injector Logic, which can also be used as static and dynamic memory device in several different configurations. The author presents a combined MOS-bipolar device, called the Charge Injection Device as a dynamic memory cell. Finally, deep ion implantations are used to realize a stack of photovoltaic cells that produces a multiple of the open circuit voltage of one photodiode. (Auth.)

  18. Boron-11 MRI and MRS of intact animals infused with a boron neutron capture agent

    International Nuclear Information System (INIS)

    Kabalka, G.W.; Davis, M.; Bendel, P.

    1988-01-01

    Boron neutron capture therapy (BNCT) depends on the delivery of boron-containing drugs to a targeted lesion. Currently, the verification and quantification of in vivo boron content is a difficult problem. Boron-11 spectroscopy was utilized to confirm the presence of a dimeric sulfhydryl dodecaborane BNCT agent contained in an intact animal. Spectroscopy experiments revealed that the decay time of transverse magnetization of the boron-11 spins was less than 1 ms which precluded the use of a 2DFT imaging protocol. A back-projection protocol was developed and utilized to generate the first boron-11 image of a BNCT agent in the liver of an intact Fisher 344 rat

  19. Surface modification by nitrogen plasma immersion ion implantation into new steel 460Li–21Cr in a capacitively coupled radio frequency discharge

    International Nuclear Information System (INIS)

    Bhuyan, H.; Mändl, S.; Bora, B.; Favre, M.; Wyndham, E.; Maze, J.R.; Walczak, M.; Manova, D.

    2014-01-01

    Highlights: • Nitriding of a novel steel has been done in a RF plasma by PIII technique. • Improved hardness and wear behavior have been observed. • Hardness was improved by a factor 4 and the wear by 2 orders of magnitude. • Fast, anomalous diffusion, similar to nitrogen in expanded austenite is observed. - Abstract: A novel steel 460Li–21Cr belonging to a new generation of superferritic grade steel has been implanted with nitrogen in a low power 13.56 MHz radio frequency plasma by the plasma immersion ion implantation (PIII) technique in order to study its physical and chemical properties under different experimental conditions. We observed improved hardness and wear behavior of 460Li–21Cr steel with a layer thickness between 1.5 and 4.0 μm after 60 min implantation in the temperature range from 350 to 550 °C. The modified surface layer containing nitrogen does not show CrN in X-ray diffraction (XRD). Compared to untreated substrates, the hardness can be increased by a factor of 4, depending on the experimental conditions, and the wear behavior was also improved by two orders of magnitude. The results are very similar to those for austenitic stainless steel with a similar pronounced increase in wear resistance and plateau-like nitrogen depth profiles

  20. Some problems connected with boron determination by atomic absorption spectroscopy and the sensitivity improvement

    Directory of Open Access Journals (Sweden)

    JELENA J. SAVOVIC

    2001-08-01

    Full Text Available Two atomizers were compared: an N2O–C2H2 flame and a stabilized U-shaped DC arc with aerosol supply. Both the high plasma temperature and the reducing atmosphere obtained by acetylene addition to the argon stream substantially increase the sensitivity of boron determination by atomic absorption spectroscopy (AAS when the arc atomizer is used. The results were compared with those for silicon as a control element. The experimental characteristic concentrations for both elements were compared with the computed values. The experimentally obtained characteristic concentration for boron when using the arc atomizer was in better agreement with the calculated value. It was estimated that the influence of stable monoxide formation on the sensitivity for both elements was about the same, but reduction of analyte and formation of non-volatile carbide particles was more important for boron, which is the main reason for the low sensitivity of boron determination using a flame atomizer. The use of an arc atomizer suppresses this interference and significantly improves the sensitivity of the determination.

  1. β-Rhombohedral Boron: At the Crossroads of the Chemistry of Boron and the Physics of Frustration [Boron: a frustrated element

    Energy Technology Data Exchange (ETDEWEB)

    Ogitsu, Tadashi [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Schwegler, Eric [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Galli, Giulia [Univ. of California, Davis, CA (United States)

    2013-05-08

    In the periodic table boron occupies a peculiar, crossover position: on the first row, it is surrounded by metal forming elements on the left and by non-metals on the right. In addition, it is the only non-metal of the third column. Therefore it is perhaps not surprising that the crystallographic structure and topology of its stable allotrope at room temperature (β-boron) are not shared by any other element, and are extremely complex. The formidable intricacy of β- boron, with interconnecting icosahedra, partially occupied sites, and an unusually large number of atoms per unit cell (more than 300) has been known for more than 40 years. Nevertheless boron remains the only element purified in significant quantities whose ground state geometry has not been completely determined by experiments. However theoretical progress reported in the last decade has shed light on numerous properties of elemental boron, leading to a thorough characterization of its structure at ambient conditions, as well as of its electronic and thermodynamic properties. This review discusses in detail the properties of β-boron, as inferred from experiments and the ab-initio theories developed in the last decade.

  2. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  3. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  4. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  5. Enhanced osteoblast responses to poly ether ether ketone surface modified by water plasma immersion ion implantation.

    Science.gov (United States)

    Wang, Heying; Lu, Tao; Meng, Fanhao; Zhu, Hongqin; Liu, Xuanyong

    2014-05-01

    Poly ether ether ketone (PEEK) offers a set of characteristics superior for human implants; however, its application is limited by the bio-inert surface property. In this work, PEEK surface was modified using single step plasma immersion ion implantation (PIII) treatment with a gas mixture of water vapor as a plasma resource and argon as an ionization assistant. Field emission scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy were used to investigate the microstructure and composition of the modified PEEK surface. The water contact angle and zeta-potential of the surfaces were also measured. Osteoblast precursor cells MC3T3-E1 and rat bone mesenchymal stem cells were cultured on the PEEK samples to evaluate their cytocompatibility. The obtained results show that the hydroxyl groups as well as a "ravined structure" are constructed on water PIII modified PEEK. Compared with pristine PEEK, the water PIII treated PEEK is more favorable for osteoblast adhesion, spreading and proliferation, besides, early osteogenic differentiation indicated by the alkaline phosphatase activity is also up-regulated. Our study illustrates enhanced osteoblast responses to the PEEK surface modified by water PIII, which gives positive information in terms of future biomedical applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Study of boron detection limit using the in-air PIGE set-up at LAMFI-USP

    Energy Technology Data Exchange (ETDEWEB)

    Moro, M. V.; Silva, T. F.; Trindade, G. F.; Added, N.; Tabacniks, M. H. [Institute of Physics, University of São Paulo, SP (Brazil)

    2014-11-11

    The quantification of small amounts of boron in materials is of extreme importance in different areas of materials science. Boron is an important contaminant and also a silicon dopant in the semiconductor industry. Boron is also extensively used in nuclear power plants, either for neutron shielding or for safety control and boron is an essential nutrient for life, either vegetable or animal. The production of silicon solar cells, by refining metallurgical-grade silicon (MG-Si) requires the control and reduction of several silicon contaminants to very low concentration levels. Boron is one of the contaminants of solar-grade silicon (SG-Si) that must be controlled and quantified at sub-ppm levels. In the metallurgical purification, boron quantification is usually made by Inductive Coupled Plasma Mass Spectrometry, (ICP-MS) but the results need to be verified by an independent analytical method. In this work we present the results of the analysis of silicon samples by Particle Induced Gamma-Ray Emission (PIGE) aiming the quantification of low concentrations of boron. PIGE analysis was carried out using the in-air external beam line of the Laboratory for Materials Analysis with Ion Beams (LAMFI-USP) by the {sup 10}B(p,αγ({sup 7}Be nuclear reaction, and measuring the 429 keV γ-ray. The in-air PIGE measurements at LAMFI have a quantification limit of the order of 10{sup 16} at/cm{sup 2}.

  7. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  8. Data on the surface morphology of additively manufactured Ti-6Al-4V implants during processing by plasma electrolytic oxidation

    NARCIS (Netherlands)

    van Hengel, I.A.J.; Riool, Martijn; Fratila-Apachitei, E.L.; Witte-Bouma, Janneke; Farrell, Eric; Zadpoor, A.A.; Zaat, Sebastian A.J.; Apachitei, I.

    2017-01-01

    Additively manufactured Ti-6Al-4V implants were biofunctionalized using plasma electrolytic oxidation. At various time points during this process scanning electron microscopy imaging was performed to analyze the surface morphology (van Hengel et al., 2017) [1]. This data shows the changes in

  9. Reaction of PFCs in water stabilized plasma

    Czech Academy of Sciences Publication Activity Database

    Mastný, L.; Horníček, J.; Živný, Oldřich; Brožek, Vlastimil; Sember, Viktor

    2017-01-01

    Roč. 13, č. 1 (2017), s. 161 ISSN 1336-7242. [Zjazd chemikov /69./. 11.09.2017-15.09.2017, Horný Smokovec] R&D Projects: GA ČR(CZ) GC17-10246J Institutional support: RVO:61389021 Keywords : Water stabilized plasma * tetrafluoromethane * corrosion resistance * boron nitride * titanium nitride Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics)

  10. Boronated liposome development and evaluation

    International Nuclear Information System (INIS)

    Hawthorne, M.F.

    1995-01-01

    The boronated liposome development and evaluation effort consists of two separate tasks. The first is the development of new boron compounds and the synthesis of known boron species with BNCT potential. These compounds are then encapsulated within liposomes for the second task, biodistribution testing in tumor-bearing mice, which examines the potential for the liposomes and their contents to concentrate boron in cancerous tissues

  11. The effect of ion implantation on the oxidation resistance of vacuum plasma sprayed CoNiCrAlY coatings

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Jie [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Zhao Huayu; Zhou Xiaming [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Tao Shunyan, E-mail: shunyantao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Ding Chuanxian [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer We used ion implantation to improve the oxidation resistance of CoNiCrAlY coating. Black-Right-Pointing-Pointer The oxidation process of CoNiCrAlY coating at 1100 Degree-Sign C for 1000 h was studied. Black-Right-Pointing-Pointer The Nb ion implanted coating exhibited better oxidation resistance. Black-Right-Pointing-Pointer The influences of Nb and Al ion implantation into CoNiCrAlY coatings were evaluated. - Abstract: CoNiCrAlY coatings prepared by vacuum plasma spraying (VPS) were implanted with Nb and Al ions at a fluence of 10{sup 17} atoms/cm{sup 2}. The effects of ion implantation on the oxidation resistance of CoNiCrAlY coatings were investigated. The thermally grown oxide (TGO) formed on each specimen was characterized by XRD, SEM and EDS, respectively. The results showed that the oxidation process of CoNiCrAlY coatings could be divided into four stages and the key to obtaining good oxidation resistance was to remain high enough amount of Al and promote the lateral growth of TGO. The implantation of Nb resulted in the formation of continuous and dense Al{sub 2}O{sub 3} scale to improve the oxidation resistance. The Al implanted coating could form Al{sub 2}O{sub 3} scale at the initial stage, however, the scale was soon broken and TGO transformed to non-protective spinel.

  12. Plasma rotation and ion temperature measurements by collective Thomson scattering at ASDEX Upgrade

    DEFF Research Database (Denmark)

    Stejner Pedersen, Morten; Nielsen, Stefan Kragh; Jacobsen, Asger Schou

    2015-01-01

    We present the first deuterium ion temperature and rotation measurements by collective Thomson scattering at ASDEX Upgrade. The results are in general agreement with boron-based charge exchange recombination spectroscopy measurements and consistent with neoclassical simulations for the plasma sce...... scenario studied here. This demonstration opens the prospect for direct non-perturbative measurements of the properties of the main ion species in the plasma core with applications in plasma transport and confinement studies.......We present the first deuterium ion temperature and rotation measurements by collective Thomson scattering at ASDEX Upgrade. The results are in general agreement with boron-based charge exchange recombination spectroscopy measurements and consistent with neoclassical simulations for the plasma...

  13. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  14. Biodistribution, toxicity and efficacy of a boronated porphyrin for boron neutron capture therapy

    International Nuclear Information System (INIS)

    Miura, Michiko; Micca, P.; Fairchild, R.; Slatkin, D.; Gabel, D.

    1992-01-01

    Boron-containing porphyrins may be useful for boron neutron capture therapy (BNCT) in the treatment of brain tumors. Porphyrins have been shown to accumulate in tumor tissue and to be essentially excluded from normal brain. However, problems of toxicity may prevent some boron-containing porphyrins from being considered for BNCT. The authors have synthesized the boronated porphyrin 2,4-bis-vinyl-o-nidocarboranyl-deuteroporphyrin IX (VCDP). Preliminary studies in tumor-bearing mice showed considerable uptake of boron at a total dose of 150 μg/gbw with low mortality. They now report that a total dose to mice of ∼ 275 μg VCDP/gbw administered in multiple intraperitoneal (ip) injections can provide 40-50μg B per gram of tumor with acceptable toxicity. Toxicity experiments and a preliminary trial of BNCT in mice given such doses are also reported

  15. Nitrogen plasma immersion ion implantation for surface treatment and wear protection of austenitic stainless steel X6CrNiTi1810

    International Nuclear Information System (INIS)

    Blawert, C.; Mordike, B.L.

    1999-01-01

    Plasma immersion ion implantation is an effective surface treatment for stainless steels. The influence of treatment parameters (temperature, plasma density and pressure) on the sliding wear resistance are studied here. At moderate temperatures, nitrogen remains in solid solution without forming nitrides. This increases the surface hardness and the wear resistance without affecting the passivation of the steel. This may allow the use of such steels in applications where their poor wear resistance would normally prohibit their use. (orig.)

  16. A New Boron Analysis Method

    Energy Technology Data Exchange (ETDEWEB)

    Weitman, J; Daaverhoeg, N; Farvolden, S

    1970-07-01

    In connection with fast neutron (n, {alpha}) cross section measurements a novel boron analysis method has been developed. The boron concentration is inferred from the mass spectrometrically determined number of helium atoms produced in the thermal and epithermal B-10 (n, {alpha}) reaction. The relation between helium amount and boron concentration is given, including corrections for self shielding effects and background levels. Direct and diffusion losses of helium are calculated and losses due to gettering, adsorption and HF-ionization in the release stage are discussed. A series of boron determinations is described and the results are compared with those obtained by other methods, showing excellent agreement. The lower limit of boron concentration which can be measured varies with type of sample. In e.g. steel, concentrations below 10-5 % boron in samples of 0.1-1 gram may be determined.

  17. Two-channel neutron boron meter

    International Nuclear Information System (INIS)

    Chen Yongqing; Yin Guowei; Chai Songshan; Deng Zhaoping; Zhou Bin

    1993-09-01

    The two-channel neutron boron meter is a continuous on-line measuring device to measure boron concentration of primary cooling liquid of reactors. The neutron-leakage-compensation method is taken in the measuring mechanism. In the primary measuring configuration, the mini-boron-water annulus and two-channel and central calibration loop are adopted. The calibration ring and constant-temperature of boron-water can be remotely controlled by secondary instruments. With the microcomputer data processing system the boron concentration is automatically measured and calibrated in on-line mode. The meter has many advantages such as high accuracy, fast response, multi-applications, high reliability and convenience

  18. Biological evaluation of dopamine analogues containing phenylboronic acid group as new boron carriers

    International Nuclear Information System (INIS)

    Ito, Y.; Mizuno, T.; Yoshino, K.; Ban, H.S.; Nakamura, H.; Hiratsuka, J.; Ishikawa, A.; Ohki, H.

    2011-01-01

    As new BNCT reagents, we designed and synthesized dopamine analogues containing phenylboronic acid group, N-3,4-dihydroxyphenethyl-4-dihydroxyborylbenzamide (dopamine–PCBA) and N-[2-(3,4-dihydroxyphenetyl)ethyl]-3-(4-dihydroxyborylphenyl)promionamide (dopamine–CEBA). The efficacies of these compounds have not been investigated for biological samples. Therefore we have carried out experiments with cultured tumor cells and tumor-bearing mice, and evaluated possibility of these compounds as boron carriers. Dopamine–PCBA and dopamine–CEBA were synthesized by coupling between p-carboxyphenylboronic acid (PCBA) or 4-(2-carboxyethyl)benzeneboronic acid (CEBA) and 3,4-(dibenzyloxy)phenethylamine hydrochloride (DBPA-HCl) followed by catalytic hydrogenation using Pd catalyst. The effect of compounds on cell vitality was determined by MTT assay in various cells. In vivo biodistribution of compounds was determined in Balb/c and DDY mice in bearing implanted CT26 cells. These results have demonstrated that dopamine–CEBA was less toxic. - Highlights: ► Dopamine analogues containing phenylboronic acid are synthesized as BNCT reagents. ► Their cytotoxicity is almost lower than that of BSH. ► Boron uptake with dopamine–PCBA is larger than that of BSH. ► Dopamine analogs showed lesser boron accumulation property into spleen than BPA.

  19. Atomic and plasma-material interaction data for fusion. V. 3

    International Nuclear Information System (INIS)

    1992-01-01

    This volume of Atomic and Plasma-Material Interaction Data for Fusion is devoted to atomic collision processes of helium atoms and of beryllium and boron atoms and ions in fusion plasmas. Most of the articles included in this volume are extended versions of the contributions presented at the IAEA experts' meetings on Atomic Data for Helium Beam Fusion Alpha Particle Diagnostics and on the Atomic Database for Beryllium and Boron, held in June 1991 at the IAEA headquarters in Vienna, or have resulted from the cross-section data analyses and evaluations performed by the working groups of these meetings. Refs, figs and tabs

  20. Lattice vibrations in α-boron

    International Nuclear Information System (INIS)

    Richter, W.

    1976-01-01

    α-rhombohedral boron is the simplest boron modification, with only 12 atoms per unit cell. The boron atoms are arranged in B 12 icosahedra, which are centered at the lattice points of a primitive rhombohedral lattice. The icosahedra are slightly deformed, as the five-fold symmetry of the ideal icosahedron is incompatible with any crystal structure. The lattice dynamics of α-boron are discussed in terms of the model developed by Weber and Thorpe. (Auth.)

  1. Boron Fullerenes: A First-Principles Study

    Directory of Open Access Journals (Sweden)

    Gonzalez Szwacki Nevill

    2007-01-01

    Full Text Available AbstractA family of unusually stable boron cages was identified and examined using first-principles local-density functional method. The structure of the fullerenes is similar to that of the B12icosahedron and consists of six crossing double-rings. The energetically most stable fullerene is made up of 180 boron atoms. A connection between the fullerene family and its precursors, boron sheets, is made. We show that the most stable boron sheets are not necessarily precursors of very stable boron cages. Our finding is a step forward in the understanding of the structure of the recently produced boron nanotubes.

  2. Enhancement of surface properties of SAE 1020 by chromium plasma immersion recoil implantation

    International Nuclear Information System (INIS)

    Ueda, M.; Mello, C.B.; Beloto, A.F.; Rossi, J.O.; Reuther, H.

    2007-01-01

    SAE 1020 steel is commonly used as concrete reinforcement and small machine parts, but despite its good mechanical properties, as ductility, hardness and wear resistance, it is susceptible to severe corrosion. It is well known that chromium content above 12% in Fe alloys increases their corrosion resistance. In order to obtain this improvement, we studied the introduction of chromium atoms into the matrix of SAE 1020 steel by recoil implantation process using a plasma immersion ion implantation (PIII) system. Potentiodynamic scans showed that the presence of Cr film leads to a gain in the corrosion potential, from -650 mV to -400 mV. After PIII treatment, the corrosion potential increased further to -340 mV, but the corrosion current density presented no significant change. Vickers microhardness tests showed surface hardness increase of up to about 27% for the treated samples. Auger electron spectroscopy showed that, for a 30 nm film, Cr was introduced for about 20 nm into the steel matrix. Tribology tests, of pin-on-disk type, showed that friction coefficient of treated samples was reduced by about 50% and a change in wear mechanism, from adhesive to abrasive mode, occurred

  3. Boron-containing thioureas for neutron capture therapy

    International Nuclear Information System (INIS)

    Ketz, H.

    1993-01-01

    Melanin is produced in large amounts in malignant melanotic melanomas. Because thiourea compounds are covalently incorporated into melanin during its biosynthesis, the preparation of boronated thiourea-derivatives is of particular interest for the BNCT (Boron Neutron Capture Therapy). Accumulation of boron in tumors by means of boronated thiourea-derivatives may therefore provide levels of 10 B which are useful for BNCT. In BNCT the tumor containing the boron compound is irradiated with epithermal neutrons to generate He- and Li-nuclei from the 10 B which can then destroy the tumor cells. Because of the short ranges of these particles (approximately one cell diameter) the damage will be almost exclusively confined to the tumor leaving normal tissue unharmed. High accumulation of 2-mercapto-1-methylimidazole (methimazole) in melanotic melanomas has been described in the literature. Boronated derivatives of methimazole were therefore synthesized. Boron was in the form of a boronic acid, a nido-carbonate and a mercaptoundeca hydro-closo-dodecaborate (BSH). The synthesis of the boron cluster derivatives of methimazole (nido-carborate- and BSH-derivatives) with 9 resp. 12 boron atoms in the molecule were expected to achieve higher concentrations of boron in the tumor than in the case of the boronic acid compound with its single boron atom. (orig.) [de

  4. Evaluation of freestanding boron-doped diamond grown by chemical vapour deposition as substrates for vertical power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Issaoui, R.; Achard, J.; Tallaire, A.; Silva, F.; Gicquel, A. [LSPM-CNRS (formerly LIMHP), Universite Paris 13, 99, Avenue Jean-Baptiste Clement, 93430 Villetaneuse (France); Bisaro, R.; Servet, B.; Garry, G. [Thales Research and Technology France, Campus de Polytechnique, 1 Avenue Augustin Fresnel, F-91767 Palaiseau Cedex (France); Barjon, J. [GEMaC-CNRS, Universite de Versailles Saint Quentin Batiment Fermat, 45 Avenue des Etats-Unis, 78035 Versailles Cedex (France)

    2012-03-19

    In this study, 4 x 4 mm{sup 2} freestanding boron-doped diamond single crystals with thickness up to 260 {mu}m have been fabricated by plasma assisted chemical vapour deposition. The boron concentrations measured by secondary ion mass spectroscopy were 10{sup 18} to 10{sup 20} cm{sup -3} which is in a good agreement with the values calculated from Fourier transform infrared spectroscopy analysis, thus indicating that almost all incorporated boron is electrically active. The dependence of lattice parameters and crystal mosaicity on boron concentrations have also been extracted from high resolution x-ray diffraction experiments on (004) planes. The widths of x-ray rocking curves have globally shown the high quality of the material despite a substantial broadening of the peak, indicating a decrease of structural quality with increasing boron doping levels. Finally, the suitability of these crystals for the development of vertical power electronic devices has been confirmed by four-point probe measurements from which electrical resistivities as low as 0.26 {Omega} cm have been obtained.

  5. Surface analysis of titanium dental implants with different topographies

    Directory of Open Access Journals (Sweden)

    Silva M.H. Prado da

    2000-01-01

    Full Text Available Cylindrical dental implants made of commercially pure titanium were analysed in four different surface finishes: as-machined, Al2O3 blasted with Al2O3 particles, plasma-sprayed with titanium beads and electrolytically coated with hydroxyapatite. Scanning electron microscopy (SEM with Energy Dispersive X-ray Analysis (EDX revealed the topography of the surfaces and provided qualitative results of the chemical composition of the different implants. X-ray Photoelectron Spectroscopy (XPS was used to perform chemical analysis on the surface of the implants while Laser Scanning Confocal Microscopy (LSM produced topographic maps of the analysed surfaces. Optical Profilometry was used to quantitatively characterise the level of roughness of the surfaces. The implant that was plasma-sprayed and the hydroxyapatite coated implant showed the roughest surface, followed by the implant blasted with alumina and the as-machined implant. Some remnant contamination from the processes of blasting, coating and cleaning was detected by XPS.

  6. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  7. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  8. Activation of boron and phosphorus atoms implanted in polycrystalline silicon films at low temperatures

    International Nuclear Information System (INIS)

    Andoh, Nobuyuki; Sameshima, Toshiyuki; Andoh, Yasunori

    2005-01-01

    Phosphorus atoms implanted in laser crystallized polycrystalline silicon films were activated by a heat treatment in air at 260 deg. C for 1, 3 and 24 h. Analysis of ultraviolet reflectivity of phosphorus-doped silicon films implanted by ion doping method at 4 keV revealed that the thickness of the top disordered layer formed by ion bombardment was 6 nm. It is reduced to 4 nm by a 3 h heat treatment at 260 deg. C by recrystallization of disordered region. The electrical conductance of silicon films implanted increased to 1.7x10 5 S/sq after 3 h heat treatment

  9. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  10. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  11. Boron supplementation in broiler diets

    Directory of Open Access Journals (Sweden)

    EJ Fassani

    2004-12-01

    Full Text Available Boron supplementation in broiler feed is not a routine practice. However, some reports suggest a positive effect of boron on performance. This study assessed the effects of boron supplementation on broiler performance. Diets were based on maize and soybean meal, using boric acid P.A. as boron source. Six supplementation levels (0, 30, 60, 90, 120 and 150 ppm were evaluated using 1,440 one-day old males housed at a density of 30 chickens in each of 48 experimental plots of 3m². A completely randomized block design was used with 8 replicates. Feed intake, weight gain and feed conversion were assessed in the periods from 1 to 7 days, 1 to 21 days and 1 to 42 days of age, and viability was evaluated for the total 42-day rearing period. No performance variable was affected by boron supplementation (p>0.05 in the period from 1 to 7 days. The regression analysis indicated an ideal level of 37.4 ppm of boron for weight gain from 1 to 21 days (p0.05, although feed intake was reduced linearly with increased boron levels (p0.05. Ash and calcium percentages in the tibias of broilers and viability in the total rearing period were not affected by boron supplementation (p>0.05.

  12. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    Science.gov (United States)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  13. Destruction of C60 films by boron ion bombardment

    International Nuclear Information System (INIS)

    Ren Zhongmin; Du Yuancheng; Ying Zhifeng; Xiong Xiaxing; Li Fuming

    1995-01-01

    C 60 films are bombarded by 100 keV boron ion beams at doses ranging from 3x10 14 to 1x10 16 /cm 2 . The bombarded films are analyzed using Fourier transform infrared spectroscopy (FTIR), Raman spectra and X-ray diffraction (XRD) measurements. Most C 60 soccer-balls in the implanted region in the films are found to be broken at a dose over 1x10 15 /cm 2 , while at a dose less than 6x10 14 /cm 2 a few C 60 molecules remain undestroyed and maintain some crystal structure. The results of the analyses suggest a complete disintegration of a C 60 molecule under B + bombardment. ((orig.))

  14. Comparison of the Level of Boron Concentrations in Black Teas with Fruit Teas Available on the Polish Market

    Directory of Open Access Journals (Sweden)

    Anetta Zioła-Frankowska

    2014-01-01

    Full Text Available The determination of boron by inductively coupled plasma-atomic emission spectrometry has been carried in water-soluble and acid soluble (total content fractions of 36 samples of traditional black tea and fruit brew. The estimation of the impact of the type of tea on the concentration of boron in water-soluble and acid extracts and potential human health risk from the daily intake of boron was carried out in this study. The levels of boron differed significantly in black and fruit tea types. The mean total content of boron ranged from 8.31 to 18.40 mg/kg in black teas, from 12.85 to 15.13 mg/kg in black tea with fruit flavor, and from 12.09 to 22.77 mg/kg in fruit brews. The degree of extraction of boron in black tea ranged from 8% to 27% and for fruit tea from 17% to 69%. In addition, the values below 25% were of black teas with fruit flavors. The daily intake of B from tea infusions (three cups/day is still within the average daily intake except for some of the fruit brews which exceed acceptable regulations of the daily intake of total boron by humans. Hence, it may not produce any health risks for human consumption, if other sources of metal contaminated food are not taken at the same time.

  15. A review on the determination of isotope ratios of boron with mass spectrometry.

    Science.gov (United States)

    Aggarwal, Suresh Kumar; You, Chen-Feng

    2017-07-01

    The present review discusses different mass spectrometric techniques-viz, thermal ionization mass spectrometry (TIMS), inductively coupled plasma mass spectrometry (ICPMS), and secondary ion mass spectrometry (SIMS)-used to determine 11 B/ 10 B isotope ratio, and concentration of boron required for various applications in earth sciences, marine geochemistry, nuclear technology, environmental, and agriculture sciences, etc. The details of the techniques-P-TIMS, which uses Cs 2 BO 2 + , N-TIMS, which uses BO 2 - , and MC-ICPMS, which uses B + ions for bulk analysis or B - and B + ions for in situ micro-analysis with SIMS-are highlighted. The capabilities, advantages, limitations, and problems in each mass spectrometric technique are summarized. The results of international interlaboratory comparison experiments conducted at different times are summarized. The certified isotopic reference materials available for boron are also listed. Recent developments in laser ablation (LA) ICPMS and QQQ-ICPMS for solids analysis and MS/MS analysis, respectively, are included. The different aspects of sample preparation and analytical chemistry of boron are summarized. Finally, the future requirements of boron isotope ratios for future applications are also given. Presently, MC-ICPMS provides the best precision and accuracy (0.2-0.4‰) on isotope ratio measurements, whereas N-TIMS holds the potential to analyze smallest amount of boron, but has the issue of bias (+2‰ to 4‰) which needs further investigations. © 2016 Wiley Periodicals, Inc. Mass Spec Rev 36:499-519, 2017. © 2016 Wiley Periodicals, Inc.

  16. Nuclear magnetic resonance spectroscopy of boron compounds containing two-, three- and four-coordinate boron

    International Nuclear Information System (INIS)

    Wrackmeyer, B.

    1988-01-01

    The influence of boron chemistry on various areas of research in inorganic, organic and theoretical chemistry is well documented. In fact, many models presently employed to describe chemical bonding in general can be traced to attempts to understand bonding in boranes. The confirmation of many theoretical predictions in boron chemistry relies on direct and indirect structural information provided by various physical methods that - fortunately - became available almost at the same rate as that with which the interest in boron compounds was growing. Clearly, there has always been a strong link between the interest in synthesis and the application of physical methods. As in many other areas of chemistry, developments in boron chemistry have been greatly accelerated by NMR. 11 B NMR has been at the center of interest from the beginning, accompanied by routine 1 H NMR measurements, and occasional 14 N, 19 F and 31 P NMR work. In the last 12 years, we have seen an increasing number of 13 C NMR studies of boron compounds. The availability of multinuclear facilities for PFT NMR spectrometers stimulates the measurement of the NMR spectra of other nuclei, like 29 Si, 119 Sn or other metals, in order to obtain additional information. This paper is intended to serve several purposes: to update previous reviews on 11 B NMR of boron compounds, to demonstrate some applications of multinuclear NMR to boron chemistry; to attempt to incorporate new NMR parameters into the known data set; and to summarize the experimental facts required for obtaining the maximum information from NMR studies on boron compounds

  17. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  18. Corrosion behavior of AZ91 magnesium alloy treated by plasma immersion ion implantation and deposition in artificial physiological fluids

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Chu, Paul K.

    2007-01-01

    Due to the good biocompatibility and tensile yield strength, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to investigate the corrosion behavior of surgical AZ91 magnesium alloy treated by aluminum, zirconium, and titanium plasma immersion ion implantation and deposition (PIII and D) at 10 kV in artificial physiological fluids. The surface layers show a characteristic intermixed layer and the outer surface are mainly composed of aluminum, zirconium or titanium oxide with a lesser amount of magnesium oxide. Comparing the three sets of samples, aluminum PIII and D significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP

  19. Plasma immersion ion implantation of polyurethane shape memory polymer: Surface properties and protein immobilization

    Science.gov (United States)

    Cheng, Xinying; Kondyurin, Alexey; Bao, Shisan; Bilek, Marcela M. M.; Ye, Lin

    2017-09-01

    Polyurethane-type shape memory polymers (SMPU) are promising biomedical implant materials due to their ability to recover to a predetermined shape from a temporary shape induced by thermal activation close to human body temperature and their advantageous mechanical properties including large recovery strains and low recovery stresses. Plasma Immersion Ion Implantation (PIII) is a surface modification process using energetic ions that generates radicals in polymer surfaces leading to carbonisation and oxidation and the ability to covalently immobilise proteins without the need for wet chemistry. Here we show that PIII treatment of SMPU significantly enhances its bioactivity making SMPU suitable for applications in permanent implantable biomedical devices. Scanning Electron Microscopy (SEM), contact angle measurements, surface energy measurements, attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to characterise the PIII modified surface, including its after treatment aging kinetics and its capability to covalently immobilise protein directly from solution. The results show a substantial improvement in wettability and dramatic changes of surface chemical composition dependent on treatment duration, due to the generation of radicals and subsequent oxidation. The SMPU surface, PIII treated for 200s, achieved a saturated level of covalently immobilized protein indicating that a full monolayer coverage was achieved. We conclude that PIII is a promising and efficient surface modification method to enhance the biocompatibility of SMPU for use in medical applications that demand bioactivity for tissue integration and stability in vivo.

  20. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  1. Boron-Proton Nuclear-Fusion Enhancement Induced in Boron-Doped Silicon Targets by Low-Contrast Pulsed Laser

    Directory of Open Access Journals (Sweden)

    A. Picciotto

    2014-08-01

    Full Text Available We show that a spatially well-defined layer of boron dopants in a hydrogen-enriched silicon target allows the production of a high yield of alpha particles of around 10^{9} per steradian using a nanosecond, low-contrast laser pulse with a nominal intensity of approximately 3×10^{16}  W cm^{−2}. This result can be ascribed to the nature of the long laser-pulse interaction with the target and with the expanding plasma, as well as to the optimal target geometry and composition. The possibility of an impact on future applications such as nuclear fusion without production of neutron-induced radioactivity and compact ion accelerators is anticipated.

  2. Corrosion behaviour of layers obtained by nitrogen implantation into boron films deposited onto iron substrates

    International Nuclear Information System (INIS)

    Marchetti, F.; Fedrizzi, L.; Giacomozzi, F.; Guzman, L.; Borgese, A.

    1985-01-01

    The electrochemical behaviour and corrosion resistance of boron films deposited onto Armco iron after bombardment with 100 keV N + ions were determined in various test solutions. The changes in the electrochemical parameters give evidence of lower anodic dissolution rates for the treated samples. Scanning electron microscopy and Auger analysis of the corroded surfaces confirm the presence of protective layers. (Auth.)

  3. Boron-Loaded Silicone Rubber Scintillators

    Energy Technology Data Exchange (ETDEWEB)

    Bell, Z.W.; Maya, L.; Brown, G.M.; Sloop, F.V.Jr

    2003-05-12

    Silicone rubber received attention as an alternative to polyvinyltoluene in applications in which the scintillator is exposed to high doses because of the increased resistance of the rubber to the formation of blue-absorbing color centers. Work by Bowen, et al., and Harmon, et al., demonstrated their properties under gamma/x-ray irradiation, and Bell, et al. have shown their response to thermal neutrons. This last work, however, provided an example of a silicone in which both the boron and the scintillator were contained in the rubber as solutes, a formulation which led to the precipitation of solids and sublimation of the boron component. In the present work we describe a scintillator in which the boron is chemically bonded to the siloxane and so avoids the problem of precipitation and loss of boron to sublimation. Material containing up to 18% boron, by weight, was prepared, mounted on photomultipliers, and exposed to both neutron and gamma fluxes. Pulse height spectra showing the neutron and photon response were obtained, and although the light output was found to be much poorer than from samples in which boron was dissolved, the higher boron concentrations enabled essentially 100% neutron absorption in only a few millimeters' thickness of rubber.

  4. The development and preliminary testing of new boronated agents for BNCT based on PET derived data

    International Nuclear Information System (INIS)

    Nichols, T.; Kabalka, G.; Kahn, M.; Das, B.; Das, S.; Bao, W.; Miller, L.

    2000-01-01

    Positron emission tomography (PET) has been utilized at the University of Tennessee for evaluating a variety of tumors including glioblastoma multiforme (GBM) and metastatic malignant melanoma (MM). Studies have been carried out utilizing fluorine-18 labeled p-boronophenylalanine ( 18 F-BPA) and other unnatural amino acids. A comparison of PET studies obtained using 18 F-BPA and a carbon-11 labeled cyclobutane-based amino acid ( 11 C-ACBC) revealed that 11 C-ACBC localized effectively in GBM tumors. Based on these results, we have prepared a series of boronated, aminocyclobutanecarboxylic acids. Preliminary uptake and cell toxicity studies have been carried out and show that many of the agents are not toxic. In one instance, a biodistribution study carried out using nude mice implanted with a human glioblastoma tumor, the tumor to normal tissue uptake of boron exceeds that observed for BPA. (author)

  5. Space-resolved XUV spectra of CVI and BV lines from a 10 ps KrF laser-produced plasma

    International Nuclear Information System (INIS)

    Iglesias, E.J.; Griem, H.R.; Elton, R.C.; Scott, H.

    1999-01-01

    We produced a plasma using highly focused ∼50 mJ, 10 ps pulses from a KrF laser on graphite and boron-carbide targets. We measured space-resolved (along the plasma axis) line profiles of Hydrogen-like and Helium-like Carbon and Boron resonance lines, using a crossed-slit, 1 m grazing-incidence spectrometer, with a spatial resolution ∼50 μm. Synthetic spectra generated with the atomic postprocessor CRETIN provided preliminary estimates of the plasma electron temperature and density. copyright 1999 American Institute of Physics

  6. Implanted-tritium permeation experiments

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Holland, D.F.; Casper, L.A.; Hsu, P.Y.; Miller, L.G.; Schmunk, R.E.; Watts, K.D.; Wilson, C.J.; Kershner, C.J.; Rogers, M.L.

    1982-04-01

    In fusion reactors, charge exchange neutral atoms of tritium coming from the plasma will be implanted into the first wall and other interior structures. EG and G Idaho is conducting two experiments to determine the magnitude of permeation into the coolant streams and the retention of tritium in those structures. One experiment uses an ion gun to implant deuterium. The ion gun will permit measurements to be made for a variety of implantation energies and fluxes. The second experiment utilizes a fission reactor to generate a tritium implantation flux by the 3 He(n,p) 3 H reaction. This experiment will simulate the fusion reactor radiation environment. We also plan to verify a supporting analytical code development program, in progress, by these experiments

  7. Electrical Characterization of Irradiated Semiconducting Amorphous Hydrogenated Boron Carbide

    Science.gov (United States)

    Peterson, George Glenn

    Semiconducting amorphous partially dehydrogenated boron carbide has been explored as a neutron voltaic for operation in radiation harsh environments, such as on deep space satellites/probes. A neutron voltaic device could also be used as a solid state neutron radiation detector to provide immediate alerts for radiation workers/students, as opposed to the passive dosimetry badges utilized today. Understanding how the irradiation environment effects the electrical properties of semiconducting amorphous partially dehydrogenated boron carbide is important to predicting the stability of these devices in operation. p-n heterojunction diodes were formed from the synthesis of semiconducting amorphous partially dehydrogenated boron carbide on silicon substrates through the use of plasma enhanced chemical vapor deposition (PECVD). Many forms of structural and electrical measurements and analysis have been performed on the p-n heterojunction devices as a function of both He+ ion and neutron irradiation including: transmission electron microscopy (TEM), selected area electron diffraction (SAED), current versus voltage I(V), capacitance versus voltage C(V), conductance versus frequency G(f), and charge carrier lifetime (tau). In stark contrast to nearly all other electronic devices, the electrical performance of these p-n heterojunction diodes improved with irradiation. This is most likely the result of bond defect passivation and resolution of degraded icosahedral based carborane structures (icosahedral molecules missing a B, C, or H atom(s)).

  8. Investigation of boron extraction process with aid magnesium hydroxide from mother liquor of boron production

    International Nuclear Information System (INIS)

    Balapanova, B.S.; Zhajmina, R.E.; Serazetdinov, D.Z.

    1988-01-01

    Conditions of boron - magnesium concentrate preparation from mother liquor by coprecipitation of borate - ions by magnesium hydroxide are investigated. It is shown that boron - magnesium concentrate and products of its heat treatment at 100 - 500 deg C in water are dissolved partially, and in ammonium citrate - practically completely. Suppositions are made on the composition of the product prepared, on the the structure of its crystal lattice and the processes taking place in it during heat treatment. The conclusion is made on the perspectiveness of processing of mother liquor of boron industry for boron - magnesium concentrate

  9. Electrical enhancement of direct methanol fuel cells by metal-plasma ion implantation Pt-Ru/C multilayer catalysts.

    Science.gov (United States)

    Weng, Ko-Wei; Chen, Yung-Lin; Chen, Ya-Chi; Lin, Tai-Nan

    2009-02-01

    Direct methanol fuel cells (DMFC) have been widely studied owing to their simple cell configuration, high volume energy density, short start-up time, high operational reliability and other favorable characteristics. However, major limitations include high production cost, poisoning of the catalyst and methanol crossover. This study adopts a simple technique for preparing Pt-Ru/C multilayer catalysts, including magnetron sputtering (MS) and metal-plasma ion implantation (MPII). The Pt catalysts were sputtered onto the gas diffusion layer (GDL), followed by the implantation of Ru catalysts using MPII (at an accelerating voltage of 20 kV and an implantation dose of 1 x 10(16) ions/cm2). Pt-Ru is repeatedly processed to prepare Pt-Ru/C multilayer catalysts. The catalyst film structure and microstructure were analyzed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electronic microscopy (SEM), respectively. The cell performance was tested using a potential stat/galvano-stat. The results reveal that the membrane electrode assembly (MEA) of four multilayer structures enhances the cell performance of DMFC. The measured power density is 2.2 mW/cm2 at a methanol concentration of 2 M, with an OCV of 0.493 V.

  10. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  11. The effect of supplementation of calcium, vitamin D, boron, and increased fluoride intake on bone mechanical properties and metabolic hormones in rat.

    Science.gov (United States)

    Ghanizadeh, G; Babaei, M; Naghii, Mohammad Reza; Mofid, M; Torkaman, G; Hedayati, M

    2014-04-01

    Evidence indicates that optimal nutrition plays a role in bone formation and maintenance. Besides major components of mineralization such as calcium, phosphorus, and vitamin D, other nutrients like boron and fluoride have beneficial role, too. In this study, 34 male Wistar rats were divided into five groups: control diet, fluoride, fluoride + boron, fluoride + calcium + vitamin D, and fluoride + boron + calcium + vitamin D. Boron equal to 1.23 mg, calcium and vitamin D equal to 210 mg + 55 IU and fluoride equal to 0.7 mg/rat/day was added to their drinking water for 8 weeks. Plasma blood samples and bones were collected. Findings are evidence that fluoride + boron intake revealed significant positive effects on bone mechanical properties and bone metabolic hormones. These findings suggest that combined intake of these two elements has beneficial effects on bone stiffness and breaking strength comparing to even calcium + vitamin D supplementation. This evidence dealing with health problems related to bone and skeletal system in humans should justify further investigation of the role of boron and fluoride with other elements in relation to bone.

  12. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  13. Thick boron carbide coatings for protection of tokamak first wall and divertor

    International Nuclear Information System (INIS)

    Buzhinskij, O.I.; Semenets, Yu.M.

    1999-01-01

    A review of characteristics of various types of boron carbide coatings considered as candidate materials for protection of tokamak inner surfaces against high energy heat fluxes is presented. Such coatings are produced by various methods: chemical vapor deposition by means of chloride and fluoride techniques, gas conversion, plasma spray and reaction-sintering. Contrary to pure carbon materials, B 4 C has much lower chemical and high-temperature sputtering, is capable to oxygen gettering and lower hydrogen recycling. In contrast to thin boronization films, the thick coatings can resist high heat fluxes such as in tokamak divertors. Comparative analysis shows that coatings produced by the diffusion methods, such as fluoride CVD and gas conversion, are more resistent to heat loads, and one of the most promising candidates are the fluoride CVD coatings. (orig.)

  14. An empirical model for parameters affecting energy consumption in boron removal from boron-containing wastewaters by electrocoagulation.

    Science.gov (United States)

    Yilmaz, A Erdem; Boncukcuoğlu, Recep; Kocakerim, M Muhtar

    2007-06-01

    In this study, it was investigated parameters affecting energy consumption in boron removal from boron containing wastewaters prepared synthetically, via electrocoagulation method. The solution pH, initial boron concentration, dose of supporting electrolyte, current density and temperature of solution were selected as experimental parameters affecting energy consumption. The obtained experimental results showed that boron removal efficiency reached up to 99% under optimum conditions, in which solution pH was 8.0, current density 6.0 mA/cm(2), initial boron concentration 100mg/L and solution temperature 293 K. The current density was an important parameter affecting energy consumption too. High current density applied to electrocoagulation cell increased energy consumption. Increasing solution temperature caused to decrease energy consumption that high temperature decreased potential applied under constant current density. That increasing initial boron concentration and dose of supporting electrolyte caused to increase specific conductivity of solution decreased energy consumption. As a result, it was seen that energy consumption for boron removal via electrocoagulation method could be minimized at optimum conditions. An empirical model was predicted by statistically. Experimentally obtained values were fitted with values predicted from empirical model being as following; [formula in text]. Unfortunately, the conditions obtained for optimum boron removal were not the conditions obtained for minimum energy consumption. It was determined that support electrolyte must be used for increase boron removal and decrease electrical energy consumption.

  15. Use of calcium and boron in the production of grain and sunflower silage

    Directory of Open Access Journals (Sweden)

    Thomas Newton Martin

    2014-09-01

    Full Text Available Boron and calcium are related to many physiological processes of the plant, which are affected by its deficiency, such as sugar transport, synthesis and cell wall structure, carbohydrate metabolism and plasma membrane integrity. The objective of this study was to evaluate the efficiency of boron and calcium application via leaf and soil on the yield components in silage quality and content of macronutrients in leaves of sunflower. The experiment was conducted at Universidade Tecnológica Federal do Paraná – UTFPR, Campus Dois Vizinhos, from September 2008 to April 2009, in a randomized block design with four replications, using the genotype Agrobel - La Tijereta. Morphological characteristics of the plants, the yield components (grain yield and weight of hundred grains, silage quality and content of nutrient uptake were evaluated. The sunflower crop did not respond to application of boron and calcium, to effects on yield components in silage quality and content of nutrients absorbed by plants. However, it was found increases in the partition dry grain and plant height.

  16. Characterization of boron tolerant bacteria isolated from a fly ash dumping site for bacterial boron remediation.

    Science.gov (United States)

    Edward Raja, Chellaiah; Omine, Kiyoshi

    2013-08-01

    Boron is an essential micronutrient for plants, but can above certain concentrations be toxic to living organisms. A major environmental concern is the removal of boron from contaminated water and fly ash. For this purpose, the samples were collected from a fly ash dumping site, Nagasaki prefecture, Japan. The chemical characteristics and heavy metal concentration of the samples were performed by X-ray fluorescent analysis and leaching test. For bacterial analysis, samples were collected in sterile plastic sheets and isolation was carried out by serial dilution method. The boron tolerant isolates that showed values of maximum inhibitory concentration toward boron ranging from 100 to 260 mM level were screened. Based on 16S rRNA sequencing and phylogenetic analysis, the isolates were most closely related to the genera Bacillus, Lysinibacillus, Microbacterium and Ralstonia. The boron tolerance of these strains was also associated with resistant to several heavy metals, such as As (III), Cr (VI), Cd, Cu, Pb, Ni, Se (III) and Zn. Indeed, these strains were arsenic oxidizing bacteria confirmed by silver nitrate test. These strains exhibited their salt resistances ranging from 4 to 15 % were determined in Trypticase soy agar medium. The boron tolerant strains were capable of removing 0.1-2.0 and 2.7-3.7 mg l(-1) boron from the medium and fly ash at 168 h. Thus, we have successfully identified the boron tolerant and removal bacteria from a fly ash dumping site for boron remediation.

  17. Pulverization of boron element and proportions of boron carbide in boron; Broyage de bore element et dosage de carbure de bore dans le bore

    Energy Technology Data Exchange (ETDEWEB)

    Lang, F M; Finck, C [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1956-07-01

    It is possible to reduce boron element into fine powder by means of a mortar and pestle made of sintered boron carbide, the ratio of boron carbide introduced being less than one per cent. Boron element at our disposal is made of sharp edged, dark brown, little grains of average size greater than 5 {mu}. Grain sizes smaller than 1{mu} are required for applying thin layers of such boron. (author) [French] Il est possible de pulveriser finement du bore element au moyen de mortier et pilon en carbure de bore fritte, le taux de carbure de bore introduit etant inferieur a 1 pour cent. Le bore element dont nous disposons est constitue de petits grains brun fonce, a aretes vives, de dimension moyenne superieure a 5 {mu}. L'application de ce bore en couches minces demande des grains de dimensions inferieures a 1 {mu}. (aute0008.

  18. Boron uptake measurements in a rat model for Boron Neutron Capture Therapy of lung tumours

    Energy Technology Data Exchange (ETDEWEB)

    Bortolussi, S., E-mail: silva.bortolussi@pv.infn.i [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); National Institute of Nuclear Physics (INFN), Section of Pavia, via Bassi 6, 27100 Pavia (Italy); Bakeine, J.G. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); Ballarini, F. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); National Institute of Nuclear Physics (INFN), Section of Pavia, via Bassi 6, 27100 Pavia (Italy); Bruschi, P. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); Gadan, M.A. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); Comision Nacional de Energia Atomica, Buenos Aires (Argentina); Protti, N.; Stella, S. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); National Institute of Nuclear Physics (INFN), Section of Pavia, via Bassi 6, 27100 Pavia (Italy); Clerici, A.; Ferrari, C.; Cansolino, L.; Zonta, C.; Zonta, A. [Department of Surgery, University of Pavia, via Ferrata 27100 Pavia (Italy); Nano, R. [Department of Animal Biology, University of Pavia, via Ferrata 27100 Pavia (Italy); Altieri, S. [Department of Nuclear and Theoretical Physics, University of Pavia, via Bassi 6, 27100 Pavia (Italy); National Institute of Nuclear Physics (INFN), Section of Pavia, via Bassi 6, 27100 Pavia (Italy)

    2011-02-15

    Lung carcinoma is the leading cause of cancer mortality in the Western countries. Despite the introduction over the last few years of new therapeutic agents, survival from lung cancer has shown no discernible improvement in the last 20 years. For these reasons any efforts to find and validate new effective therapeutic procedures for lung cancer are very timely. The selective boron uptake in the tumour with respect to healthy tissues makes Boron Neutron Capture Therapy a potentially advantageous option in the treatment of tumours that affect whole vital organs, and that are surgically inoperable. To study the possibility of applying BNCT to the treatment of diffuse pulmonary tumours, an animal model for boron uptake measurements in lung metastases was developed. Both healthy and tumour-bearing rats were infused with Boronophenylalanine (BPA) and sacrificed at different time intervals after drug administration. The lungs were extracted, and prepared for boron analysis by neutron autoradiography and {alpha}-spectroscopy. The boron concentrations in tumour and normal lung were plotted as a function of the time elapsed after BPA administration. The concentration in tumour is almost constant within the error bars for all the time intervals of the experiment (1-8 h), while the curve in normal lung decreases after 4 h from BPA infusion. At 4 h, the ratio of boron concentration in tumour to boron concentration in healthy lung is higher than 3, and it stays above this level up to 8 h. Also the images of boron distribution in the samples, obtained by neutron autoradiography, show a selective absorption in the metastases.

  19. Evaluation of plasma disruption simulating short pulse laser irradiation experiments on boronated graphites and CFCs [carbon fibre composites

    International Nuclear Information System (INIS)

    Stad, R.C.L. van der; Klippel, H.T.; Kraaij, G.J.

    1992-12-01

    New experimental and numerical results from disruption heat flux simulations in the millisecond range with laser beams are discussed. For a number of graphites, boronated graphites and carbon fibre composites, the effective enthalpy of ablation is determined as 30 ± 3 MJ/kg, using laser pulses of about -.3 ms. The numerical results predict the experimental results rather well. No effect of boron doping on the ablation enthalpy is found. (author). 9 refs., 4 figs., 1 tab

  20. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  1. Effect of boron implantation on the electrical and photoelectrical properties of e-beam deposited Ag-In-Se thin films

    International Nuclear Information System (INIS)

    Colakoglu, T; Parlak, M; Kulakci, M; Turan, R

    2008-01-01

    In this study, e-beam evaporated Ag-In-Se (AIS) thin films were doped by the implantation of boron (B) ions at 75 keV with a dose of 1 x 10 15 ions cm -2 and a subsequent annealing process was applied to the doped AIS films at different temperatures under nitrogen atmosphere. The effects of implantation and annealing on the electrical and photoelectrical properties of AIS thin films were investigated through temperature dependent conductivity, spectral photoresponse and photoconductivity measurements under different illumination intensities. The electrical conductivity measurements showed that the room temperature conductivity values were determined as 2.4 x 10 -7 (Ω cm) -1 , 1.7 x 10 -6 (Ω cm) -1 and 8.9 x 10 -5 (Ω cm) -1 for B-doped films (B0), B-doped and annealed films at 200 deg. C (B2) and at 300 deg. C (B3), respectively. It was observed that the electrical conductivity improved as the annealing temperature increased up to 400 deg. C at which the AIS thin films showed degenerate semiconductor behaviour. The spectral distribution of the photoresponse curves indicated three local maxima located at 1.63, 1.79 and 2.01 eV for B0 type films, 1.65, 1.87 and 2.07 eV for B2 type films and 1.73, 2.02 and 2.32 eV for B3 type films at room temperature. These three different energy values were ascribed to the splitting of the valence band due to spin-orbit interaction and crystalline lattice field effects. The first energy values of each set were determined to be energy band gaps of the AIS thin films. The photoconductivity measurements as a function of temperature and illumination intensity were performed on the B-doped AIS thin films in order to determine the nature of recombination processes in the films. The photoconductivity values were found to be thermally quenched for all types of thin films and the variation of photocurrent as a function of illumination intensity showed that the dependence of photocurrent on the intensity was supralinear. The two

  2. Laser-induced photochemical enrichment of boron isotopes

    International Nuclear Information System (INIS)

    Freund, S.M.; Ritter, J.J.

    1976-01-01

    A boron trichloride starting material containing both boron-10 isotopes and boron-11 isotopes is selectively enriched in one or the other of these isotopes by a laser-induced photochemical method involving the reaction of laser-excited boron trichloride with either H 2 S or D 2 S. The method is carried out by subjecting a low pressure gaseous mixture of boron trichloride starting material and the sulfide to infrared radiation from a carbon dioxide TE laser. The wave length of the radiation is selected so as to selectively excite one or the other of boron-10 BCl 3 molecules or boron-11 BCl 3 molecules, thereby making them preferentially more reactive with the sulfide. The laser-induced reaction produces both a boron-containing solid phase reaction product and a gaseous phase containing mostly unreacted BCl 3 and small amounts of sulfhydroboranes. Pure boron trichloride selectively enriched in one of the isotopes is recovered as the primary product of the method from the gaseous phase by a multi-step recovery procedure. Pure boron trichloride enriched in the other isotope is recovered as a secondary product of the method by the subsequent chlorination of the solid phase reaction product followed by separation of BCl 3 from the mixture of gaseous products resulting from the chlorination

  3. Biocompatibility of titanium based implants treated with plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Sader, R.; Thorwarth, G.; Krause, D.; Zeilhofer, H.-F.; Horch, H.H.; Rauschenbach, B.

    2003-01-01

    In this work, the biocompatibility of titanium before and after oxygen PIII is investigated using a rat animal model. Pure titanium (grade 2) and pre-anodized titanium were implanted with oxygen at elevated temperatures between 200 and 550 deg. C and subsequently analyzed for oxygen content and phase composition. No deterioration of the tensile strength and the yield strength was detected after the implantation. The mechanical stability of the osseointegration was determined with a pull-out test, where an increased shear strength was measured after PIII treatment. Only a slight improvement of the bone contact area, from an already excellent starting value, was observed for pure titanium. In contrast, a significant improvement was found for anodized titanium after PIII treatment. This astonishing difference can be explained with the surface topography and the phase composition of the anodized titanium samples

  4. Boron neutron capture therapy. Synthesis of boronated amines- and DNA intercalating agents for potential use in cancer therapy

    International Nuclear Information System (INIS)

    Ghaneolhosseini, H.

    1998-01-01

    Boron Neutron Capture Therapy is a binary cancer treatment modality, involving the delivery of a suitable boron compound to tumour cells followed by irradiation of the tumour by thermal neutrons. Boronated agents can selectively be delivered to tumour cells either directly with tumour-specific boron compounds, or by use of targeting strategies. However, the efficacy of this method would increase if the boron agents are localised in the cell nucleus rather than in the cell cytoplasm when neutron irradiation takes place. With these considerations in mind, some boronated DNA intercalating/interacting agents such as phenanthridine- acridine- spermidine- and naphthalimide derivatives were synthesised. Aminoalkyl-o-carboranes were synthesised in order to be used both for coupling to macromolecules and also for halogenation of their corresponding nido-derivatives. The amino groups were introduced using the Gabriel reagent N, N-dibenzyl iminodicarboxylate to provide 1-(aminomethyl)- and 1-(2-aminoethyl)-o-carboranes. The first attempt to achieve the possibility to accumulate a higher concentration of boron atoms in the cell nucleus was to synthesize carboranyl phenanthridinium analogues by reacting a p- or o-carboranyl moiety with phenanthridine, a chromophore with a planar aromatic ring system as DNA intercalator. Boronated acridine-spermidine, boronated diacridine, and boronated dispermidine were obtained in order to increase water solubility to avoid the interaction of these agents with non-DNA sides of the cell, especially membranes; and to enhance the feasibility of a higher DNA-binding constant and also decrease the DNA-drug dissociation rate. Finally, the synthesis of a boronated naphthalimide derivative was carried out by nucleophilic reaction of a primary aminoalkyl-p-carborane with naphthalic anhydride. Biological evaluations on DNA-binding, toxicity, and cellular binding with carboranyl phenanthridinium analogues, boronated acridine- and spermidine are described

  5. Hot flow behavior of boron microalloyed steels

    International Nuclear Information System (INIS)

    Lopez-Chipres, E.; Mejia, I.; Maldonado, C.; Bedolla-Jacuinde, A.; El-Wahabi, M.; Cabrera, J.M.

    2008-01-01

    This research work studies the effect of boron contents on the hot flow behavior of boron microalloyed steels. For this purpose, uniaxial hot-compression tests were carried out in a low carbon steel microalloyed with four different amounts of boron over a wide range of temperatures (950, 1000, 1050 and 1100 deg. C) and constant true strain rates (10 -3 , 10 -2 and 10 -1 s -1 ). Experimental results revealed that both peak stress and peak strain tend to decrease as boron content increases, which indicates that boron additions have a solid solution softening effect. Likewise, the flow curves show a delaying effect on the kinetics of dynamic recrystallization (DRX) when increasing boron content. Deformed microstructures show a finer austenitic grain size in the steel with higher boron content (grain refinement effect). Results are discussed in terms of boron segregation towards austenitic grain boundaries during plastic deformation, which increases the movement of dislocations, enhances the grain boundary cohesion and modificates the grain boundary structure

  6. Detection of boron in simulated corrosion products by using a laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Song, K.; Yeon, J-W.; Jung, S-H.; Hwang, J.; Jung, E-C.

    2010-01-01

    In nuclear power plants, many methods for detection of coolant leakage have been developed and employed for the safe operation. However, these methods have many limitations for analyzing and dealing with the corrosion products due to the high radioactivity. LIBS (Laser-induced breakdown spectroscopy) offer a remote and on-site elemental analysis including the boron in the corrosion products with no sample preparation. In this study, we investigated the feasibility of detecting boron and analyzing an elemental composition of boron-containing iron oxides with the LIBS, in order to develop a coolant leakage detection system. First, we prepared five different boron-containing iron oxides and the element ratios were determined by using ICP-AES (inductive coupled plasma-atomic emission spectrometer). After this, the laser induced emission spectra of these iron oxides were obtained by using a 266 nm Nd:YAG laser. The B/Fe ratios of the oxides were determined by comparing the intensities of the B emission peak at 249.844 nm with those of the Fe peak at 250.217 nm as an internal reference. It was confirmed that the B contents in the oxides could be analyzed over 0.1 wt% by the laser induced breakdown spectroscopic technique. (author)

  7. Boron-based nanostructures: Synthesis, functionalization, and characterization

    Science.gov (United States)

    Bedasso, Eyrusalam Kifyalew

    Boron-based nanostructures have not been explored in detail; however, these structures have the potential to revolutionize many fields including electronics and biomedicine. The research discussed in this dissertation focuses on synthesis, functionalization, and characterization of boron-based zero-dimensional nanostructures (core/shell and nanoparticles) and one-dimensional nanostructures (nanorods). The first project investigates the synthesis and functionalization of boron-based core/shell nanoparticles. Two boron-containing core/shell nanoparticles, namely boron/iron oxide and boron/silica, were synthesized. Initially, boron nanoparticles with a diameter between 10-100 nm were prepared by decomposition of nido-decaborane (B10H14) followed by formation of a core/shell structure. The core/shell structures were prepared using the appropriate precursor, iron source and silica source, for the shell in the presence of boron nanoparticles. The formation of core/shell nanostructures was confirmed using high resolution TEM. Then, the core/shell nanoparticles underwent a surface modification. Boron/iron oxide core/shell nanoparticles were functionalized with oleic acid, citric acid, amine-terminated polyethylene glycol, folic acid, and dopamine, and boron/silica core/shell nanoparticles were modified with 3-(amino propyl) triethoxy silane, 3-(2-aminoethyleamino)propyltrimethoxysilane), citric acid, folic acid, amine-terminated polyethylene glycol, and O-(2-Carboxyethyl)polyethylene glycol. A UV-Vis and ATR-FTIR analysis established the success of surface modification. The cytotoxicity of water-soluble core/shell nanoparticles was studied in triple negative breast cancer cell line MDA-MB-231 and the result showed the compounds are not toxic. The second project highlights optimization of reaction conditions for the synthesis of boron nanorods. This synthesis, done via reduction of boron oxide with molten lithium, was studied to produce boron nanorods without any

  8. Development of a radiochemical procedure for certification of phosphorus implantation dose in silicon

    International Nuclear Information System (INIS)

    Paul, R.L.; Simons, D.S.

    2002-01-01

    The U.S. semiconductor industry relies heavily on secondary ion mass spectrometry (SIMS) for characterization of the depth distribution of dopants such as boron, arsenic, and phosphorus in silicon. To assist the industry in achieving high accuracy measurements, two Standard Reference Materials (SRMs) have been developed by NIST as SIMS calibration standards: SRM 2137 (Boron Implant in Silicon), certified by neutron depth profiling, and SRM 2134 (Arsenic Implant in Silicon), certified by instrumental neutron activation analysis. The industry is still in need of a phosphorus standard. Plans are currently underway to develop a phosphorus implant in silicon SRM, to be certified by radiochemical neutron activation analysis (RNAA). RNAA was chosen because other techniques lack the necessary sensitivity, chemical specificity and matrix independence to measure phosphorus at implantation levels. In order to assess the sensitivity, accuracy, and precision of RNAA for this work, preliminary measurements were carried out on six pieces of a phosphorus-implanted silicon wafer that was previously used in a round-robin study of SIMS measurement repeatability. Standards were prepared by depositing micro litre amounts of a standardized phosphorus solution on aluminum foil. A non-implanted silicon wafer was analysed as a blank. Samples were irradiated at a neutron fluency rate of 1 x 10 14 cm -2 s -1 to convert 31 P to 32 P, then mixed with milligram amounts of phosphorus carrier and dissolved in a mixture of nitric and hydrofluoric acids. Phosphorus was separated from the matrix by precipitation first as ammonium phosphomolybdate then as magnesium ammonium phosphate. The yield (fraction of recovered carrier) was determined gravimetrically as Mg(NH 4 )PO 4 .6H 2 O. 32 P was measured using a beta proportional counter. The measurements yielded a mean and standard deviation of (8.35 ± 0.20) x 10 14 atoms.cm -2 (relative standard deviation = 2.35 %), in agreement with both the nominal

  9. Operations manual for the plasma source ion implantation economics program

    International Nuclear Information System (INIS)

    Bibeault, M.L.; Thayer, G.R.

    1995-10-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique for metal. PSIICOSTMODEL95 is an EXCEL-based program that estimates the cost for implementing a PSII system in a manufacturing setting where the number of parts to be processed is over 5,000 parts per day and the shape of each part does not change from day to day. Overall, the manufacturing process must be very well defined and should not change. This document is a self-contained manual for PSIICOSTMODEL95. It assumes the reader has some general knowledge of the technical requirements for PSII. Configuration of the PSII process versus design is used as the methodology in PSIICOSTMODEL95. The reason behind this is twofold. First, the design process cannot be programmed into a computer when the relationships between design variables are not understood. Second, the configuration methodology reduces the number of assumptions that must be programmed into our software. Misuse of results are less likely to occur if the user has fewer assumptions to understand

  10. Meeting the challenge of homogenous boron targeting of heterogeneous tumors for effective boron neutron capture therapy (BNCT)

    International Nuclear Information System (INIS)

    Heber, Elisa M.; Trivillin, Veronica A.; Itoiz, Maria E.; Rebagliati, J. Raul; Batistoni, Daniel; Kreimann, Erica L.; Schwint, Amanda E.; Nigg, David W.; Gonzalez, Beatriz N.

    2006-01-01

    BNCT is a tumor cell targeted radiation therapy. Inadequately boron targeted tumor populations jeopardize tumor control. Meeting the to date unresolved challenge of homogeneous targeting of heterogeneous tumors with effective boron carriers would contribute to therapeutic efficacy. The aim of the present study was to evaluate the degree of variation in boron content delivered by boronophenylalanine (BPA), GB-10 (Na 2 10 B 10 H 10 ) and the combined administration of (BPA+GB-10) in different portions of tumor, precancerous tissue around tumor and normal pouch tissue in the hamster cheek pouch oral cancer model. Boron content was evaluated by ICP-AES. The degree of homogeneity in boron targeting was assessed in terms of the coefficient of variation ([S.D./Mean]x100) of boron values. Statistical analysis of the results was performed by one-way ANOVA and the least significant difference test. GB-10 and GB-10 plus BPA achieved respectively a statistically significant 1.8-fold and 3.3-fold increase in targeting homogeneity over BPA. The combined boron compound administration protocol contributes to homogeneous targeting of heterogeneous tumors and would increase therapeutic efficacy of BNCT by exposing all tumor populations to neutron capture reactions in boron. (author)

  11. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Hong-Ying, Han; Xiao-Qing, Peng; Ye, Chang; De-Zhen, Wang

    2010-01-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis

  12. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    Science.gov (United States)

    Liu, Cheng-Sen; Han, Hong-Ying; Peng, Xiao-Qing; Chang, Ye; Wang, De-Zhen

    2010-03-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis.

  13. Determination of boron in graphite, boron carbide and glass by ICP-MS, ICP-OES and conventional wet chemical methods

    International Nuclear Information System (INIS)

    Venkatesh, K.; Kamble, Granthali S.; Venkatesh, Manisha; Kumar, Sanjukta A.; Reddy, A.V.R.

    2014-01-01

    Boron is an important element of interest in nuclear reactor materials due to its high neutron absorption cross section (σ 0 =3837 barns for 10 B). In the present paper, R and D work and routinely used methods have been described for the analysis of case samples (1) Graphite where boron is present at trace levels, (2) Boron Carbide having boron concentration of about 80% and (3) Glass containing 4-6 % boron. (author)

  14. Boron removal from geothermal waters by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Yilmaz, A. Erdem [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering., 25240 Erzurum (Turkey)], E-mail: aerdemy@atauni.edu.tr; Boncukcuoglu, Recep [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering., 25240 Erzurum (Turkey); Kocakerim, M. Muhtar [Atatuerk University, Faculty of Engineering, Department of Chemical Engineering, 25240 Erzurum (Turkey); Yilmaz, M. Tolga; Paluluoglu, Cihan [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering., 25240 Erzurum (Turkey)

    2008-05-01

    Most of the geothermal waters in Turkey contain extremely high concentration of boron when they are used for irrigation. The use of geothermal waters for irrigation can results in excess amount deposition of boron in soil. On the other hand, a minimal boron concentration is required for irrigational waters. In this study, electrocoagulation (EC) was selected as a treatment process for the removal of boron from thermal waters obtained from Ilica-Erzurum in Turkey. Current density (CD), pH of solution and temperature of solution were selected as operational parameters. The results showed that boron removal efficiency increased from pH 4.0 to 8.0 and decreased at pH 10.0. Although boron removal efficiency was highest at pH 8.0, energy consumption was very high at this pH value compared to other pH intervals. Boron removal efficiency reached to 95% with increasing current density from 1.5 to 6.0 mA/cm{sup 2}, but energy consumption was also increased in this interval. At higher temperatures of solution, such as 313 and 333 K, boron removal efficiency increased. At optimum conditions, boron removal efficiency in geothermal water reached up to 95%.

  15. Boron removal from geothermal waters by electrocoagulation

    International Nuclear Information System (INIS)

    Yilmaz, A. Erdem; Boncukcuoglu, Recep; Kocakerim, M. Muhtar; Yilmaz, M. Tolga; Paluluoglu, Cihan

    2008-01-01

    Most of the geothermal waters in Turkey contain extremely high concentration of boron when they are used for irrigation. The use of geothermal waters for irrigation can results in excess amount deposition of boron in soil. On the other hand, a minimal boron concentration is required for irrigational waters. In this study, electrocoagulation (EC) was selected as a treatment process for the removal of boron from thermal waters obtained from Ilica-Erzurum in Turkey. Current density (CD), pH of solution and temperature of solution were selected as operational parameters. The results showed that boron removal efficiency increased from pH 4.0 to 8.0 and decreased at pH 10.0. Although boron removal efficiency was highest at pH 8.0, energy consumption was very high at this pH value compared to other pH intervals. Boron removal efficiency reached to 95% with increasing current density from 1.5 to 6.0 mA/cm 2 , but energy consumption was also increased in this interval. At higher temperatures of solution, such as 313 and 333 K, boron removal efficiency increased. At optimum conditions, boron removal efficiency in geothermal water reached up to 95%

  16. Removal of boron (B) from waste liquors.

    Science.gov (United States)

    Jiang, J Q; Xu, Y; Simon, J; Quill, K; Shettle, K

    2006-01-01

    This paper explores the use of electrocoagulation to remove boron from waste effluent in comparison with alum coagulation. In treating model test wastes, greater boron removals were achieved with electrocoagulation at low doses than conventional alum coagulation when reaction was undertaken for the same conditions (pH 8.5, and initial boron concentration was 500 mg/L). Al electrocoagulation can achieve good boron removal performance (68.3%) at a dose of 2.1 (as molar ratio of Al:B, and for current density of 62.1 A/m2), while alum coagulation can only achieve the maximum boron removal of 56% at a dose of 2.4. Also, Al electrocoagulation can remove 15-20% more boron than alum coagulation for the same dose compared in the treatment of both model test wastes and industry effluent. The estimation of running costs shows that to achieve 75% boron removal from industry waste effluent, i.e. removing 150 g of boron from 1 m3 of effluent, electrocoagulation was 6.2 times cheaper than alum coagulation. The economic advantage of electrocoagulation in the treatment of boron-containing waste effluent is thus significant.

  17. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  18. Studies of the ablated plasma from experimental plasma gun disruption simulations

    International Nuclear Information System (INIS)

    Rockett, P.D.; Hunter, J.A.; Bradley, J.T. III; Gahl, J.M.; Litunovsky, V.N.; Ovchinnokov, I.B.; Ljublin, B.V.; Kuznetsov, B.E.; Titov, V.A.; Zhitlukhin, A.; Arkhipov, K.; Bakhtin, V.; Toporkov, D.

    1995-01-01

    Extensive simulations of tokamak disruptions have provided a picture of material erosion that is limited by the transfer of energy from the incident plasma to the armor solid surface through a dense plasma shield. Radiation spectra were recorded in the VUV and in the visible at the Efremov Laboratories on VIKA using graphite targets. The VUV data were recorded with a Sandia Labs transmission grating spectrograph, covering 1-40 nm. Plasma parameters were evaluated with incident plasma energy densities varying from 10-100 MJ/m 2 . A second transmission grating spectrograph was taken to 2MK-200 at TRINITI to study the plasma-material interface in magnetic cusp plasma. Target materials included POCO graphite, ATJ graphite, boron nitride, and plasma-sprayed tungsten. Detailed spectra were recorded with a spatial resolution of similar 1 mm. Time-resolved data with 40-200 ns resolution was also recorded. The data from both plasma gun facilities demonstrated that the hottest plasma region was sitting several millimeters above the armor tile surface. ((orig.))

  19. A detailed physical model for ion implant induced damage in silicon

    International Nuclear Information System (INIS)

    Tian, S.; Morris, M.F.; Morris, S.J.; Obradovic, B.; Wang, G.; Tasch, A.F.

    1998-01-01

    A unified physically based ion implantation damage model has been developed which successfully predicts both the impurity profiles and the damage profiles for a wide range of implant conditions for arsenic, phosphorus, BF 2 , and boron implants into single-crystal silicon. In addition, the amorphous layer thicknesses predicted by this new damage model are also in excellent agreement with experimental measurements. This damage model is based on the physics of point defects in silicon, and explicitly simulates the defect production, diffusion, and their interactions which include interstitial-vacancy recombination, clustering of same type of defects, defect-impurity complex formation, emission of mobile defects from clusters, and surface effects for the first time. New computationally efficient algorithms have been developed to overcome the barrier of the excessive computational requirements. In addition, the new model has been incorporated in the UT-MARLOWE ion implantation simulator, and has been developed primarily for use in engineering workstations. This damage model is the most physical model in the literature to date within the framework of the binary collision approximation (BCA), and provides the required, accurate as-implanted impurity profiles and damage profiles for transient enhanced diffusion (TED) simulation

  20. SHB1/HY1 Alleviates Excess Boron Stress by Increasing BOR4 Expression Level and Maintaining Boron Homeostasis in Arabidopsis Roots

    Directory of Open Access Journals (Sweden)

    Qiang Lv

    2017-05-01

    Full Text Available Boron is an essential mineral nutrient for higher plant growth and development. However, excessive amounts of boron can be toxic. Here, we report on the characterization of an Arabidopsis mutant, shb1 (sensitive to high-level of boron 1, which exhibits hypersensitivity to excessive boron in roots. Positional cloning demonstrated that the shb1 mutant bears a point mutation in a gene encoding a heme oxygenase 1 (HO1 corresponding to the HY1 gene involved in photomorphogenesis. The transcription level of the SHB1/HY1 gene in roots is up-regulated under excessive boron stimulation. Either overexpressing SHB1/HY1 or applying the HO1 inducer hematin reduces boron accumulation in roots and confers high boron tolerance. Furthermore, carbon monoxide and bilirubin, catalytic products of HO1, partially rescue the boron toxicity-induced inhibition of primary root growth in shb1. Additionally, the mRNA level of BOR4, a boron efflux transporter, is reduced in shb1 roots with high levels of boron supplementation, and hematin cannot relieve the boron toxicity-induced root inhibition in bor4 mutants. Taken together, our study reveals that HO1 acts via its catalytic by-products to promote tolerance of excessive boron by up-regulating the transcription of the BOR4 gene and therefore promoting the exclusion of excessive boron in root cells.

  1. Fusion reactions initiated by laser-accelerated particle beams in a laser-produced plasma

    International Nuclear Information System (INIS)

    Labaune, C.; Baccou, C.; Loisel, G.; Yahia, V.; Depierreux, S.; Goyon, C.; Rafelski, J.

    2013-01-01

    The advent of high-intensity-pulsed laser technology enables the generation of extreme states of matter under conditions that are far from thermal equilibrium. This in turn could enable different approaches to generating energy from nuclear fusion. Relaxing the equilibrium requirement could widen the range of isotopes used in fusion fuels permitting cleaner and less hazardous reactions that do not produce high-energy neutrons. Here we propose and implement a means to drive fusion reactions between protons and boron-11 nuclei by colliding a laser-accelerated proton beam with a laser-generated boron plasma. We report proton-boron reaction rates that are orders of magnitude higher than those reported previously. Beyond fusion, our approach demonstrates a new means for exploring low-energy nuclear reactions such as those that occur in astrophysical plasmas and related environments. (authors)

  2. The plasma facing components of the Tore Supra ICRF antenna

    International Nuclear Information System (INIS)

    Beaumont, B.; Agarici, G.; Gauthier, E.; Kuus, H.; Schlosser, J.

    1994-01-01

    Two generations of Faraday shields for the Tore Supra ICRH antennas interacting with the edge plasma are presented. The last one, using a film of boron carbide as protective material performs well, proving the relevance of this technique for in vessel equipment submitted to low power fluxes. The different lateral protections used on Tore Supra are submitted to high power fluxes. Finite element calculations allow to assess their performances. One type, using Boron Carbide, can be used to measure the local heat flux. The estimation of this flux confirm the specificity of the edge/RF interaction, which is more than one order of magnitude above the exponential decay observed in ohmic plasmas. (author) 11 refs.; 1 fig

  3. Investigation of low-resistivity from hydrogenated lightly B-doped diamond by ion implantation

    Directory of Open Access Journals (Sweden)

    Cui Xia Yan et al

    2008-01-01

    Full Text Available We have implanted boron (B ions (dosage: 5×1014 cm-2 into diamond and then hydrogenated the sample by implantating hydrogen ions at room temperature. A p-type diamond material with a low resistivity of 7.37 mΩ cm has been obtained in our experiment, which suggests that the hydrogenation of B-doped diamond results in a low-resistivity p-type material. Interestingly, inverse annealing, in which carrier concentration decreased with increasing annealing temperature, was observed at annealing temperatures above 600 °C. In addition, the formation mechanism of a low-resistivity material has been studied by density functional theory calculation using a plane wave method.

  4. Boron diffusion in strained and strain-relaxed SiGe

    International Nuclear Information System (INIS)

    Wang, C.C.; Sheu, Y.M.; Liu, Sally; Duffy, R.; Heringa, A.; Cowern, N.E.B.; Griffin, P.B.

    2005-01-01

    . Phys. 94 (September (6)) (2003) 3883-3890; S. Eguchi, C.N. Chleirigh, O.O. Olubuyide, J.L. Hoyt, Appl. Phys. Lett. 84 (January (3)) (2004) 368-370] in which the equilibrium state of point defects is achieved. These are not the conditions used in aggressive CMOS technologies. Our experiment has therefore been designed to investigate boron diffusion in both strained and strain-relaxed SiGe including ultra-low energy, high concentration boron implant and spike RTA. Models are proposed and the retardation factors corresponding to Ge concentration and stress effect were successfully extracted through these experiments. This paper describes these experiments, with the calibration and the resulting diffusion constants for an ultra-shallow boron junction in SiGe that is popular in advanced CMOS technology

  5. Comparison of methods for the determination of boron in heavy water moderator

    International Nuclear Information System (INIS)

    Green, L.W.; Davey, E.C.; Gulens, J.; Longhurst, T.H.; Mislan, J.P.

    1984-01-01

    Five analysis methods were compared for the determination of boron in heavy water moderator: isotope dilution mass spectrometry, spectrophotometry, neutron activation, inductively coupled plasma -atomic emission spectrometry, and ion selective electrode potentiometry. Ten samples were analysed by each method; the results showed close agreement between all of the methods. Only mass spectrometry achieved the required precision ( 10 B concentration must be determined, only mass spectrometry and neutron activation are applicable

  6. Scratch-resistant transparent boron nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Dekempeneer, E.H.A.; Kuypers, S.; Vercammen, K.; Meneve, J.; Smeets, J. [Vlaamse Instelling voor Technologisch Onderzoek (VITO), Mol (Belgium); Gibson, P.N.; Gissler, W. [Joint Research Centre of the Commission of the European Communities, Institute for Advanced Materials, Ispra (Vatican City State, Holy See) (Italy)

    1998-03-01

    Transparent boron nitride (BN) coatings were deposited on glass and Si substrates in a conventional capacitively coupled RF PACVD system starting from diborane (diluted in helium) and nitrogen. By varying the plasma conditions (bias voltage, ion current density), coatings were prepared with hardness values ranging from 2 to 12 GPa (measured with a nano-indenter). Infrared absorption measurements indicated that the BN was of the hexagonal type. A combination of glancing-angle X-ray diffraction measurements and simulations shows that the coatings consist of hexagonal-type BN crystallites with different degrees of disorder (nanocrystalline or turbostratic material). High-resolution transmission electron microscopy analysis revealed the presence of an amorphous interface layer and on top of this interface layer a well-developed fringe pattern characteristic for the basal planes in h-BN. Depending on the plasma process conditions, these fringe patterns showed different degrees of disorder as well as different orientational relationships with respect to the substrate surface. These observations were correlated with the mechanical properties of the films. (orig.) 14 refs.

  7. Neutron capture therapy of an Egf receptor positive glioma using boronated cetuximab alone or in combination with boronophenylalanine

    International Nuclear Information System (INIS)

    Wu, Gong; Yang, Weilian; Barth, Rolf F.

    2006-01-01

    The purpose of the present study was to evaluate the monoclonal antibody cetuximab (IMC-C225), which is directed against EGFR, as a boron delivery agent for NCT of a human EGFR gene transfected rat glioma, designated F98 EGFR . A heavily boronated polyamidoamine (PAMAM) dendrimer (BD) was chemically linked to cetuximab by means of heterobifunctional reagents. In vitro, the bioconjugate (BD-C225) was specifically taken up by F98 EGFR glioma cells (41.8 μg/g) compared to receptor (-) F98 WT cells (9.1 μg/g). Glioma cells were stereotactically implanted into the brains of Fischer rats and biodistribution studies were initiated 14 d later. The amount of boron retained by F98 EGFR gliomas 24 h following either convection enhanced delivery (CED) or intratumoral (i.t.) injection were 77.2 and 50.8 μg/g, respectively, and normal brain and blood values were 180 d) compared to 40 d for i.v. BPA alone and 31 d and 26 d for irradiated and untreated controls, respectively. Our data convincingly demonstrate the therapeutic efficacy of molecular targeting of EGFR using either boronated cetuximab alone or in combination with BPA and should provide a platform for the future development of combinations of high and low molecular weight delivery agents for BNCT of brain tumors. (author)

  8. Boron rates for triticale and wheat crops

    Directory of Open Access Journals (Sweden)

    Corrêa Juliano Corulli

    2005-01-01

    Full Text Available No reports are registered on responses to boron fertilization nutrient deficiency and toxicity in triticale crops. The aim of this study was to evaluate triticale response to different rates of boron in comparison to wheat in an hapludox with initial boron level at 0.08 mg dm-3 4 4 factorial design trial completely randomized blocks design (n = 4. Boron rates were 0; 0.62; 1.24 and 1.86 mg dm-3; triticale cultivars were IAC 3, BR 4 and BR 53 and IAPAR 38 wheat crop was used for comparison. The wheat (IAPAR 38 crop presented the highest boron absorption level of all. Among triticale cultivars, the most responsive was IAC 53, presenting similar characteristics to wheat, followed by BR 4; these two crops are considered tolerant to higher boron rates in soil. Regarding to BR 53, no absorption effect was observed, and the cultivars was sensitive to boron toxicity. Absorption responses differed for each genotype. That makes it possible to choose and use the best-adapted plants to soils with different boron rates.

  9. Studies for the application of boron neutron capture therapy to the treatment of differentiated thyroid cancer

    International Nuclear Information System (INIS)

    Dagrosa, A.; Carpano, M.; Perona, M.; Thomasz, L.; Nievas, S.; Cabrini, R.; Juvenal, G.; Pisarev, M.

    2011-01-01

    The aim of these studies was to evaluate the possibility of treating differentiated thyroid cancer by BNCT. These carcinomas are well controlled with surgery followed by therapy with 131 I; however, some patients do not respond to this treatment. BPA uptake was analyzed both in vitro and in nude mice implanted with cell lines of differentiated thyroid carcinoma. The boron intracellular concentration in the different cell lines and the biodistribution studies showed the selectivity of the BPA uptake by this kind of tumor.

  10. An empirical model for parameters affecting energy consumption in boron removal from boron-containing wastewaters by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Yilmaz, A. Erdem [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering, 25240 Erzurum (Turkey)]. E-mail: aerdemy@atauni.edu.tr; Boncukcuoglu, Recep [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering, 25240 Erzurum (Turkey); Kocakerim, M. Muhtar [Atatuerk University, Faculty of Engineering, Department of Chemical Engineering, 25240 Erzurum (Turkey)

    2007-06-01

    In this study, it was investigated parameters affecting energy consumption in boron removal from boron containing wastewaters prepared synthetically, via electrocoagulation method. The solution pH, initial boron concentration, dose of supporting electrolyte, current density and temperature of solution were selected as experimental parameters affecting energy consumption. The obtained experimental results showed that boron removal efficiency reached up to 99% under optimum conditions, in which solution pH was 8.0, current density 6.0mA/cm{sup 2}, initial boron concentration 100mg/L and solution temperature 293K. The current density was an important parameter affecting energy consumption too. High current density applied to electrocoagulation cell increased energy consumption. Increasing solution temperature caused to decrease energy consumption that high temperature decreased potential applied under constant current density. That increasing initial boron concentration and dose of supporting electrolyte caused to increase specific conductivity of solution decreased energy consumption. As a result, it was seen that energy consumption for boron removal via electrocoagulation method could be minimized at optimum conditions. An empirical model was predicted by statistically. Experimentally obtained values were fitted with values predicted from empirical model being as following;[ECB]=7.6x10{sup 6}x[OH]{sup 0.11}x[CD]{sup 0.62}x[IBC]{sup -0.57}x[DSE]{sup -0.}= {sup 04}x[T]{sup -2.98}x[t] Unfortunately, the conditions obtained for optimum boron removal were not the conditions obtained for minimum energy consumption. It was determined that support electrolyte must be used for increase boron removal and decrease electrical energy consumption.

  11. An empirical model for parameters affecting energy consumption in boron removal from boron-containing wastewaters by electrocoagulation

    International Nuclear Information System (INIS)

    Yilmaz, A. Erdem; Boncukcuoglu, Recep; Kocakerim, M. Muhtar

    2007-01-01

    In this study, it was investigated parameters affecting energy consumption in boron removal from boron containing wastewaters prepared synthetically, via electrocoagulation method. The solution pH, initial boron concentration, dose of supporting electrolyte, current density and temperature of solution were selected as experimental parameters affecting energy consumption. The obtained experimental results showed that boron removal efficiency reached up to 99% under optimum conditions, in which solution pH was 8.0, current density 6.0mA/cm 2 , initial boron concentration 100mg/L and solution temperature 293K. The current density was an important parameter affecting energy consumption too. High current density applied to electrocoagulation cell increased energy consumption. Increasing solution temperature caused to decrease energy consumption that high temperature decreased potential applied under constant current density. That increasing initial boron concentration and dose of supporting electrolyte caused to increase specific conductivity of solution decreased energy consumption. As a result, it was seen that energy consumption for boron removal via electrocoagulation method could be minimized at optimum conditions. An empirical model was predicted by statistically. Experimentally obtained values were fitted with values predicted from empirical model being as following;[ECB]=7.6x10 6 x[OH] 0.11 x[CD] 0.62 x[IBC] -0.57 x[DSE] -0.04 x[T] -2.98 x[t] Unfortunately, the conditions obtained for optimum boron removal were not the conditions obtained for minimum energy consumption. It was determined that support electrolyte must be used for increase boron removal and decrease electrical energy consumption.

  12. The role of silicon interstitials in the deactivation and reactivation of high concentration boron profiles

    Energy Technology Data Exchange (ETDEWEB)

    Aboy, Maria [Campus Miguel Delibes, University of Valladolid, 47011 Valladolid (Spain)]. E-mail: marabo@tel.uva.es; Pelaz, Lourdes [Campus Miguel Delibes, University of Valladolid, 47011 Valladolid (Spain); Marques, Luis A. [Campus Miguel Delibes, University of Valladolid, 47011 Valladolid (Spain); Lopez, Pedro [Campus Miguel Delibes, University of Valladolid, 47011 Valladolid (Spain); Barbolla, Juan [Campus Miguel Delibes, University of Valladolid, 47011 Valladolid (Spain); Venezia, V.C. [Philips Research Leuven, Leuven (Belgium); Duffy, R. [Philips Research Leuven, Leuven (Belgium); Griffin, Peter B. [Stanford University, Stanford, CA (United States)

    2004-12-15

    Boron cluster formation and dissolution in high concentration B profiles and the role of Si interstitials in these processes are analyzed by kinetic non-lattice Monte Carlo atomistic simulations. For this purpose, we use theoretical structures as simplifications of boron implants into preamorphized Si, followed by low-temperature solid phase epitaxial (SPE) regrowth or laser thermal annealing process. We observe that in the presence of high B concentrations (above 10{sup 20} cm{sup -3}), significant deactivation occurs during high temperature anneal, even in the presence of only equilibrium Si interstitials. The presence of additional Si interstitials from an end of range (EOR) damage region accelerates the deactivation process and makes B deactivation slightly higher. We show that B deactivation and reactivation processes can be clearly correlated to the evolution of Si interstitial defects at the EOR. The minimum level of activation occurs when the Si interstitial defects at EOR dissolve or form very stable defects.

  13. Helium diffusion in irradiated boron carbide

    International Nuclear Information System (INIS)

    Hollenberg, G.W.

    1981-03-01

    Boron carbide has been internationally adopted as the neutron absorber material in the control and safety rods of large fast breeder reactors. Its relatively large neutron capture cross section at high neutron energies provides sufficient reactivity worth with a minimum of core space. In addition, the commercial availability of boron carbide makes it attractive from a fabrication standpoint. Instrumented irradiation experiments in EBR-II have provided continuous helium release data on boron carbide at a variety of operating temperatures. Although some microstructural and compositional variations were examined in these experiments most of the boron carbide was prototypic of that used in the Fast Flux Test Facility. The density of the boron carbide pellets was approximately 92% of theoretical. The boron carbide pellets were approximately 1.0 cm in diameter and possessed average grain sizes that varied from 8 to 30 μm. Pellet centerline temperatures were continually measured during the irradiation experiments

  14. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn0.85Mg0.15O thin films

    International Nuclear Information System (INIS)

    Saha, S.; Nagar, S.; Chakrabarti, S.

    2014-01-01

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101 ¯ 0〉 and 〈101 ¯ 3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering and localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices

  15. A quantitative comparison between electrocoagulation and chemical coagulation for boron removal from boron-containing solution

    International Nuclear Information System (INIS)

    Yilmaz, A. Erdem; Boncukcuoglu, Recep; Kocakerim, M. Muhtar

    2007-01-01

    This paper provides a quantitative comparison of electrocoagulation and chemical coagulation approaches based on boron removal. Electrocoagulation process delivers the coagulant in situ as the sacrificial anode corrodes, due to a fixed current density, while the simultaneous evolution of hydrogen at the cathode allows for pollutant removal by flotation. By comparison, conventional chemical coagulation typically adds a salt of the coagulant, with settling providing the primary pollutant removal path. Chemical coagulation was carried out via jar tests using aluminum chloride. Comparison was done with the same amount of coagulant between electrocoagulation and chemical coagulation processes. Boron removal obtained was higher with electrocoagulation process. In addition, it was seen that chemical coagulation has any effect for boron removal from boron-containing solution. At optimum conditions (e.g. pH 8.0 and aluminum dose of 7.45 g/L), boron removal efficiencies for electrocoagulation and chemical coagulation were 94.0% and 24.0%, respectively

  16. A quantitative comparison between electrocoagulation and chemical coagulation for boron removal from boron-containing solution

    Energy Technology Data Exchange (ETDEWEB)

    Yilmaz, A. Erdem [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering, 25240 Erzurum (Turkey)], E-mail: aerdemy@atauni.edu.tr; Boncukcuoglu, Recep [Atatuerk University, Faculty of Engineering, Department of Environmental Engineering, 25240 Erzurum (Turkey); Kocakerim, M. Muhtar [Atatuerk University, Faculty of Engineering, Department of Chemical Engineering, 25240 Erzurum (Turkey)

    2007-10-22

    This paper provides a quantitative comparison of electrocoagulation and chemical coagulation approaches based on boron removal. Electrocoagulation process delivers the coagulant in situ as the sacrificial anode corrodes, due to a fixed current density, while the simultaneous evolution of hydrogen at the cathode allows for pollutant removal by flotation. By comparison, conventional chemical coagulation typically adds a salt of the coagulant, with settling providing the primary pollutant removal path. Chemical coagulation was carried out via jar tests using aluminum chloride. Comparison was done with the same amount of coagulant between electrocoagulation and chemical coagulation processes. Boron removal obtained was higher with electrocoagulation process. In addition, it was seen that chemical coagulation has any effect for boron removal from boron-containing solution. At optimum conditions (e.g. pH 8.0 and aluminum dose of 7.45 g/L), boron removal efficiencies for electrocoagulation and chemical coagulation were 94.0% and 24.0%, respectively.

  17. Studies of the ablated plasma from experimental plasma gun disruption simulations

    International Nuclear Information System (INIS)

    Rockett, P.D.; Hunter, J.A.; Bradley, J.T.

    1994-01-01

    Extensive simulations of Tokamak disruptions have provided a picture of material erosion that is limited by the transfer of energy from the incident plasma to the armor solid surface through a dense vapor shield. Radiation spectra were recorded in the VUV and in the visible at the Efremov Laboratories on VIKA using graphite targets. The VUV data were recorded with a Sandia Labs transmission grating spectrograph, covering 1--40 nm. Plasma parameters were evaluated with incident plasma energy densities varying from 1--10 kJ/cm 2 . A second transmission grating spectrograph was taken to 2MK-200 at TRINITI to study the plasma-material interface in magnetic cusp plasma. Target materials included POCO graphite, ATJ graphite, boron nitride, and plasma-sprayed tungsten. Detailed spectra were recorded with a spatial resolution of ∼1 mm resolution. Time-resolved data with 40--200 ns resolution was also recorded. The data from both plasma gun facilities demonstrated that the hottest plasma region was sitting several millimeters above the armor tile surface

  18. Defect generation/passivation by low energy hydrogen implant for silicon solar cells

    International Nuclear Information System (INIS)

    Sopori, B.L.; Zhou, T.Q.; Rozgonyi, G.A.

    1990-01-01

    Low energy ion implant is shown to produce defects in silicon. These defects include surface damage, hydrogen agglomeration, formation of platelets with (111) habit plane and decoration of dislocations. Hydrogen also produces an inversion type of surface on boron doped silicon. These effects indicate that a preferred approach for passivation is to incorporate hydrogen from the back side of the cell. A backside H + implant technique is described. The results show that degree of passivation differs for various devices. A comparison of the defect structures of hydrogenated devices indicates that the structure and the distribution of defects in the bulk of the material plays a significant role in determining the degree of passivation

  19. Multi-physics modeling of plasma-material interactions

    Science.gov (United States)

    Lasa, Ane; Green, David; Canik, John; Younkin, Timothy; Blondel, Sophie; Wirth, Brian; Drobny, Jon; Curreli, Davide

    2017-10-01

    Plasma-material interactions (PMI) can degrade both plasma and material properties. Often, PMI modeling focuses on either the plasma or surface. Here, we present an integrated model with high-fidelity codes coupled within the IPS framework that self-consistently addresses PMI. The model includes, calculation of spatially resolved influx of plasma and impurities to the surface and their implantation; surface erosion and roughening; evolution of implanted species and sub-surface composition; and transport of eroded particles across the plasma and their re-deposition. The model is applied and successfully compared to dedicated PISCES linear device experiments, where a tungsten (W) target was exposed to helium (He) plasma. The present contribution will focus on the analysis of W erosion, He retention and sub-surface gas bubble and surface composition evolution, under the different He plasma conditions across the surface that are calculated by impurity transport modeling. Impact of code coupling, reflected as interplay between surface erosion, fuel / impurity implantation and retention, and evolution of target composition, as well as sensitivity of these processes to plasma exposure conditions is also analyzed in detail. This work is supported by the US DOE under contract DE-AC05-00OR22725.

  20. Raman spectroscopy of boron-doped single-layer graphene.

    Science.gov (United States)

    Kim, Yoong Ahm; Fujisawa, Kazunori; Muramatsu, Hiroyuki; Hayashi, Takuya; Endo, Morinobu; Fujimori, Toshihiko; Kaneko, Katsumi; Terrones, Mauricio; Behrends, Jan; Eckmann, Axel; Casiraghi, Cinzia; Novoselov, Kostya S; Saito, Riichiro; Dresselhaus, Mildred S

    2012-07-24

    The introduction of foreign atoms, such as nitrogen, into the hexagonal network of an sp(2)-hybridized carbon atom monolayer has been demonstrated and constitutes an effective tool for tailoring the intrinsic properties of graphene. Here, we report that boron atoms can be efficiently substituted for carbon in graphene. Single-layer graphene substitutionally doped with boron was prepared by the mechanical exfoliation of boron-doped graphite. X-ray photoelectron spectroscopy demonstrated that the amount of substitutional boron in graphite was ~0.22 atom %. Raman spectroscopy demonstrated that the boron atoms were spaced 4.76 nm apart in single-layer graphene. The 7-fold higher intensity of the D-band when compared to the G-band was explained by the elastically scattered photoexcited electrons by boron atoms before emitting a phonon. The frequency of the G-band in single-layer substitutionally boron-doped graphene was unchanged, which could be explained by the p-type boron doping (stiffening) counteracting the tensile strain effect of the larger carbon-boron bond length (softening). Boron-doped graphene appears to be a useful tool for engineering the physical and chemical properties of graphene.