WorldWideScience

Sample records for plasma enhanced cvd

  1. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  2. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  3. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  4. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  5. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  6. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  7. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  8. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  9. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  10. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  11. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  12. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  13. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  14. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  15. New materials for advanced lithium battery. Especially on plasma-assisted CVD of TiS sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Kikkawa, Shin' ichi [Osaka Univ. (Japan)

    1989-03-15

    Intercalation can be applied to cathode reaction of rechargeable battery. Three kinds of candidate materials, TiS{sub 2}, NbS{sub 3} and alkali transition metal dioxides such as LiCoO{sub 2} were studied as the battery cathode. TiS{sub 2} is closest to a practical usage. Lithium ion has to diffuse in the TiS{sub 2} crystal lattice during the intercalation. Large surface area is necessary to obtain high current density. Preferred orientation of the crystallite is also required in its film formation to further enhance its current density. CVD reactor was used to obtain TiS{sub 2} fine powder and its thin film. Black fine power was obtained on a thin film deposited on a glass substrate. The powder was obtained in high yield under a pressure of 120 Pa at the electrode center where the plasma density was high. In conclusion, TiS{sub 2} fine power with submicron diameter and also highly preferred oriented TiS{sub 2} thin film were obtained using plasma-CVD. 21 refs., 8 figs.

  16. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  17. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  18. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  19. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  20. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  1. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  2. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  3. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  4. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  5. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  6. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  7. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  8. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  9. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  10. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  11. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  12. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  13. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  14. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  15. Plasma Enhanced Growth of Carbon Nanotubes For Ultrasensitive Biosensors

    Science.gov (United States)

    Cassell, Alan M.; Li, J.; Ye, Q.; Koehne, J.; Chen, H.; Meyyappan, M.

    2004-01-01

    The multitude of considerations facing nanostructure growth and integration lends itself to combinatorial optimization approaches. Rapid optimization becomes even more important with wafer-scale growth and integration processes. Here we discuss methodology for developing plasma enhanced CVD growth techniques for achieving individual, vertically aligned carbon nanostructures that show excellent properties as ultrasensitive electrodes for nucleic acid detection. We utilize high throughput strategies for optimizing the upstream and downstream processing and integration of carbon nanotube electrodes as functional elements in various device types. An overview of ultrasensitive carbon nanotube based sensor arrays for electrochemical biosensing applications and the high throughput methodology utilized to combine novel electrode technology with conventional MEMS processing will be presented.

  16. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  17. Growth and characterization of nanodiamond layers prepared using plasma enhanced linear antennas microwave CVD system

    Czech Academy of Sciences Publication Activity Database

    Fendrych, František; Taylor, Andrew; Peksa, Ladislav; Kratochvílová, Irena; Vlček, J.; Řezáčová, V.; Petrák, V.; Kluiber, Z.; Fekete, Ladislav; Liehr, M.; Nesládek, M.

    2010-01-01

    Roč. 43, č. 37 (2010), 374018/1-374018/6 ISSN 0022-3727 R&D Projects: GA AV ČR KAN200100801; GA AV ČR KAN300100801; GA AV ČR KAN301370701 Institutional research plan: CEZ:AV0Z10100520 Keywords : nanodiamond, * thin films * PE MW CVD * linear antennas Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.105, year: 2010

  18. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  19. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  20. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  1. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  2. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  3. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  4. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  5. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  6. Microwave plasma CVD of oxide films relating to high Tc Bi-Sr-Ca-Cu-O superconductor

    International Nuclear Information System (INIS)

    Hashimoto, T.; Kosaka, T.; Yoshida, Y.; Yoshimoto, M.; Koinuma, H.

    1989-01-01

    Microwave plasma CVD was applied to the synthesis of Bi, Sr, Ca, and Cu oxide films at relatively low temperatures. Gas source materials used were Bi(C 6 H 5 ) 3 , Sr(PPM) 2 , Ca(PPM) 2 , and Cu(HFA) 2 , where PPM and HFA represent C 2 F 5 COCHCOC(CH 3 ) 3 and CF 3 COCHCOCF 3 , respectively. Films were deposited on MgO (100) substrate at temperatures between 200 C and 400 C under an atmosphere of 1000mTorr Ar-O 2 (50/100) mixture which was partially excited by plasma. From Bi(C 6 H 5 ) 3 , Bi 2 O 3 was formed at 200 C without containing carbon above the detection level by XPS analysis. From Cu(HFA) 2 , CuO was prepared at 400 C by increasing oxygen partial pressure to 0.1Torr. (At lower oxygen partial pressure, CuF 2 or amorphous films were deposited.) From Sr(PPM) 2 and Ca(PPM) 2 , SrF 2 and CaF 2 were obtained at 400 C. The attempt to fabricate superconducting films is also reported

  7. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  8. Enhanced intrinsic voltage gain in artificially stacked bilayer CVD graphene field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Himadri; Kataria, Satender [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); Aguirre-Morales, Jorge-Daniel; Fregonese, Sebastien; Zimmer, Thomas [IMS Laboratory, Centre National de la Recherche Scientifique, University of Bordeaux, Talence (France); Passi, Vikram [University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany); Iannazzo, Mario; Alarcon, Eduard [Technical University of Catalonia, Department of Electronics Engineering, UPC, Barcelona (Spain); Lemme, Max C. [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany)

    2017-11-15

    We report on electronic transport in dual-gate, artificially stacked bilayer graphene field effect transistors (BiGFETs) fabricated from large-area chemical vapor deposited (CVD) graphene. The devices show enhanced tendency to current saturation, which leads to reduced minimum output conductance values. This results in improved intrinsic voltage gain of the devices when compared to monolayer graphene FETs. We employ a physics based compact model originally developed for Bernal stacked bilayer graphene FETs (BSBGFETs) to explore the observed phenomenon. The improvement in current saturation may be attributed to increased charge carrier density in the channel and thus reduced saturation velocity due to carrier-carrier scattering. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  10. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  11. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  12. Enhanced photoresponse characteristics of transistors using CVD-grown MoS2/WS2 heterostructures

    Science.gov (United States)

    Shan, Junjie; Li, Jinhua; Chu, Xueying; Xu, Mingze; Jin, Fangjun; Fang, Xuan; Wei, Zhipeng; Wang, Xiaohua

    2018-06-01

    Semiconductor heterostructures based on transition metal dichalcogenides provide a broad platform to research two-dimensional nanomaterials and design atomically thin devices for fundamental and applied interests. The MoS2/WS2 heterostructure was prepared on SiO2/Si substrate by chemical vapor deposition (CVD) in our research. And the optical properties of the heterostructure was characterized by Raman and photoluminescence (PL) spectroscopy. The similar 2 orders of magnitude decrease of PL intensity in MoS2/WS2 heterostructures was tested, which is attribute to the electrical and optical modulation effects are connected with the interfacial charge transfer between MoS2 and WS2 films. Using MoS2/WS2 heterostructure as channel material of the phototransistor, we demonstrated over 50 folds enhanced photoresponsivity of multilayer MoS2 field-effect transistor. The results indicate that the MoS2/WS2 films can be a promising heterostructure material to enhance the photoresponse characteristics of MoS2-based phototransistors.

  13. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  14. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  15. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  16. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  17. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  18. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  19. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  20. Plasma characterization using terahertz-wave-enhanced fluorescence

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2010-01-01

    We demonstrate that the terahertz-wave-enhanced fluorescence emission from excited atoms or molecules can be employed in the characterization of laser-induced gas plasmas. The electron relaxation time and plasma density were deduced through applying the electron impact excitation/ionization and electron-ion recombination processes to the measured time-dependent enhanced fluorescence. The electron collision dynamics of nitrogen plasma excited at different gas pressures and laser pulse energies have been systematically investigated. This plasma characterization method provides picosecond temporal resolution and enables omnidirectional optical signal collection.

  1. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  2. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  3. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  4. Plasma calprotectin and its association with cardiovascular disease manifestations, obesity and the metabolic syndrome in type 2 diabetes mellitus patients

    DEFF Research Database (Denmark)

    Pedersen, Lise; Nybo, M.; Poulsen, M. K.

    2014-01-01

    Background: Plasma calprotectin is a potential biomarker of cardiovascular disease (CVD), insulin resistance (IR), and obesity. We examined the relationship between plasma calprotectin concentrations, CVD manifestations and the metabolic syndrome (MetS) in patients with type 2 diabetes mellitus (T2......DM) in order to evaluate plasma calprotectin as a risk assessor of CVD in diabetic patients without known CVD. Methods: An automated immunoassay for determination of plasma calprotectin was developed based on a fecal Calprotectin ELIA, and a reference range was established from 120 healthy adults...... associated with obesity, MetS status, autonomic neuropathy, PAD, and MI. However, plasma calprotectin was not an independent predictor of CVD, MI, autonomic neuropathy or PAD....

  5. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  6. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  7. Optical study of defects in nanodiamond films grown in linear antenna microwave plasma CVD from H.sub.2./sub./CH.sub.4./sub./CO.sub.2./sub. gas mixture

    Czech Academy of Sciences Publication Activity Database

    Varga, Marián; Remeš, Zdeněk; Babchenko, Oleg; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2635-2639 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GAP108/11/0794; GA ČR GAP205/12/0908; GA MŠk LH12236 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanocrystalline diamond * optical spectroscopy * wide band gap semiconductors * pulsed linear plasma CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  8. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  9. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  10. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  11. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  12. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  13. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  14. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  15. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  16. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  17. Scalable graphene production: perspectives and challenges of plasma applications

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya (Ken); Zheng, Jie; Li, Xingguo; Keidar, Michael; B. K. Teo, Kenneth

    2016-05-01

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h-1 m-2 was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of various

  18. Scalable graphene production: perspectives and challenges of plasma applications.

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya Ken; Zheng, Jie; Li, Xingguo; Keidar, Michael; B K Teo, Kenneth

    2016-05-19

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h(-1) m(-2) was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of

  19. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  20. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  1. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  2. Perspectives of linear antenna microwave system for growth of various carbon nano-forms and its plasma study

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Čada, Martin; Babchenko, Oleg; Ižák, Tibor; Davydova, Marina; Kromka, Alexander

    2013-01-01

    Roč. 250, č. 12 (2013), 2723–2726 ISSN 0370-1972 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Institutional support: RVO:68378271 Keywords : CNT * Langmuir probe * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.605, year: 2013

  3. Enhancement of plasma generation in catalyst pores with different shapes

    Science.gov (United States)

    Zhang, Yu-Ru; Neyts, Erik C.; Bogaerts, Annemie

    2018-05-01

    Plasma generation inside catalyst pores is of utmost importance for plasma catalysis, as the existence of plasma species inside the pores affects the active surface area of the catalyst available to the plasma species for catalytic reactions. In this paper, the electric field enhancement, and thus the plasma production inside catalyst pores with different pore shapes is studied with a two-dimensional fluid model. The results indicate that the electric field will be significantly enhanced near tip-like structures. In a conical pore with small opening, the strongest electric field appears at the opening and bottom corners of the pore, giving rise to a prominent ionization rate throughout the pore. For a cylindrical pore, the electric field is only enhanced at the bottom corners of the pore, with lower absolute value, and thus the ionization rate inside the pore is only slightly enhanced. Finally, in a conical pore with large opening, the electric field is characterized by a maximum at the bottom of the pore, yielding a similar behavior for the ionization rate. These results demonstrate that the shape of the pore has a significantly influence on the electric field enhancement, and thus modifies the plasma properties.

  4. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    Science.gov (United States)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  5. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  6. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  7. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk

    Energy Technology Data Exchange (ETDEWEB)

    Bergeron, Nathalie; Williams, Paul T.; Lamendella, Regina; Faghihnia, Nastaran; Grube, Alyssa; Li, Xinmin; Wang, Zeneng; Knight, Rob; Jansson, Janet K.; Hazen, Stanley L.; Krauss, Ronald M.

    2016-12-20

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- and low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51–53 %E)v. lower CHO (39–40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine andγ-butyrobetaine concentrations were higher after the high-v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by highv. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.

  8. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  9. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  10. Organosilicon thin films deposited by plasma enhanced CVD:Thermal changes of chemical structure and mechanical properties

    Czech Academy of Sciences Publication Activity Database

    Zajíčková, L.; Buršíková, V.; Kučerová, Z.; Franclová, J.; Siahel, P.; Peřina, Vratislav; Macková, Anna

    2007-01-01

    Roč. 68, 5-6 (2007), s. 1255-1259 ISSN 0022-3697 R&D Projects: GA ČR GA202/07/1669 Institutional research plan: CEZ:AV0Z10480505 Keywords : hin films * organometallic compounds * plasma deposition Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.899, year: 2007

  11. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  12. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  13. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  14. Enhanced nuclear level decay in hot dense plasmas

    International Nuclear Information System (INIS)

    Gosselin, G.; Morel, P.

    2004-01-01

    A model of nuclear level decay in a plasma environment is described. Nuclear excitation and decay by photon processes, nuclear excitation by electron capture, and decay by internal conversion are taken into account. The electrons in the plasma are described by a relativistic average atom model for the bound electrons and by a relativistic Thomas-Fermi-Dirac model for the free electrons. Nuclear decay of isomeric level may be enhanced through an intermediate level lying above the isomer. An enhanced nuclear decay rate may occur for temperatures far below the excitation energy of the transition to the intermediate level. In most cases, the enhancement factor may reach several decades

  15. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  16. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  17. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  18. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  19. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  20. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  1. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  2. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    International Nuclear Information System (INIS)

    Ochoukov, R.; Whyte, D.G.; Brunner, D.; Cziegler, I.; LaBombard, B.; Lipschultz, B.; Myra, J.; Terry, J.; Wukitch, S.

    2013-01-01

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ P ) in RF-heated discharges on C-Mod reveals that significant Φ P enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ P enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ P enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement

  4. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    Science.gov (United States)

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  5. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  6. A diet rich in high-glucoraphanin broccoli interacts with genotype to reduce discordance in plasma metabolite profiles by modulating mitochondrial function123

    Science.gov (United States)

    Armah, Charlotte N; Traka, Maria H; Dainty, Jack R; Defernez, Marianne; Janssens, Astrid; Leung, Wing; Doleman, Joanne F; Potter, John F

    2013-01-01

    Background: Observational and experimental studies suggest that diets rich in cruciferous vegetables and glucosinolates may reduce the risk of cancer and cardiovascular disease (CVD). Objective: We tested the hypothesis that a 12-wk dietary intervention with high-glucoraphanin (HG) broccoli would modify biomarkers of CVD risk and plasma metabolite profiles to a greater extent than interventions with standard broccoli or peas. Design: Subjects were randomly assigned to consume 400 g standard broccoli, 400 g HG broccoli, or 400 g peas each week for 12 wk, with no other dietary restrictions. Biomarkers of CVD risk and 347 plasma metabolites were quantified before and after the intervention. Results: No significant differences in the effects of the diets on biomarkers of CVD risk were found. Multivariate analyses of plasma metabolites identified 2 discrete phenotypic responses to diet in individuals within the HG broccoli arm, differentiated by single nucleotide polymorphisms associated with the PAPOLG gene. Univariate analysis showed effects of sex (P broccoli arm, the consequence of the intervention was to reduce variation in lipid and amino acid metabolites, tricarboxylic acid (TCA) cycle intermediates, and acylcarnitines between the 2 PAPOLG genotypes. Conclusions: The metabolic changes observed with the HG broccoli diet are consistent with a rebalancing of anaplerotic and cataplerotic reactions and enhanced integration of fatty acid β-oxidation with TCA cycle activity. These modifications may contribute to the reduction in cancer risk associated with diets that are rich in cruciferous vegetables. This trial was registered at clinicaltrials.gov as NCT01114399. PMID:23964055

  7. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  8. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  9. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  10. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  11. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R., E-mail: ochoukov@psfc.mit.edu [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Whyte, D.G.; Brunner, D. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Cziegler, I. [Center for Energy Research, UCSD, 9500 Gilman Drive, La Jolla, CA 92093 (United States); LaBombard, B.; Lipschultz, B. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Myra, J. [Lodestar Research Corporation, 2400 Central Avenue P-5, Boulder, CO 80301 (United States); Terry, J.; Wukitch, S. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States)

    2013-07-15

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ{sub P}) in RF-heated discharges on C-Mod reveals that significant Φ{sub P} enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ{sub P} enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ{sub P} enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement.

  12. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial

    Science.gov (United States)

    Wang, Dong D.; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A.; Willett, Walter C.; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B.; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A.; Hu, Frank B.

    2017-01-01

    Background Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between over-nutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. Methods The study population consisted of 980 participants from the PREDIMED trial, including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases), followed for up to 7.4 years. Participants were randomized to a Mediterranean diet (MedDiet) supplemented with extra-virgin olive oil, a MedDiet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of non-fatal acute myocardial infarction, non-fatal stroke, or cardiovascular death. Hazard Ratios (HRs) were estimated with weighted Cox regression models, using Barlow weights to account for the case-cohort design. Results The multivariable HRs [95% confidence interval (CI)] comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0 and C24:1 ceramides were 2.39 (1.49–3.83, P trend <0.001), 1.91 (1.21–3.01, P trend =0.003), 1.97 (1.21–3.01, P trend =0.004), and 1.73 (1.09–2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR =2.18, 95% CI, 1.36–3.49, P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups (P interaction =0.010). Participants with a higher ceramide score and assigned to either of the two active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas participants

  13. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  14. Interaction of heavy ion beams with a hydrogen plasma: plasma lens effect and stopping power enhancement

    International Nuclear Information System (INIS)

    Gardes, D.; Bimbot, R.; Della-Negra, S.; Dumail, M.; Kubica, B.; Richard, A.; Rivet, M.F.; Servajean, A.; Deutsch, C.; Maynard, G.

    1988-01-01

    By coupling a hydrogen plasma to a Tandem accelerator, transmission and energy losses of 2 MeV/u carbon and sulfur beams passing through a plasma target have been investigated. Fluctuations in beam transmission have been observed and attributed to a plasma lens effect. Moreover, energy loss measurements indicate an enhanced stopping power of the plasma relative to its cold matter equivalent

  15. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  16. Studies on omnidirectional enhancement of giga-hertz radiation by sub-wavelength plasma modulation

    Science.gov (United States)

    Fanrong, KONG; Qiuyue, NIE; Shu, LIN; Zhibin, WANG; Bowen, LI; Shulei, ZHENG; Binhao, JIANG

    2018-01-01

    The technology of radio frequency (RF) radiation intensification for radio compact antennas based on modulation and enhancement effects of sub-wavelength plasma structures represents an innovative developing strategy. It exhibits important scientific significance and promising potential of broad applications in various areas of national strategic demands, such as electrical information network and microwave communication, detection and control technology. In this paper, laboratory experiments and corresponding analyses have been carried out to investigate the modulation and enhancement technology of sub-wavelength plasma structure on the RF electromagnetic radiation. An application focused sub-wavelength plasma-added intensification up to ∼7 dB higher than the free-space radiation is observed experimentally in giga-hertz (GHz) RF band. The effective radiation enhancement bandwidth covers from 0.85 to 1.17 GHz, while the enhanced electromagnetic signals transmitted by sub-wavelength plasma structures maintain good communication quality. Particularly, differing from the traditional RF electromagnetic radiation enhancement method characterized by focusing the radiation field of antenna in a specific direction, the sub-wavelength plasma-added intensification of the antenna radiation presents an omnidirectional enhancement, which is reported experimentally for the first time. Corresponding performance characteristics and enhancement mechanism analyses are also conducted in this paper. The results have demonstrated the feasibility and promising potential of sub-wavelength plasma modulation in application focused RF communication, and provided the scientific basis for further research and development of sub-wavelength plasma enhanced compact antennas with wide-range requests and good quality for communication.

  17. Plasma copeptin as marker of cardiovascular disease in asymptomatic type 2 diabetes patients

    DEFF Research Database (Denmark)

    Bar-Shalom, Dana; Poulsen, Mikael K; Rasmussen, Lars M

    2014-01-01

    Recently, copeptin was found associated with cardiovascular disease (CVD) and all-cause mortality in type 2 diabetes mellitus (T2DM) patients treated in primary care. This study aimed to evaluate whether plasma copeptin correlated to CVD in asymptomatic T2DM patients intensively investigated....... A variety of clinical investigations were performed, including blood pressure measurements, carotid intima media thickness evaluation and myocardial perfusion scintigraphy. Blood sample analyses included copeptin measurements. Median plasma copeptin concentrations were similar in the T2DM group...... for sub-clinical CVD. A total of 302 T2DM patients referred to the Diabetes Clinic at Odense University Hospital, Denmark, entered the study. None of the patients had known or suspected CVD. As a control group, 30 healthy adults were recruited from the DanRisk study - a random sample of middle-aged Danes...

  18. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  19. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  20. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  1. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  2. ICRF-enhanced plasma potentials in the SOL of Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R.; Whyte, D. G.; Brunner, D.; LaBombard, B.; Lipschultz, B.; Terry, J. L.; Wukitch, S. J. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); D' Ippolito, D. A.; Myra, J. R. [Lodestar Research Corporation, 2400 Central Avenue, Boulder, Colorado 80301 (United States)

    2014-02-12

    We performed an extensive survey of the plasma potential in the scrape-off layer (SOL) of Ion Cyclotron Range-of Frequencies (ICRF)-heated discharges on Alcator C-Mod. Our results show that plasma potentials are enhanced in the presence of ICRF power and plasma potential values of >100 V are often observed. Such potentials are high enough to induce sputtering of high-Z molybdenum (Mo) plasma facing components by deuterium ions on C-Mod. For comparison, the plasma potential in Ohmic discharges is typically less than 10 V, well below the threshold needed to induce Mo sputtering by deuterium ions. ICRF-enhanced plasma potentials are observed in the SOL regions that both magnetically map and do not map to active ICRF antennas. Regions that magnetically map to active ICRF antennas are accessible to slow waves directly launched by the antennas and these regions experience plasma potential enhancement that is partially consistent with the slow wave rectification mechanism. One of the most defining features of the slow wave rectification is a threshold appearance of significant plasma potentials (>100 V) when the dimensionless rectification parameter Λ{sub −o} is above unity and this trend is observed experimentally. We also observe ICRF-enhanced plasma potentials >100 V in regions that do not magnetically map to the active antennas and, hence, are not accessible for slow waves launched directly by the active antennas. However, unabsorbed fast waves can reach these regions. The general trend that we observe in these 'un-mapped' regions is that the plasma potential scales with the strength of the local RF wave fields with the fast wave polarization and the highest plasma potentials are observed in discharges with the highest levels of unabsorbed ICRF power. Similarly, we find that core Mo levels scale with the level of unabsorbed ICRF power suggesting a link between plasma potentials in the SOL and the strength of the impurity source.

  3. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  4. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  5. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  6. The capability of plasma osteoprotegerin as a predictor of cardiovascular disease: a systematic literature review

    DEFF Research Database (Denmark)

    Nybo, Mads; Rasmussen, Lars M

    2008-01-01

    OBJECTIVE: Osteoprotegerin (OPG) strongly inhibits bone resorption and may also serve as a vascular calcification inhibitor. However, recent studies have indicated that high plasma OPG is a strong predictor of cardiovascular disease (CVD) and mortality. To evaluate this capability, the data...... to the existing markers of CVD and mortality in high-risk populations. Hazard ratios emphasized the significant correlation between plasma OPG concentration and mortality. Due to methodological problems (e.g., population investigated, measurement principle, and statistics performed), meta-analysis could...... not be performed. As only one study was conducted in a healthy cohort, the results cannot per se be extrapolated to the general population. CONCLUSION: The combined results support plasma OPG as an independent predictor of CVD and mortality in high-risk populations. However, more longitudinal studies in general...

  7. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  8. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); Jang, Sung Kyu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Song, Young Jae [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Department of Physics, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Lee, Sungjoo, E-mail: leesj@skku.edu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of)

    2015-01-15

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO{sub 2}, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems.

  9. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    International Nuclear Information System (INIS)

    Wang, Min; Jang, Sung Kyu; Song, Young Jae; Lee, Sungjoo

    2015-01-01

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO 2 , show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems

  10. High plasma homocyst(e)ine levels in elderly Japanese patients are associated with increased cardiovascular disease risk independently from markers of coagulation activation and endothelial cell damage.

    Science.gov (United States)

    Kario, K; Duell, P B; Matsuo, T; Sakata, T; Kato, H; Shimada, K; Miyata, T

    2001-08-01

    Elevated plasma homocyst(e)ine is a risk factor for cardiovascular disease (CVD) in many populations, but the relationship between homocyst(e)ine and CVD in Japanese subjects has been unclear. It has been hypothesized that the link between homocyst(e)ine and CVD may be mediated in part by activation of coagulation and endothelial cell injury in the elderly Japanese subjects. To further evaluate this hypothesis, the present cross-sectional study was designed to assess the relationships among plasma homocyst(e)ine concentrations, risk of CVD, and markers of coagulation (fibrinogen, FVII, F1+2, FVIIa and FXIIa) and endothelial cell damage (vWF and thrombomodulin) in 146 elderly Japanese subjects (79 healthy controls and 67 patients with CVD). The geometric mean (range) of plasma homocyst(e)ine concentrations was 10.2 (3.2--33) micromol/l in 79 Japanese healthy elderly subjects. As expected, healthy female and male elderly subjects had homocyst(e)ine levels that were 2.5 and 5.3 micromol/; higher, respectively, compared to healthy young control subjects (n=62). Healthy young and elderly men had homocyst(e)ine levels that were 1.7 and 4.5 micromol/l higher, respectively, compared to values in women. This higher plasma homocyst(e)ine levels in the elderly subjects were negatively correlated with levels of folic acid, albumin and total cholesterol, but were not significantly related to markers of coagulation or endothelial cell-damage. The results of multiple logistic regression analyses suggested that high homocyst(e)ine levels were independently related to CVD risk. In addition, levels of FVIIa, and F1+2 were significantly higher in elderly Japanese patients with CVD compared to elderly subjects without CVD, but were unrelated to plasma homocyst(e)ine concentrations. In summary, elevated plasma concentrations of homocyst(e)ine, FVIIa, and F1+2 were associated with increased risk of CVD in elderly male and female Japanese subjects, but the association between homocyst

  11. Enhancement of EAST plasma control capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Bingjia, E-mail: bjxiao@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei (China); Yuan, Qiping; Luo, Zhengping; Huang, Yao; Liu, Lei; Guo, Yong; Pei, Xiaofang; Chen, Shuliang [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Humphreys, D.A.; Hyatt, A.W. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Mueller, Dennis [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Calabró, G.; Crisanti, F. [ENEA UnitàTecnicaFusione, C.R. Frascati, Via E. Fermi 45, 00044 Frascati, Roma (Italy); Albanese, R.; Ambrosino, R. [CREATE, Università di Napoli Federicao II, Università di Cassino and Università di Napoli Parthenope, Via Claudio 19, 80125 Napoli (Italy)

    2016-11-15

    Highlights: • Parallel plasma equilibrium reconstruction using GPU for real-time control on EAST. • Vertical control using Bang-bang + PID method to improve the response and minimize the oscillation caused by the latency. • Quasi-snow flake divertor plasma configuration has been demonstrated on EAST. - Abstract: In order to improve the plasma control performance and enhance the capability for advanced plasma control, new algorithms such as PEFIT/ISOFLUX plasma shape feedback control, quasi-snowflake plasma shape development and vertical control under new vertical control power supply, have been implemented and experimentally tested and verified in EAST 2014 campaign. P-EFIT is a rewritten version of EFIT aiming at fast real-time equilibrium reconstruction by using GPU for parallelized computation. Successful control using PEFIT/ISOFLUX was established in dedicated experiment. Snowfldivertor plasma shape has the advantage of spreading heat over the divertor target and a quasi-snowflake (QSF) configuration was achieved in discharges with I{sub p} = 0.25 MA and B{sub t} = 1.8T, κ∼1.9, by plasma position feedback control. The shape feedback control to achieve QSF shape has been preliminary implemented by using PEFIT and the initial experimental test has been done. For more robust vertical instability control, the inner coil (IC) and its power supply have been upgraded. A new control algorithm with the combination of Bang-bang and PID controllers has been developed. It is shown that new vertical control power supply together with the new control algorithms results in higher vertical controllability.

  12. [Study of enhancement effect of laser-induced crater on plasma radiation].

    Science.gov (United States)

    Chen, Jin-Zhong; Zhang, Xiao-Ping; Guo, Qing-Lin; Su, Hong-Xin; Li, Guang

    2009-02-01

    Single pulses exported from high-energy neodymium glass laser were used to act on the same position of soil sample surface repeatedly, and the plasma emission spectra generated from sequential laser pulse action were collected by spectral recording system. The experimental results show that the laser-induced soil plasma radiation was enhanced continuously under the confinement effect of the crater walls, and the line intensities and signal-to-background ratios both had different improvements along with increasing the number of acting pulses. The photographs of the plasma image and crater appearance were taken to study the plasma shape, laser-induced crater appearance, and the mass of the ablated sample. The internal mechanism behind that laser-induced crater enhanced plasma radiation was researched. Under the sequential laser pulse action, the forming plasma as a result enlarges gradually first, leading to distortion at the trail of plasma plume, and then, its volume diminishes slowly. And also, the color of the plasma changes from buff to white gradually, which implies that the temperature increases constantly. The laser-induced crater had a regular shape, that is, the diameter increased from its bottom to top gradually, thus forming a taper. The mass of the laser-ablated substance descends along with increasing the amount of action pulse. Atomization degree of vaporized substance was improved in virtue of the crater confinement effect, Fresnel absorption produced from the crater walls reflection, and the inverse bremsstrahlung, and the plasma radiation intensity was enhanced as a result.

  13. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  14. Enhanced stimulated Raman scattering by femtosecond ultraviolet plasma grating in water

    Science.gov (United States)

    Liu, Fengjiang; Yuan, Shuai; He, Boqu; Nan, Junyi; Khan, Abdul Qayyum; Ding, Liang'en; Zeng, Heping

    2018-02-01

    Efficient forward stimulated Raman scattering (SRS) was observed along 400-nm femtosecond (fs) laser filaments in water. SRS conversion dominated over self-phase modulation induced continuum generation as the input pulse energy was above 4 μJ (˜30 Pcr), implying that plasma in the aqueous filamentation channel played an important role in compensating for the group velocity walk-off between the pump and Stokes pulses. By overlapping two synchronous fs 400-nm filaments to form plasma grating in water, significant enhancement of SRS conversion was observed. Such a SRS enhancement originated from the ultrahigh plasma density in the intersection region of the preformed plasma grating.

  15. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB.

    Science.gov (United States)

    Engelbrechtsen, L; Lundgren, J; Wewer Albrechtsen, N J; Mahendran, Y; Iepsen, E W; Finocchietto, P; Jonsson, A E; Madsbad, S; Holst, J J; Vestergaard, H; Hansen, T; Torekov, S S

    2017-12-01

    Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Fifty-eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m 2 [mean ± SD]) were included in this study. After 8 weeks on a very low-calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance-based lipidomics analysis. After an 8-week low-calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low-density lipoprotein and very low-density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre-weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). An 8-week low-calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when

  16. JPRS Report, Science & Technology, China

    Science.gov (United States)

    1988-11-10

    Plasma-Enhanced CVD Silicon Nitride as Antirefleetion Coating for Silicon Solar Cells 40090008f Beijing TAIYANGNENG XUEBAO [ACTA ENERGIAE SOLARIS SINICA...al.; TAIYANGNENG XUEBAO, No 3, Jul 88] 52 Plasma-Enhanced CVD Silicon Nitride as Antireflection Coating for Silicon Solar Cells [Mao Ganru, et al...130 First Fiber-Optic Line in Jiangxi 130 Solar Power Supply for Fiber-Optic Lines 130 Birefringent Single-Mode Optical Fiber 131 Nanchang

  17. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  18. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  19. Protection of active implant electronics with organosilicon open air plasma coating for plastic overmolding

    Directory of Open Access Journals (Sweden)

    Zeppenfeld Matthias

    2016-09-01

    Full Text Available To overcome challenges for manufacturing of modern smart medical plastic parts by injection molding, e.g. for active implants, the optimization of the interface between electronics and the polymer component concerning adhesion and diffusion behavior is crucial. Our results indicate that a nano-sized SiOxCyHz layer formed by plasma-enhanced chemical vapour deposition (PE-CVD via open air atmospheric pressure plasma jet (APPJ and by use of a hexamthyldisiloxane (HMDSO precursor can form a non-corrosive, anti-permeable and biocompatible coating. Due to the open air character of the APPJ process an inline coating before overmolding could be an easy applicable method and a promising advancement.

  20. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  1. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  2. Plasma Clot Lysis Time and Its Association with Cardiovascular Risk Factors in Black Africans

    NARCIS (Netherlands)

    Z. de Lange (Zelda); M. Pieters (Marlien); J.C. Jerling (Johann); A. Kruger (Annamarie); D.C. Rijken (Dingeman)

    2012-01-01

    textabstractStudies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid

  3. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  4. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  5. Mapping of 79 loci for 83 plasma protein biomarkers in cardiovascular disease

    DEFF Research Database (Denmark)

    Folkersen, Lasse Westergaard; Fauman, Eric; Sabater-Lleal, Maria

    2017-01-01

    Recent advances in highly multiplexed immunoassays have allowed systematic large-scale measurement of hundreds of plasma proteins in large cohort studies. In combination with genotyping, such studies offer the prospect to 1) identify mechanisms involved with regulation of protein expression...... in plasma, and 2) determine whether the plasma proteins are likely to be causally implicated in disease. We report here the results of genome-wide association (GWA) studies of 83 proteins considered relevant to cardiovascular disease (CVD), measured in 3,394 individuals with multiple CVD risk factors. We...... on coronary artery disease, and highlight several potentially causal associations. Overall, a majority of the plasma proteins studied showed evidence of regulation at the genetic level. Our results enable future studies of the causal architecture of human disease, which in turn should aid discovery of new...

  6. Anticorrosive coating of SixOyCz on metallic substrates applied with the plasma CVD technique

    International Nuclear Information System (INIS)

    Perillo, P; Lasorsa, C; Versaci, R

    2006-01-01

    This work deals with the production of anticorrosive coatings of Si x O y C z on metallic substrates by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a two layer coating, with a gaseous mixture using methyltrimethoxysilane (Z6070) with the contribution of O 2 and methane as reactive gases. The process involves two steps, the first with the substrate thermalized to 500 o C and the second step with the substrate at room temperature. In the first step the process is carried out with the mixture of O 2 and Z6070, in the second step methane is added to the mixture of the plasma forming gases. The coatings were carried out on AISI 410 stainless steel, AISI M2 steel, titanium and AA6061 aluminum substrates. This work presents the preliminary results of the electrochemical evaluation and the mechanical properties of the coating. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS/ESCA ), and scanning electron microscopy were used for this study. Electrochemical techniques were used to study the reaction to the corrosion of the coatings. Potentiodynamic polarization curves were prepared in a solution of 5% H 2 SO 4 and in NaCl 0,1M. The tests were undertaken at room temperature. This process is presented as an alternative to the conventional immersion processes by the sol-gel method, which produces the polymerization of the reagent as a result of the effect of the oxygen from the environment, while the plasma process produces very different chemical reactions in the center of the plasma itself with coatings also different (CW)

  7. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  8. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  9. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  10. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  11. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  12. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  13. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  14. Lower Plasma Fetuin-A Levels Are Associated With a Higher Mortality Risk in Patients With Coronary Artery Disease.

    Science.gov (United States)

    Chen, Xuechen; Zhang, Yuan; Chen, Qian; Li, Qing; Li, Yanping; Ling, Wenhua

    2017-11-01

    The present study was designed to evaluate the association of circulating fetuin-A with cardiovascular disease (CVD) and all-cause mortality. We measured plasma fetuin-A in 1620 patients using an enzyme-linked immunosorbent assay kit. The patients were members of the Guangdong coronary artery disease cohort and were recruited between October 2008 and December 2011. Cox regression models were used to estimate the association between plasma fetuin-A and the risk of mortality. A total of 206 deaths were recorded during a median follow-up of 5.9 years, 146 of whom died from CVD. The hazard ratios for the second and third tertiles of the fetuin-A levels (using the first tertile as a reference) were 0.65 (95% confidence interval, 0.44-0.96) and 0.51 (95% confidence interval, 0.33-0.78) for CVD mortality ( P =0.005) and 0.65 (95% confidence interval, 0.47-0.91) and 0.48 (95% confidence interval, 0.33-0.70) for all-cause mortality ( P <0.001), respectively. Lower plasma fetuin-A levels were associated with an increased risk of all-cause and CVD mortality in patients with coronary artery disease independently of traditional CVD risk factors. © 2017 American Heart Association, Inc.

  15. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  16. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  17. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    Science.gov (United States)

    Engelbrechtsen, L.; Lundgren, J.; Wewer Albrechtsen, N. J.; Mahendran, Y.; Iepsen, E. W.; Finocchietto, P.; Jonsson, A. E.; Madsbad, S.; Holst, J. J.; Vestergaard, H.; Hansen, T.

    2017-01-01

    Summary Background Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Methods Fifty‐eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m2 [mean ± SD]) were included in this study. After 8 weeks on a very low‐calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance‐based lipidomics analysis. Results After an 8‐week low‐calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low‐density lipoprotein and very low‐density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre‐weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). Conclusion An 8‐week low‐calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower

  18. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  19. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial (Prevención con Dieta Mediterránea).

    Science.gov (United States)

    Wang, Dong D; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A; Willett, Walter C; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A; Hu, Frank B

    2017-05-23

    Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between overnutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. The study population consisted of 980 participants from the PREDIMED trial (Prevención con Dieta Mediterránea), including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases) followed for ≤7.4 years. Participants were randomized to a Mediterranean diet supplemented with extra virgin olive oil, a Mediterranean diet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of nonfatal acute myocardial infarction, nonfatal stroke, or cardiovascular death. Hazard ratios were estimated with weighted Cox regression models using Barlow weights to account for the case-cohort design. The multivariable hazard ratios (HR) and 95% confidence intervals (CIs) comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0, and C24:1 ceramides were 2.39 (1.49-3.83, P trend Mediterranean diet and control groups during the first year of follow-up. Our study documented a novel positive association between baseline plasma ceramide concentrations and incident CVD. In addition, a Mediterranean dietary intervention may mitigate potential deleterious effects of elevated plasma ceramide concentrations on CVD. URL: http://www.isrctn.com. Unique identifier: ISRCTN35739639. © 2017 American Heart Association, Inc.

  20. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  1. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  2. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  3. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  8. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  9. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  10. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  11. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  12. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  13. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    Aims High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. Methods and

  14. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    2007-01-01

    High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. A nested

  15. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  16. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    Science.gov (United States)

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  17. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC model

    Directory of Open Access Journals (Sweden)

    van Kempen Bob JH

    2012-12-01

    Full Text Available Abstract Background We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1 internal and 2 predictive validity, the incidences of coronary heart disease (CHD, stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3 External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. Results At year 5, the observed incidences (with simulated incidences in brackets of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%, 3.60% (3.23%, 4.70% (4.80%, and 7.50% (7.96%, respectively. At year 13, these percentages were 10.60% (10.91%, 9.90% (9.13%, 14.20% (15.12%, and 24.30% (23.42%. After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated incidences of CVD and non-CVD mortality were 3.70% (4.95% and 6.50% (6.29%. All observed incidences fell well within the 95% credibility intervals of the simulated incidences. Conclusions We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  18. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  19. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Khattak, N A D [Department of Physics, Gomal Unversity, D I Khan (Pakistan); Ahmad, Zahoor; Murtaza, G [National Tokamak Fusion Program, PAEC, Islamabad (Pakistan); Zakaullah, M [Department of Physics, Quaid-i-Azam University, Islamabad 45320 (Pakistan)], E-mail: ktk_nad@yahoo.com

    2008-04-15

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B{sub z} (in magnitudes), if the switching time for the additional current is properly synchronized.

  20. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    International Nuclear Information System (INIS)

    Khattak, N A D; Ahmad, Zahoor; Murtaza, G; Zakaullah, M

    2008-01-01

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B z (in magnitudes), if the switching time for the additional current is properly synchronized

  1. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  2. Enhancement of the incoherent scattering plasma lines due to precipitating protons and secondary electrons

    International Nuclear Information System (INIS)

    Bjoernaa, N.; Havnes, O.; Jensen, J.O.; Trulsen, J.

    1982-01-01

    Precipitating protons in the energy range 1-100 keV are regularly present in the auroral ionosphere. These protons will produce enhancements in the intensity of the upshifted plasma line of the incoherently scattered spectrum. Similarly, secondary electrons produced by the precipitating protons give rise to enhanced plasma line intensities. For a quantitative discussion of these effects an experimentally measured proton flux is adapted and the corresponding secondary electron flux calculated. These particle fluxes are then applied in connection with the EISCAT radar facility. Both fluxes give rise to enhancements of the order of 20. It is possible to separate between proton and electron contributions to the enhanced plasma lines for scattering heights above the source region of secondary electrons. (Auth.)

  3. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  4. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  5. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  6. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  7. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  8. Lp(a-cholesterol is associated with HDL-cholesterol in overweight and obese African American children and is not an independent risk factor for CVD

    Directory of Open Access Journals (Sweden)

    Sharma Sushma

    2012-01-01

    Full Text Available Abstract Background The role of Lipoprotein (a cholesterol {Lp(a-C}as an additional and/or independent risk factor for cardiovascular disease (CVD is not clear. We evaluated the associations between Lp(a-C and other CVD risk factors including plasma lipoprotein concentrations and body fatness in overweight and obese African American children. Methods A cross-sectional analysis was carried out using data from a sample of 121 African American children aged 9-11 years with Body Mass Index (BMI's greater than the 85th percentile. Body height, weight and waist circumference (WC were measured. Fasting plasma concentrations of Lp(a-C, Total cholesterol (TC, High density lipoprotein cholesterol (HDL-C, Very low density lipoprotein cholesterol (VLDL-C, Intermediate density lipoprotein cholesterol (IDL-C, Low density lipoprotein cholesterol (LDL-C, and Triacylglycerides (TAG were analyzed using the vertical auto profile (VAP cholesterol method. Results After adjusting for child age, gender, and pubertal status, Lp(a-C was positively associated with both HDL-C and TC, and negatively associated with VLDL-C and TAG. Including BMIz and WC as additional covariates did not alter the direction of the relationships between Lp(a-C and the other lipoproteins. Finally, after adjusting for the other plasma lipoproteins, Lp(a-C remained strongly associated with HDL-C, whereas the associations of Lp(a-C with the other lipoproteins were not significant when HDL-C was simultaneously included in the regression models. Conclusions Lp(a-C was positively associated with HDL-C and this association is not influenced by other lipoprotein subclasses or by the degree of obesity. We conclude that Lp(a cholesterol is not an independent risk factor for CVD in African American children.

  9. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  10. Enhancing gas-phase reaction in a plasma using high intensity and high power ultrasonic acoustic waves

    DEFF Research Database (Denmark)

    2010-01-01

    is absorbed into said plasma (104), and where a sound pressure level of said generated ultrasonic high intensity and high power acoustic waves (102) is at least substantially 140 dB and where an acoustic power of said generated ultrasonic high intensity and high power acoustic waves (102); is at least...... substantially 100 W. In this way, a high sound intensity and power are obtained that efficiently enhances a gas-phase reaction in the plasma, which enhances the plasma process, e.g. enabling more efficient ozone or hydrogen generation using plasma in relation to reaction speed and/or obtained concentration......This invention relates to enhancing a gas-phase reaction in a plasma comprising: creating plasma (104) by at least one plasma source (106), and wherein that the method further comprises: generating ultrasonic high intensity and high power acoustic waves (102) having a predetermined amount...

  11. Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of Intervention Studies

    Directory of Open Access Journals (Sweden)

    Romeo B. Batacan

    2015-01-01

    Full Text Available The effects of light intensity physical activity (LIPA on cardiovascular disease (CVD risk factors remain to be established. This review summarizes the effects of LIPA on CVD risk factors and CVD-related markers in adults. A systematic search of four electronic databases (PubMed, Academic Search Complete, SPORTDiscus, and CINAHL examining LIPA and CVD risk factors (body composition, blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile and CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein, interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1 and 2 published between 1970 and 2015 was performed on 15 March 2015. A total of 33 intervention studies examining the effect of LIPA on CVD risk factors and markers were included in this review. Results indicated that LIPA did not improve CVD risk factors and CVD-related markers in healthy individuals. LIPA was found to improve systolic and diastolic blood pressure in physically inactive populations with a medical condition. Reviewed studies show little support for the role of LIPA to reduce CVD risk factors. Many of the included studies were of low to fair study quality and used low doses of LIPA. Further studies are needed to establish the value of LIPA in reducing CVD risk.

  12. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  13. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    Science.gov (United States)

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Enhancing Electrochemical Performance of Graphene Fiber-Based Supercapacitors by Plasma Treatment.

    Science.gov (United States)

    Meng, Jie; Nie, Wenqi; Zhang, Kun; Xu, Fujun; Ding, Xin; Wang, Shiren; Qiu, Yiping

    2018-04-25

    Graphene fiber-based supercapacitors (GFSCs) hold high power density, fast charge-discharge rate, ultralong cycling life, exceptional mechanical/electrical properties, and safe operation conditions, making them very promising to power small wearable electronics. However, the electrochemical performance is still limited by the severe stacking of graphene sheets, hydrophobicity of graphene fibers, and complex preparation process. In this work, we develop a facile but robust strategy to easily enhance electrochemical properties of all-solid-state GFSCs by simple plasma treatment. We find that 1 min plasma treatment under an ambient condition results in 33.1% enhancement of areal specific capacitance (36.25 mF/cm 2 ) in comparison to the as-prepared GFSC. The energy density reaches 0.80 μW h/cm 2 in polyvinyl alcohol/H 2 SO 4 gel electrolyte and 18.12 μW h/cm 2 in poly(vinylidene difluoride)/ethyl-3-methylimidazolium tetrafluoroborate electrolyte, which are 22 times of that of as-prepared ones. The plasma-treated GFSCs also exhibit ultrahigh rate capability (69.13% for 40 s plasma-treated ones) and superior cycle stability (96.14% capacitance retention after 20 000 cycles for 1 min plasma-treated ones). This plasma strategy can be extended to mass-manufacture high-performance carbonaceous fiber-based supercapacitors, such as graphene and carbon nanotube-based ones.

  15. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  16. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  17. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  18. Enhanced Field Emission from Argon Plasma-Treated Ultra-sharp α-Fe2O3Nanoflakes

    Directory of Open Access Journals (Sweden)

    Zhang JX

    2009-01-01

    Full Text Available Abstract Hematite nanoflakes have been synthesized by a simple heat oxide method and further treated by Argon plasmas. The effects of Argon plasma on the morphology and crystal structures of nanoflakes were investigated. Significant enhancement of field-induced electron emission from the plasma-treated nanoflakes was observed. The transmission electron microscopy investigation shows that the plasma treatment effectively removes amorphous coating and creates plenty of sub-tips at the surface of the nanoflakes, which are believed to contribute the enhancement of emission. This work suggests that plasma treatment technique could be a direct means to improve field-emission properties of nanostructures.

  19. Changes in CVD risk factors in the activity counseling trial

    Directory of Open Access Journals (Sweden)

    Meghan Baruth

    2011-01-01

    Full Text Available Meghan Baruth1, Sara Wilcox1, James F Sallis3, Abby C King4,5, Bess H Marcus6, Steven N Blair1,21Department of Exercise Science, 2Department of Epidemiology and Biostatistics, Arnold School of Public Health, University of South Carolina, Public Health Research Center, Columbia, SC, USA; 3Department of Psychology, San Diego State University, San Diego, CA, USA; 4Department of Health Research and Policy, 5Stanford Prevention Research Center, Department of Medicine, Stanford University School of Medicine, Stanford, CA, USA; 6Behavioral and Social Sciences Section, Brown University Program in Public Health, Providence, RI, USAAbstract: Primary care facilities may be a natural setting for delivering interventions that focus on behaviors that improve cardiovascular disease (CVD risk factors. The purpose of this study was to examine the 24-month effects of the Activity Counseling Trial (ACT on CVD risk factors, to examine whether changes in CVD risk factors differed according to baseline risk factor status, and to examine whether changes in fitness were associated with changes in CVD risk factors. ACT was a 24-month multicenter randomized controlled trial to increase physical activity. Participants were 874 inactive men and women aged 35–74 years. Participants were randomly assigned to one of three arms that varied by level of counseling, intensity, and resource requirements. Because there were no significant differences in change over time between arms on any of the CVD risk factors examined, all arms were combined, and the effects of time, independent of arm, were examined separately for men and women. Time × Baseline risk factor status interactions examined whether changes in CVD risk factors differed according to baseline risk factor status. Significant improvements in total cholesterol, high-density lipoprotein cholesterol (HDL-C and low-density lipoprotein cholesterol, the ratio of total cholesterol to HDL-C, and triglycerides were seen in

  20. Energization of the Ring Current through Convection of Substorm Enhancements of the Plasma Sheet Source.

    Science.gov (United States)

    Menz, A.; Kistler, L. M.; Mouikis, C.; Spence, H. E.; Henderson, M. G.; Matsui, H.

    2017-12-01

    It has been shown that electric field strength and night-side plasma sheet density are the two best predictors of the adiabatic energy gain of the ring current during geomagnetic storms (Liemohn and Khazanov, 2005). While H+ dominates the ring current during quiet times, O+ can contribute substantially during geomagnetic storms. Substorm activity provides a mechanism to enhance the energy density of O+ in the plasma sheet during geomagnetic storms, which is then convected adiabatically into the inner-magnetosphere. Using the Van Allen Probes data in the the plasma sheet source region (defined as L>5.5 during storms) and the inner magnetosphere, along with LANL-GEO data to identify substorm injection times, we show that adiabatic convection of O+ enhancements in the source region can explain the observed enhancements in the inner magnetosphere. We use the UNH-IMEF electric field model to calculate drift times from the source region to the inner magnetosphere to test whether enhancements in the inner-magnetosphere can be explained by dipolarization driven enhancements in the plasma sheet source hours before.

  1. Efecto del argon en películas CNxHy depositadas mediante ECR-CVD

    Directory of Open Access Journals (Sweden)

    Albella, J. M.

    2004-04-01

    Full Text Available Carbon nitride films have been deposited by ECR-CVD, from Ar/CH4/N2 gas mixtures with different methane concentrations. Infrared Spectroscopy (IRS and Elastic Recoil Detection Analysis (ERDA have been used for films characterisation and Optical Emission Spectroscopy (OES for plasma analysis. Argon concentration in the gas mixture controls the growth rate as well as the composition of the film. In the proposed model, argon plays a key role in the activation of methane molecules. Also, during the growth of the film, two processes may be considered: i Film formation and ii Etching of the growing surface. Changing the gas mixture composition affects both processes, which results in films with different composition and structure as well as different deposition rates.Se ha estudiado el efecto del argon durante el proceso de CVD asistido por un plasma ECR para la síntesis de películas de nitruro de carbono (CNxHy a partir de mezclas gaseosas Ar/CH4/N2 con diferente contenido de metano. Las películas depositadas han sido analizadas mediante espectroscopía infrarroja (IRS y ERDA (Elastic Recoil Detection Analysis, y el análisis del plasma ha sido realizado utilizando la técnica de espectroscopía de emisión óptica (OES. La velocidad de deposición y la composición de las películas depositadas se encuentran determinadas por la concentración de argon en la mezcla gaseosa. Se propone un modelo, según el cual el argon juega un papel fundamental como activador de las moléculas de metano. El modelo propuesto incluye dos procesos simultáneos durante el crecimiento de las capas : i formación de la capa y ii ataque de la superficie de crecimiento. Según la composición de la mezcla gaseosa se favorece uno u otro proceso, lo que conduce a velocidades de deposición diferentes así como a depósitos con diferente composición y estructura atómica.

  2. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  3. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  4. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  5. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    Science.gov (United States)

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  6. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization

  7. Thermal Analysis of Cold Vacuum Drying (CVD) of Spent Nuclear Fuel (SNF)

    International Nuclear Information System (INIS)

    PIEPHO, M.G.

    2000-01-01

    The thermal analysis examined transient thermal and chemical behavior of the Multi-Canister Overpack (MCO) container for a broad range of cases that represent the Cold Vacuum Drying (CVD) processes. The cases were defined to consider both normal and off-normal operations at the CVD Facility for an MCO with N Reactor spent fuel. This analysis provides the basis for the MCO thermal behavior at the CVD Facility in support of the safety basis documentation

  8. Terahertz-Radiation-Enhanced Emission of Fluorescence from Gas Plasma

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2009-01-01

    We report the study of femtosecond laser-induced air plasma fluorescence under the illumination of terahertz (THz) pulses. Semiclassical modeling and experimental verification indicate that time-resolved THz radiation-enhanced emission of fluorescence is dominated by the electron kinetics and the electron-impact excitation of gas molecules or ions. We demonstrate that the temporal waveform of the THz field could be retrieved from the transient enhanced fluorescence, making omnidirectional, coherent detection available for THz time-domain spectroscopy.

  9. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  10. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  11. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  12. InN grown by migration enhanced afterglow (MEAglow)

    International Nuclear Information System (INIS)

    Butcher, Kenneth Scott A.; Alexandrov, Dimiter; Terziyska, Penka; Georgiev, Vasil; Georgieva, Dimka; Binsted, Peter W.

    2012-01-01

    InN thin films were grown by a new technique, migration enhanced afterglow (MEAglow), a chemical vapour deposition (CVD) form of migration enhanced epitaxy (MEE). Here we describe the apparatus used for this form of film deposition, which includes a scalable hollow cathode nitrogen plasma source. Initial film growth results for InN are also presented including atomic force microscopy (AFM) images that indicate step flow growth with samples having root mean square (RMS) surface roughness of as little as 0.103 nm in some circumstances for film growth on sapphire substrates. X-ray diffraction (XRD) results are also provided for samples with a full width half maximum (FWHM) of the (0002) ω-2θ peak of as little as 290 arcsec. Low pressure conditions that can result in damage to the InN during growth are described. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  14. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  15. Myeloperoxidase in the plasma and placenta of normal pregnant women and women with pregnancies complicated by preeclampsia and intrauterine growth restriction.

    Science.gov (United States)

    Hung, T-H; Chen, S-F; Lo, L-M; Li, M-J; Yeh, Y-L; Hsieh, T-T

    2012-04-01

    Myeloperoxidase (MPO) is a heme protein produced and released by activated neutrophils and monocytes, and increased MPO is considered important in the pathophysiology of cardiovascular diseases (CVD). Accumulating evidence suggests that preeclampsia (PE), idiopathic intrauterine growth restriction (IUGR), and CVD share many similar metabolic disturbances, including an enhanced systemic inflammatory response and endothelial dysfunction. We hypothesized that MPO plays an important role in the development of PE and IUGR. Plasma samples were collected mid-gestation and at delivery from women with normal pregnancies (n = 40) and those who subsequently developed PE (n = 20), IUGR (n = 11) or both (PE + IUGR, n = 8). Placental samples were obtained immediately after delivery from 22 women with normal pregnancies, 19 women with PE, 14 women with IUGR, and 14 women with PE + IUGR. The MPO concentrations were measured using ELISA. Women with PE + IUGR had significantly higher plasma MPO before delivery than normal pregnant women. There was no difference in plasma levels at mid-gestation or the placental concentrations between women with normal pregnancies and those who developed PE, IUGR, or PE + IUGR. Using explants prepared from the placentas of 8 women with normal pregnancies and 8 women with PE, we found no difference in the levels of MPO in the tissue homogenates and culture media between these two groups of women. Together, these results indicate that increased maternal circulating MPO in women with PE + IUGR is likely a result of enhanced systemic inflammation caused by the established disease rather than a primary pathophysiological factor. Copyright © 2012 Elsevier Ltd. All rights reserved.

  16. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  17. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    Science.gov (United States)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  18. Effects of Dietary Macronutrients on Plasma Lipid Levels and the Consequence for Cardiovascular Disease

    Directory of Open Access Journals (Sweden)

    Emilie Daoud

    2014-10-01

    Full Text Available Despite gaining focus, cardiovascular disease (CVD remains the leading cause of death worldwide. Health promotion agencies have traditionally recommended diets that are low in fat in order to reduce CVD risk however, much debate remains about which dietary approaches are the most efficient for effective disease prevention. Common markers of CVD include elevated plasma triglycerides (TG and low-density lipoprotein (LDL cholesterol levels, as well as reduced high-density lipoprotein (HDL cholesterol levels. While weight loss alone can significantly reduce markers of CVD, manipulating dietary macronutrient content contributes to the beneficial effects of weight loss and furthers the improvement of lipid profiles even without the alteration of total caloric intake. Considering the recent attention to diets that are low in carbohydrates rather than fat, it remains to be elucidated the beneficial effects of each diet type when establishing new recommendations for CVD prevention. This review aims to examine the effects of different macronutrient compositions on lipid markers, thus providing insight into the potential roles of various diet types in the targeted prevention against CVD.

  19. A Hybrid Information Mining Approach for Knowledge Discovery in Cardiovascular Disease (CVD

    Directory of Open Access Journals (Sweden)

    Stefania Pasanisi

    2018-04-01

    Full Text Available The healthcare ambit is usually perceived as “information rich” yet “knowledge poor”. Nowadays, an unprecedented effort is underway to increase the use of business intelligence techniques to solve this problem. Heart disease (HD is a major cause of mortality in modern society. This paper analyzes the risk factors that have been identified in cardiovascular disease (CVD surveillance systems. The Heart Care study identifies attributes related to CVD risk (gender, age, smoking habit, etc. and other dependent variables that include a specific form of CVD (diabetes, hypertension, cardiac disease, etc.. In this paper, we combine Clustering, Association Rules, and Neural Networks for the assessment of heart-event-related risk factors, targeting the reduction of CVD risk. With the use of the K-means algorithm, significant groups of patients are found. Then, the Apriori algorithm is applied in order to understand the kinds of relations between the attributes within the dataset, first looking within the whole dataset and then refining the results through the subsets defined by the clusters. Finally, both results allow us to better define patients’ characteristics in order to make predictions about CVD risk with a Multilayer Perceptron Neural Network. The results obtained with the hybrid information mining approach indicate that it is an effective strategy for knowledge discovery concerning chronic diseases, particularly for CVD risk.

  20. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  1. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  2. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  3. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    Science.gov (United States)

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  4. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  5. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  6. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    Science.gov (United States)

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  7. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  8. Numerical analysis of the effect of plasma flow control on enhancing the aerodynamic characteristics of stratospheric screw propeller

    International Nuclear Information System (INIS)

    Cheng Yufeng; Nie Wansheng

    2012-01-01

    Based on the body force aerodynamic actuation mechanism of dielectric barrier discharge (DBD) plasma, the effect of plasma flow control on enhancing the aerodynamic characteristics of ten blade elements equably along the stratospheric screw propeller blade was numerical studied. Then the effect of plasma flow control enhancing the aerodynamic characteristics of stratospheric screw propeller was compared that by the blade element theory method. The results show that the flow separate phenomena will easily happen in the root region and top end region of screw propeller, and the blade elements in the root region of screw propeller may work on the negative attack angle condition. DBD plasma flow control can entirely restrain the faintish flow separate phenomena in middle region of screw propeller. Although DBD plasma flow control can not entirely restrain the badly flow separate phenomena in top end region of screw propeller, it also can enhance the aerodynamic characteristics of blade elements in these regions in same degree. But effect of DBD plasma flow control on enhancing the aerodynamic characteristics of the blade elements working on the negative attack angle condition is ineffectively. It can be concluded that DBD plasma flow control can enhance the aerodynamic characteristics of stratospheric screw propeller, the thrust of the whole propeller and the propeller efficiency in the case of plasma on will increases by a factor of 28.27% and 12.3% respectively compared with that in the case of plasma off studied. (authors)

  9. Enhanced electromagnetic emission from plasmas containing positive dust grains and electrons

    International Nuclear Information System (INIS)

    Shukla, P.K.; Shukla, Nitin; Stenflo, L.

    2007-01-01

    Large amplitude high-frequency (HF) electromagnetic (EM) waves can scatter off dust-acoustic waves in plasmas containing positive dust grains and electrons, and can thus be responsible for HF enhanced electromagnetic emissions (EEE). An expression for the ensemble average of the squared HF-EEE vector potential is therefore derived, following the standard parametric interaction formalism and adopting the Rostoker superposition principle. The results should be useful for deducing the dust plasma parameters (e.g. the dust number density and dust charge) in situ, and HF intense EM beams can thus be used for diagnosis of positive dust-electron plasmas in space and laboratories

  10. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  11. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  12. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  13. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    Science.gov (United States)

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  14. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  15. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  16. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  17. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  18. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  19. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  20. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  1. Combustion Enhancement Via Stabilized Piecewise Nonequilibrium Gliding Arc Plasma Discharge (Postprint)

    National Research Council Canada - National Science Library

    Ombrello, Timothy; Qin, Xiao; Ju, Yiguang; Gutsol, Alexander; Fridman, Alexander; Carter, Campbell

    2006-01-01

    ... enhancement of methane-air diffusion flames. The results showed that the new system provided a well-defined flame geometry for the understanding of the basic mechanism of the plasma-flame interaction...

  2. CVD calibration light systems specifications. Rev. 0

    International Nuclear Information System (INIS)

    Mcllwain, A. K.

    1992-04-01

    Two prototype Cerenkov Viewing Device Calibration Light systems for the Mark IV CVD have been fabricated. They consist of a maintenance unit that will be used by the IAEA maintenance staff and a field unit that will be used by IAEA inspectors. More detailed information on the design of the calibration units can be obtained from the document SSP-39 and additional information on the Mark IV CVD can be obtained from the operating manual published as Canadian Safeguards Support Program document CSSP 6. The specifications refer to the prototype units which will be demonstrated to the IAEA in 1992 May. Based upon the feedback from the IAEA, the instruments will be changed in the final production models to provide devices that more closely satisfy the needs of the end users

  3. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  4. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  5. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  6. Enhancement and stabilization of plasma using collinear long-short double-pulse laser-induced breakdown spectroscopy

    Science.gov (United States)

    Cui, Minchao; Deguchi, Yoshihiro; Wang, Zhenzhen; Fujita, Yuki; Liu, Renwei; Shiou, Fang-Jung; Zhao, Shengdun

    2018-04-01

    A collinear long-short dual-pulse laser-induced breakdown spectroscopy (DP-LIBS) method was employed to enhance and stabilize the laser-induced plasma from steel sample. The long-pulse-width laser beam with the pulse width of 60 μs was generated by a Nd: YAG laser which was operated at FR (free running) mode. The comparative experiments were carried out between single pulse LIBS (SP-LIBS) and long-short DP-LIBS. The recorded results showed that the emission intensities and the temperature of plasma were enhanced by long-short DP-LIBS. The plasma images showed that the plasma was bigger and had a longer lifetime in long-short DP-LIBS situation. Through the calculation of time-resolved plasma temperature and intensity ratio, it can be concluded that the plasma was stabilized by the long-pulse-width laser beam. The long-short DP-LIBS method also generated the stable plasma condition from the samples with different initial temperatures, which overcame the difficulties of LIBS in the online measurement for steel production line.

  7. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  8. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  9. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  10. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  11. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    International Nuclear Information System (INIS)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S.; Kim, Yoon-Jae; Park, Man-Jin; Moon, Dae Won

    2012-01-01

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m 2 SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  12. Proteome changes in rat plasma in response to sibutramine.

    Science.gov (United States)

    Choi, Jung-Won; Joo, Jeong In; Kim, Dong Hyun; Wang, Xia; Oh, Tae Seok; Choi, Duk Kwon; Yun, Jong Won

    2011-04-01

    Sibutramine is an anti-obesity agent that induces weight loss by selective inhibition of neuronal reuptake of serotonin and norepinephrine; however, it is associated with the risk of cardiovascular diseases (CVD), including heart attack and stroke. Here, we analyzed global protein expression patterns in plasma of control and sibutramine-treated rats using proteomic analysis for a better understanding of the two conflicting functions of this drug, appetite regulation, and cardiovascular risk. The control (n=6) and sibutramine-treated groups (n=6) were injected by vehicle and sibutramine, respectively, and 2-DE combined with MALDI-TOF/MS were performed. Compared to control rats, sibutramine-administered rats gained approximately 18% less body weight and consumed about 13% less food. Plasma leptin and insulin levels also showed a significant decrease in sibutramine-treated rats. As a result of proteomic analysis, 23 differentially regulated proteins were discovered and were reconfirmed by immunoblot analysis. Changed proteins were classified into appetite regulation and cardiovascular risk, according to their regulation pattern. Because the differential levels of proteins that have been well recognized as predictors of CVD risk were not well matched with the results of our proteomic analysis, this study does not conclusively prove that sibutramine has an effect on CVD risk. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Experimental investigation of lift enhancement for flying wing aircraft using nanosecond DBD plasma actuators

    Science.gov (United States)

    Yao, Junkai; Zhou, Danjie; He, Haibo; He, Chengjun; Shi, Zhiwei; Du, Hai

    2017-04-01

    The effects of the arrangement position and control parameters of nanosecond dielectric barrier discharge (NS-DBD) plasma actuators on lift enhancement for flying wing aircraft were investigated through wind tunnel experiments at a flow speed of 25 m s-1. The aerodynamic forces and moments were obtained by a six-component balance at angles of attack ranging from -4° to 28°. The lift, drag and pitching moment coefficients were compared for the cases with and without plasma control. The results revealed that the maximum control effect was achieved by placing the actuator at the leading edge of the inner and middle wing, for which the maximum lift coefficient increased by 37.8% and the stall angle of attack was postponed by 8° compared with the plasma-off case. The effects of modulation frequency and discharge voltage were also investigated. The results revealed that the lift enhancement effect of the NS-DBD plasma actuators was strongly influenced by the modulation frequency. Significant control effects were obtained at f = 70 Hz, corresponding to F + ≈ 1. The result for the pitching moment coefficient demonstrated that the plasma actuator can induce the reattachment of the separation flows when it is actuated. However, the results indicated that the discharge voltage had a negligible influence on the lift enhancement effect.

  14. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    Science.gov (United States)

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  15. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Association of BMI with risk of CVD mortality and all-cause mortality.

    Science.gov (United States)

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMIBMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  17. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  18. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  19. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  20. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  1. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  2. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  3. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    Science.gov (United States)

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  4. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    Science.gov (United States)

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  5. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  6. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Directory of Open Access Journals (Sweden)

    Zelda de Lange

    Full Text Available Studies in populations of European descent show longer plasma clot lysis times (CLT in patients with cardiovascular disease (CVD than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years were cross-sectionally analysed.Increased PAI-1(act, BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  7. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Science.gov (United States)

    de Lange, Zelda; Pieters, Marlien; Jerling, Johann C; Kruger, Annamarie; Rijken, Dingeman C

    2012-01-01

    Studies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years) were cross-sectionally analysed.Increased PAI-1(act), BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  8. Large plasma density enhancements occurring in the northern polar region during the 6 April 2000 superstorm

    Science.gov (United States)

    Horvath, Ildiko; Lovell, Brian C.

    2014-06-01

    We focus on the ionospheric response of northern high-latitude region to the 6 April 2000 superstorm and aim to investigate how the storm-enhanced density (SED) plume plasma became distributed in the regions of auroral zone and polar cap plus to study the resultant ionospheric features and their development. Multi-instrument observational results combined with model-generated, two-cell convection maps permitted identifying the high-density plasma's origin and the underlying plasma transportation processes. Results show the plasma density feature of polar cap enhancement (PCE; 600 × 103 i+/cm3) appearing for 7 h during the main phase and characterized by increases reaching up to 6 times of the quiet time values. Meanwhile, strong westward convections ( 17,500 m/s) created low plasma densities in a wider region of the dusk cell. Oppositely, small ( 750 m/s) but rigorous westward drifts drove the SED plume plasma through the auroral zone, wherein plasma densities doubled. As the SED plume plasma traveled along the convection streamlines and entered the polar cap, a continuous enhancement of the tongue of ionization (TOI) developed under steady convection conditions. However, convection changes caused slow convections and flow stagnations and thus segmented the TOI feature by locally depleting the plasma in the affected regions of the auroral zone and polar cap. From the strong correspondence of polar cap potential drop and subauroral polarization stream (SAPS), we conclude that the SAPS E-field strength remained strong, and under its prolonged influence, the SED plume provided a continuous supply of downward flowing high-density plasma for the development and maintenance of PCEs.

  9. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  10. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  11. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  12. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Yoon-Jae [Samsung Electronics Co. Ltd., Gyeonggi 445-701 (Korea, Republic of); Park, Man-Jin [Research Institute of Nano Manufacturing System, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Moon, Dae Won [Nanobio Fusion Research Center, Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of)

    2012-02-15

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m{sup 2} SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  13. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  14. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  15. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: The rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    B.J.H. van Kempen (Bob); B.S. Ferket (Bart); A. Hofman (Albert); E.W. Steyerberg (Ewout); E.B. Colkesen (Ersen); S.M. Boekholdt (Matthijs); N.J. Wareham (Nick); K-T. Khaw (Kay-Tee); M.G.M. Hunink (Myriam)

    2012-01-01

    textabstractBackground: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established.Methods: The Rotterdam Ischemic

  16. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  17. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  18. Predictive properties of plasma amino acid profile for cardiovascular disease in patients with type 2 diabetes.

    Directory of Open Access Journals (Sweden)

    Shinji Kume

    Full Text Available Prevention of cardiovascular disease (CVD is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke. Using the PFAA profiles and clinical information, an index (CVD-AI consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI: 0.64-0.79] showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62-0.77] on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57-5.19]. This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria.

  19. Predictive Properties of Plasma Amino Acid Profile for Cardiovascular Disease in Patients with Type 2 Diabetes

    Science.gov (United States)

    Kume, Shinji; Araki, Shin-ichi; Ono, Nobukazu; Shinhara, Atsuko; Muramatsu, Takahiko; Araki, Hisazumi; Isshiki, Keiji; Nakamura, Kazuki; Miyano, Hiroshi; Koya, Daisuke; Haneda, Masakazu; Ugi, Satoshi; Kawai, Hiromichi; Kashiwagi, Atsunori; Uzu, Takashi; Maegawa, Hiroshi

    2014-01-01

    Prevention of cardiovascular disease (CVD) is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA) profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke). Using the PFAA profiles and clinical information, an index (CVD-AI) consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI): 0.64–0.79]) showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62–0.77]) on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57–5.19]). This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria. PMID:24971671

  20. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  1. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  2. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  3. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  4. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    Science.gov (United States)

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  5. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  6. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  7. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  8. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    van Kempen, Bob J. H.; Ferket, Bart S.; Hofman, Albert; Steyerberg, Ewout W.; Colkesen, Ersen B.; Boekholdt, S. Matthijs; Wareham, Nicholas J.; Khaw, Kay-Tee; Hunink, M. G. Myriam

    2012-01-01

    Background: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods: The Rotterdam Ischemic Heart Disease

  9. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  10. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  11. Enhanced coagulation activation in preeclampsia: the role of APC resistance, microparticles and other plasma constituents

    NARCIS (Netherlands)

    VanWijk, Marja J.; Boer, Kees; Berckmans, René J.; Meijers, Joost C. M.; van der Post, Joris A. M.; Sturk, Augueste; VanBavel, Ed; Nieuwland, Rienk

    2002-01-01

    Coagulation activation in pregnancy is further enhanced in preeclampsia. We investigated whether this results from increased thrombin generation by the plasma itself or its cell-derived microparticles. Plasma samples were obtained from preeclamptic, normal pregnant and nonpregnant women (each n =

  12. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  13. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  14. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  15. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  16. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  17. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  18. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    Science.gov (United States)

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , pcoffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  20. Higher plasma soluble Receptor for Advanced Glycation End Products (sRAGE) levels are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes

    DEFF Research Database (Denmark)

    Nin, Johanna W M; Jorsal, Anders; Ferreira, Isabel

    2010-01-01

    To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunct......To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal...

  1. Enhanced Detection of Human Plasma Proteins on Nanostructured Silver Surfaces

    Directory of Open Access Journals (Sweden)

    Zuzana Orságová Králová

    2013-08-01

    enhancement factor of 3.6×102 was achieved for a band with a Raman shift of 2104cm‐1 for globulin deposited onto silver nanostructured film on unpolished stainless steel substrate. The detection limit was 400g/mL. Plasma or serum could present a preferable material for non‐ invasive cancer disease diagnosis using the SERS method.

  2. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  3. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  4. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  5. Plasma position and current control system enhancements for the JET ITER-like wall

    Energy Technology Data Exchange (ETDEWEB)

    De Tommasi, G. [Associazione EURATOM-ENEA-CREATE, Univ. di Napoli Federico II, Via Claudio 21, 80125 Napoli (Italy); Maviglia, F. [Associazione EURATOM-ENEA-CREATE, Via Claudio 21, 80125 Napoli (Italy); Neto, A.C. [Ass. EURATOM-IST, Instituto de Plasmas e Fusão Nuclear, IST, 1049-001 Lisboa (Portugal); Lomas, P.J.; McCullen, P.; Rimini, F.G. [Euratom-CCFE, Culham Science Centre, OX14 3DB Abingdon (United Kingdom)

    2014-03-15

    Highlights: • JET plasma position and current control system enhanced for the JET ITER like wall. • Vertical stabilization system enhanced to speed up its response and to withstand larger perturbations. • Improved termination management system. • Implementation of the current limit avoidance system. • Implementation of PFX-on-early-task. - Abstract: The upgrade of Joint European Torus (JET) to a new all-metal wall, the so-called ITER-like wall (ILW), has posed a set of new challenges regarding both machine operation and protection. The plasma position and current control (PPCC) system plays a crucial role in minimizing the possibility that the plasma could permanently damage the ILW. The installation of the ILW has driven a number of upgrades of the two PPCC components, namely the Vertical Stabilization (VS) system and the Shape Controller (SC). The VS system has been enhanced in order to speed up its response and to withstand larger perturbations. The SC upgrade includes three new features: an improved termination management system, the current limit avoidance system, and the PFX-on-early-task. This paper describes the PPCC upgrades listed above, focusing on the implementation issues and on the experimental results achieved during the 2011–12 JET experimental campaigns.

  6. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  7. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  8. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  9. Synthesis of AlN fine particles by surface corona discharge-CVD; Enmen corona hoden CVD ni yoru AlN biryushi no gosei

    Energy Technology Data Exchange (ETDEWEB)

    Oyama, Y.; Chiba, S. [Hokkaido National Industrial Research Institute, Sapporo (Japan); Harima, K> ; Kondo, K.; Shinohara, K. [Hokkaido University, Sapporo (Japan)

    1994-09-15

    With an objective to improve insulating and heat dissipating substrates substituting for the conventional alumina substrates, discussions been given on synthesis of AlN fine particles by means of gaseous phase reaction between AlCl3 and NH3 using surface corona discharge as a reaction exciting source. AIN particles should be highly pure to acquire high-heat conductivity, and fine and uniform particles to obtain dense sinters at low temperatures. The particles obtained by using the present method were amorphous particles having nearly spherical form and smooth surface. The particle diameter depends on the initial concentration of AlCl3, and is proportional to 0.4 square of the concentration. Within the range in the present experiment, the diameters ranged from 208 nm to 431 nm. The particle diameter increased in proportion to 0.2 square of an average gas stagnating time within the plasma generating region. The particle size distribution consisted of highly uniform fine particles having the standard deviation at about the same degree as that in the conventional thermal CVD process. The alumina-based oxygen was removed completely by reduction due to graphite powder, but the re-oxidation during removal of the remaining graphite using combustion had oxygen remained at 7.4% by weight. 16 refs., 7 figs.

  10. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  11. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  12. The inverse association of incident cardiovascular disease with plasma bilirubin is unaffected by adiponectin

    NARCIS (Netherlands)

    Dullaart, Robin P. F.; Boersema, Jeltje; Lefrandt, Joop D.; Wolffenbuttel, Bruce H. R.; Bakker, Stephan J. L.

    Objective: Bilirubin may protect against atherosclerotic cardiovascular disease (CVD). The heme oxygenase pathway is crucial for bilirubin generation, and is stimulated by adiponectin. We tested the relationship of plasma bilirubin with adiponectin, and determined whether the association of incident

  13. Metallo–organic compound-based plasma enhanced CVD of ZrO2 ...

    Indian Academy of Sciences (India)

    Unknown

    require a passivation barrier (oxynitride or nitride) to prevent interfacial layer growth (Ngai et al 2000). Zirconium dioxide (ZrO2) is one of the few high-k dielectrics predicted to be thermodynamically stable in contact with silicon (Qi et al 1999). ZrO2 was also characterized for low electrical conductivity and chemical inertness ...

  14. Research on EBEP (Electron Beam Excited Plasma) applications; EBEP (denshi beam reiki plasma) no tekiyo gijutsu ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Yanase, E.; Ryoji, M.; Mori, Y.; Tokai, M. [Kawasaki Heavy Industries, Ltd., Kobe (Japan)

    1996-04-20

    Research and development is actively conducted on machining technologies using plasma in various fields, with studies energetically pursued on etching techniques or those of forming a thin film by the use of high frequency and microwave plasma. The EBEP system jointly developed by Kawasaki Heavy Industries Ltd. and Institute of Physical and Chemical Research is a plasma source for forming a high density plasma by implanting into a plasma chamber from the outside a high-current electron beam accelerated to an energy of approximately 60 to 100eV where the collision cross-section of gas ionization is maximized. The characteristics of the system are such as (1) it enables electron energy distribution to be controlled from outside by varying acceleration voltage, (2) it excels in the controllability of ion energy and (3) it allows to form a steady high-density plasma in a nonmagnetic field. This paper presents the generating principle of EBEP, its plasma characteristics, etching technique using EBEP, thin film forming technique by EBEP-CVD method, and multipurpose apparatus for research and development. 6 refs., 7 figs., 1 tab.

  15. Enhancing quality of carbon nanotubes through a real-time controlled CVD process with application to next-generation nanosystems

    Science.gov (United States)

    Laxminarayana, Karthik; Jalili, Nader

    2004-07-01

    Nanocrystals and nanostructures will be the building blocks for future materials that will exhibit enhanced or entirely new combinations of properties with tremendous opportunity for novel technologies that can have far-reaching impact on our society. It is, however, realized that a major challenge for the near future is the design, synthesis and integration of nanostructures to develop functional nanosystems. In view of this, this exploratory research seeks to facilitate the development of a controlled and deterministic framework for nanomanufacturing of nanotubes as the most suitable choice among nanostructures for a plethora of potential applications in areas such as nanoelectronic devices, biological probes, fuel cell electrodes, supercapacitors and filed emission devices. Specifically, this paper proposes to control and maintain the most common nanotube growth parameters (i.e., reaction temperature and gas flow rate) through both software and hardware modifications. The influence of such growth parameters in a CVD process on some of the most vital and crucial aspects of nanotubes (e.g., length, diameter, yield, growth rate and structure) can be utilized to arrive at some unique and remarkable properties for the nanotubes. The objective here is, therefore, to control the process parameters to pinpoint accuracy, which would enable us to fabricate nanotubes having the desired properties and thereby maximize their ability to function at its fullest potential. To achieve this and in order to provide for experimental validation of the proposed research program, an experimental test-bed using the nanotube processing test chamber and a mechatronics workstation are being constructed.

  16. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  17. Accuracy enhancement of laser induced breakdown spectra using permittivity and size optimized plasma confinement rings.

    Science.gov (United States)

    Li, An; Guo, Shuai; Wazir, Nasrullah; Chai, Ke; Liang, Liang; Zhang, Min; Hao, Yan; Nan, Pengfei; Liu, Ruibin

    2017-10-30

    The inevitable problems in laser induced breakdown spectroscopy are matrix effect and statistical fluctuation of the spectral signal, which can be partly avoided by utilizing a proper confined unit. The dependences of spectral signal enhancement on relative permittivity were studied by varying materials to confine the plasma, which include polytetrafluoroethylene(PTFE), nylon/dacron, silicagel, and nitrile-butadiene rubber (NBR) with the relative permittivity 2.2, ~3.3, 3.6, 8~13, 15~22. We found that higher relative permittivity rings induce stronger enhancement ability, which restricts the energy dissipation of plasma better and due to the reflected electromagnetic wave from the wall of different materials, the electromagnetic field of plasma can be well confined and makes the distribution of plasma more orderly. The spectral intensities of the characteristic lines Si I 243.5 nm and Si I 263.1 nm increased approximately 2 times with relative permittivity values from 2.2 to ~20. The size dependent enhancement of PTFE was further checked and the maximum gain was realized by using a confinement ring with a diameter size of 5 mm and a height of 3 mm (D5mmH3mm), and the rings with D2mmH1mm and D3mmH2mm also show higher enhancement factor. In view of peak shift, peak lost and accidental peaks in the obtained spectra were properly treated in data progressing; the spectral fluctuation decreased drastically for various materials with different relative permittivities as confined units, which means the core of plasma is stabilized, attributing to the confinement effect. Furthermore, the quantitative analysis in coal shows wonderful results-the prediction fitting coefficient R 2 reaches 0.98 for ash and 0.99 for both volatile and carbon.

  18. Use of Amino‐Functionalized CNTs and CVD Grown CNTs for Better Dispersion in Al Powder in the Fabrication of Composites

    Energy Technology Data Exchange (ETDEWEB)

    Singhal, S. K.; Mathur, R. B. [National Physical Laboratory (CSIR), New Delhi‐1100 12 (India); Mamta,; Teotia, Satish [Guru Jambheshwar University of Science and Technology, Hisar (India); Chahal, Rajiv [Nanoscience and Nanotechnology, Panjab University, Chandigarh (India)

    2011-12-12

    We report an improved process for the better dispersion of multiwalled carbon nanotubes (MWCNTs) in Al powder used for the fabrication of Al‐matrix composites employing powder metallurgy process. For obtaining a better dispersion of MWCNTs in Al, we used two types of MWCNTs. In the first type, the MWCNTs were firstly functionalized by using ammonium bi‐carbonate and mix with Al powder using a high energy ball mill in the presence of a process control agent. In the second type we grew MWCNTs directly on Al powder using CVD. Various mechanical properties of the composites including micro hardness, compressive strength etc. were determined. It has been observed that using functionalized MWCNTs (fCNTs) and CVD grown MWCNTs, these properties were found to enhance significantly. The dispersion of functionalized CNTs was studied by SEM and the interfacial bonding between functionalized CNTs and Al matrix using high resolution transmission electron microscopy (HRTEM).

  19. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  20. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  1. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  2. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  3. Freeze-dried plasma enhances clot formation and inhibits fibrinolysis in the presence of tissue plasminogen activator similar to pooled liquid plasma.

    Science.gov (United States)

    Huebner, Benjamin R; Moore, Ernest E; Moore, Hunter B; Sauaia, Angela; Stettler, Gregory; Dzieciatkowska, Monika; Hansen, Kirk; Banerjee, Anirban; Silliman, Christopher C

    2017-08-01

    Systemic hyperfibrinolysis is an integral part of trauma-induced coagulopathy associated with uncontrolled bleeding. Recent data suggest that plasma-first resuscitation attenuates hyperfibrinolysis; however, the availability, transport, storage, and administration of plasma in austere environments remain challenging and have limited its use. Freeze-dried plasma (FDP) is a potential alternative due to ease of storage, longer shelf life, and efficient reconstitution. FDP potentially enhances clot formation and resists breakdown better than normal saline (NS) and albumin and similar to liquid plasma. Healthy volunteers underwent citrated blood draw followed by 50% dilution with NS, albumin, pooled plasma (PP), or pooled freeze-dried plasma (pFDP). Citrated native and tissue plasminogen activator (t-PA)-challenge (75 ng/mL) thrombelastography were done. Proteins in PP, pFDP, and albumin were analyzed by mass spectroscopy. pFDP and PP had superior clot-formation rates (angle) and clot strength (maximum amplitude) compared with NS and albumin in t-PA-challenge thrombelastographies (angle: pFDP, 67.9 degrees; PP, 67.8 degrees; NS, 40.6 degrees; albumin, 35.8 degrees; maximum amplitude: pFDP, 62.4 mm; PP, 63.5 mm; NS, 44.8 mm; albumin, 41.1 mm). NS and albumin dilution increased susceptibility to t-PA-induced hyperfibrinolysis compared with pFDP and PP (NS, 62.4%; albumin, 62.6%; PP, 8.5%; pFDP, 6.7%). pFDP was similar to PP in the attenuation of t-PA-induced fibrinolysis. Most proteins (97%) were conserved during the freeze-dry process, with higher levels in 12% of pFDP proteins compared with PP. pFDP enhances clot formation and attenuates hyperfibrinolysis better than NS and albumin and is a potential alternative to plasma resuscitation in the treatment of hemorrhagic shock. © 2017 AABB.

  4. Cold Vacuum Drying (CVD) OCRWM Loop Error Determination

    International Nuclear Information System (INIS)

    PHILIPP, B.L.

    2000-01-01

    Characterization is specifically identified by the Richland Operations Office (RL) for the Office of Civilian Radioactive Waste Management (OCRWM) of the US Department of Energy (DOE), as requiring application of the requirements in the Quality Assurance Requirements and Description (QARD) (RW-0333P DOE 1997a). Those analyses that provide information that is necessary for repository acceptance require application of the QARD. The cold vacuum drying (CVD) project identified the loops that measure, display, and record multi-canister overpack (MCO) vacuum pressure and Tempered Water (TW) temperature data as providing OCRWM data per Application of the Office of Civilian Radioactive Waste Management (OCRWM) Quality Assurance Requirements to the Hanford Spent Nuclear Fuel Project HNF-SD-SNF-RPT-007. Vacuum pressure transmitters (PT 1*08, 1*10) and TW temperature transmitters (TIT-3*05, 3*12) are used to verify drying and to determine the water content within the MCO after CVD

  5. Enhancing electronic and optoelectronic performances of tungsten diselenide by plasma treatment.

    Science.gov (United States)

    Xie, Yuan; Wu, Enxiu; Hu, Ruixue; Qian, Shuangbei; Feng, Zhihong; Chen, Xuejiao; Zhang, Hao; Xu, Linyan; Hu, Xiaodong; Liu, Jing; Zhang, Daihua

    2018-06-21

    Transition metal dichalcogenides (TMDCs) have recently become spotlighted as nanomaterials for future electronic and optoelectronic devices. In this work, we develop an effective approach to enhance the electronic and optoelectronic performances of WSe2-based devices by N2O plasma treatment. The hole mobility and sheet density increase by 2 and 5 orders of magnitude, reaching 110 cm2 V-1 s-1 and 2.2 × 1012 cm-2, respectively, after the treatment. At the same time, the contact resistance (Rc) between WSe2 and its metal electrode drop by 5 orders of magnitude from 1.0 GΩ μm to 28.4 kΩ μm. The WSe2 photoconductor exhibits superior performance with high responsivity (1.5 × 105 A W-1), short response time (106). We have also built a lateral p-n junction on a single piece of WSe2 flake by selective plasma exposure. The junction reaches an exceedingly high rectifying ratio of 106, an excellent photoresponsivity of 2.49 A W-1 and a fast response of 8 ms. The enhanced optoelectronic performance is attributed to band-engineering through the N2O plasma treatment, which can potentially serve as an effective and versatile approach for device engineering and optimization in a wide range of electronic and optoelectronic devices based on 2D materials.

  6. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    DEFF Research Database (Denmark)

    Engelbrechtsen, Line; Lundgren, J; Wewer Albrechtsen, Nicolai Jacob

    2017-01-01

    Background: Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment ......B, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when monitoring patients with dislipidemia or CVD might prove to be useful....

  7. Double pulse laser ablation and plasma: Laser induced breakdown spectroscopy signal enhancement

    International Nuclear Information System (INIS)

    Babushok, V.I.; DeLucia, F.C.; Gottfried, J.L.; Munson, C.A.; Miziolek, A.W.

    2006-01-01

    A review of recent results of the studies of double laser pulse plasma and ablation for laser induced breakdown spectroscopy applications is presented. The double pulse laser induced breakdown spectroscopy configuration was suggested with the aim of overcoming the sensitivity shortcomings of the conventional single pulse laser induced breakdown spectroscopy technique. Several configurations have been suggested for the realization of the double pulse laser induced breakdown spectroscopy technique: collinear, orthogonal pre-spark, orthogonal pre-heating and dual pulse crossed beam modes. In addition, combinations of laser pulses with different wavelengths, different energies and durations were studied, thus providing flexibility in the choice of wavelength, pulse width, energy and pulse sequence. The double pulse laser induced breakdown spectroscopy approach provides a significant enhancement in the intensity of laser induced breakdown spectroscopy emission lines up to two orders of magnitude greater than a conventional single pulse laser induced breakdown spectroscopy. The double pulse technique leads to a better coupling of the laser beam with the plasma plume and target material, thus providing a more temporally effective energy delivery to the plasma and target. The experimental results demonstrate that the maximum effect is obtained at some optimum separation delay time between pulses. The optimum value of the interpulse delay depends on several factors, such as the target material, the energy level of excited states responsible for the emission, and the type of enhancement process considered. Depending on the specified parameter, the enhancement effects were observed on different time scales ranging from the picosecond time level (e.g., ion yield, ablation mass) up to the hundred microsecond level (e.g., increased emission intensity for laser induced breakdown spectroscopy of submerged metal target in water). Several suggestions have been proposed to explain

  8. Radiation tolerance of CVD diamond detectors for pions and protons

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2002-01-11

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  9. Radiation tolerance of CVD diamond detectors for pions and protons

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  10. Radiation tolerance of CVD diamond detectors for pions and protons

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  11. Cesium-plasma-conductivity enhancement in the advanced thermionic energy converter. Final report

    International Nuclear Information System (INIS)

    Manikopoulos, C.N.

    Two methods of plasma conductivity enhancement in a cesium vapor thermionic energy converter have been studied. The first involved resonance photoabsorption of several cesium lines and the second utilized cesium plasma sustenance by application of microwave power. An extensive study of ionization processes in a cesium discharge in the presence of resonance ionization was made. Calculations were made of expected percentage excitation levels for several cesium resonance transitions for different values of neutral density and temperature as well as incident radiation power levels. The results of some of these computations were tabulated. Several ionization schemes were considered. A number of cesium transitions were investigated in the range of 799 to 870 nanometers for four different cesium reservoir temperatures, 467, 511, 550 and 591 K. The related absorption coefficients of the radiation lines in the plasma were deduced and tabulated. The resulting plasma conductivity increase was recorded and the associated ionization enhancement was deduced. A microwave cavity was built where the emitter and collector of a simple thermionic converter made up two of the cavity walls and resonant microwave power was externally applied. The I-V characteristics of the thermionic converter were studied under several microwave power levels in the range of 0 to 2 watts. Significant shifts to higher currents were observed as the microwave power levels were raised. In conclusion, both methods show promise as auxiliary ionization mechanisms for the thermionic energy converter, especially at low emitter temperatures

  12. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Science.gov (United States)

    Kousal, Jaroslav; Tichý, Milan; Šebek, Ondřej; Čechvala, Juraj; Biederman, Hynek

    2011-08-01

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range ni = 1013-1016 m-3 was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (103 V m-1) and relatively high electron energies up to several tens of eV in the plasma.

  13. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Energy Technology Data Exchange (ETDEWEB)

    Kousal, Jaroslav; Tichy, Milan; Sebek, Ondrej; Cechvala, Juraj; Biederman, Hynek, E-mail: jaroslav.kousal@mff.cuni.cz [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, 180 00, Prague 8 (Czech Republic)

    2011-08-15

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range n{sub i} = 10{sup 13}-10{sup 16} m{sup -3} was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (10{sup 3} V m{sup -1}) and relatively high electron energies up to several tens of eV in the plasma.

  14. Refraction-enhanced backlit imaging of axially symmetric inertial confinement fusion plasmas.

    Science.gov (United States)

    Koch, Jeffrey A; Landen, Otto L; Suter, Laurence J; Masse, Laurent P; Clark, Daniel S; Ross, James S; Mackinnon, Andrew J; Meezan, Nathan B; Thomas, Cliff A; Ping, Yuan

    2013-05-20

    X-ray backlit radiographs of dense plasma shells can be significantly altered by refraction of x rays that would otherwise travel straight-ray paths, and this effect can be a powerful tool for diagnosing the spatial structure of the plasma being radiographed. We explore the conditions under which refraction effects may be observed, and we use analytical and numerical approaches to quantify these effects for one-dimensional radial opacity and density profiles characteristic of inertial-confinement fusion (ICF) implosions. We also show how analytical and numerical approaches allow approximate radial plasma opacity and density profiles to be inferred from point-projection refraction-enhanced radiography data. This imaging technique can provide unique data on electron density profiles in ICF plasmas that cannot be obtained using other techniques, and the uniform illumination provided by point-like x-ray backlighters eliminates a significant source of uncertainty in inferences of plasma opacity profiles from area-backlit pinhole imaging data when the backlight spatial profile cannot be independently characterized. The technique is particularly suited to in-flight radiography of imploding low-opacity shells surrounding hydrogen ice, because refraction is sensitive to the electron density of the hydrogen plasma even when it is invisible to absorption radiography. It may also provide an alternative approach to timing shockwaves created by the implosion drive, that are currently invisible to absorption radiography.

  15. How dietary evidence for the prevention and treatment of CVD is translated into practice in those with or at high risk of CVD: a systematic review.

    Science.gov (United States)

    Schumacher, Tracy L; Burrows, Tracy L; Neubeck, Lis; Redfern, Julie; Callister, Robin; Collins, Clare E

    2017-01-01

    CVD is a leading cause of mortality and morbidity, and nutrition is an important lifestyle factor. The aim of the present systematic review was to synthesise the literature relating to knowledge translation (KT) of dietary evidence for the prevention and treatment of CVD into practice in populations with or at high risk of CVD. A systematic search of six electronic databases (CINAHL, Cochrane, EMBASE, MEDLINE, PsycINFO and Scopus) was performed. Studies were included if a nutrition or dietary KT was demonstrated to occur with a relevant separate measureable outcome. Quality was assessed using a tool adapted from two quality checklists. Population with or at high risk of CVD or clinicians likely to treat this population. A total of 4420 titles and abstracts were screened for inclusion, with 354 full texts retrieved to assess inclusion. Forty-three articles were included in the review, relating to thirty-five separate studies. No studies specifically stated their aim to be KT. Thirty-one studies were in patient or high-risk populations and four targeted health professionals. Few studies stated a theory on which the intervention was based (n 10) and provision of instruction was the most common behaviour change strategy used (n 26). KT in nutrition and dietary studies has been inferred, not stated, with few details provided regarding how dietary knowledge is translated to the end user. This presents challenges for implementation by clinicians and policy and decision makers. Consequently a need exists to improve the quality of publications in this area.

  16. Enhanced Hydrophilicity and Biocompatibility of Dental Zirconia Ceramics by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Chou Wu

    2015-02-01

    Full Text Available Surface properties play a critical role in influencing cell responses to a biomaterial. The objectives of this study were (1 to characterize changes in surface properties of zirconia (ZrO2 ceramic after oxygen plasma treatment; and (2 to determine the effect of such changes on biological responses of human osteoblast-like cells (MG63. The results indicated that the surface morphology was not changed by oxygen plasma treatment. In contrast, oxygen plasma treatment to ZrO2 not only resulted in an increase in hydrophilicity, but also it retained surface hydrophilicity after 5-min treatment time. More importantly, surface properties of ZrO2 modified by oxygen plasma treatment were beneficial for cell growth, whereas the surface roughness of the materials did not have a significant efficacy. It is concluded that oxygen plasma treatment was certified to be effective in modifying the surface state of ZrO2 and has the potential in the creation and maintenance of hydrophilic surfaces and the enhancement of cell proliferation and differentiation.

  17. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  18. Hole injection enhancement in organic light emitting devices using plasma treated graphene oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jesuraj, P. Justin; Parameshwari, R. [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India); Kanthasamy, K.; Koch, J. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Pfnür, H. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Laboratorium für Nano- und Quantene$ngineering, Schneiderberg 30, D-30167, Hannover (Germany); Jeganathan, K., E-mail: kjeganathan@yahoo.com [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India)

    2017-03-01

    Graphical abstract: Plasma treated Graphene oxide for hole injection enhancement in OLEDs. - Highlights: • Oxygen (O{sub 2}) and hydrogen (H{sub 2}) plasma exposed graphene oxide (GO) sheets have been demonstrated as hole buffer layers in OLEDs. • O{sub 2} plasma exposure induces assimilation of oxygen contents in GO lattice resulting in improved work function that reduced the hole injection barrier further. Whereas, H{sub 2} plasma contrastingly reduced the GO by excluding oxygen which ensuing lower work function. • X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy investigations reveal the capricious amount of oxygen in GO lattice and its corresponding work function variations. • GO and O{sub 2} plasma treated GO significantly improves the current efficiency of OLEDs more than one order with notable reduction in turn on voltage. - Abstract: The hole injection layer (HIL) with high work function (WF) is desirable to reduce the injection barrier between anode and hole transport layer in organic light emitting devices (OLED). Here, we report a novel approach to tune the WF of graphene oxide (GO) using oxygen and hydrogen plasma treatment and its hole injection properties in OLEDs. The mild exposure of oxygen plasma on GO (O{sub 2}-GO) significantly reduces the injection barrier by increasing the WF of anode (4.98 eV) through expansion of C−O bonds. In contrast, the hole injection barrier was drastically increased for hydrogen plasma treated GO (H{sub 2}-GO) layers as the WF is lowered by the contraction of C−O bond. By employing active O{sub 2}-GO as HIL in OLEDs found to exhibit superior current efficiency of 4.2 cd/A as compared to 3.3 cd/A for pristine GO. Further, the high injection efficiency of O{sub 2}-GO infused hole only device can be attributed to the improved energy level matching. Ultraviolet and X-ray photoelectron spectroscopy were used to correlate the WF of HIL infused anode towards the enhanced performance of

  19. Surface-enhanced Raman scattering reveals adsorption of mitoxantrone on plasma membrane of living cells

    International Nuclear Information System (INIS)

    Breuzard, G.; Angiboust, J.-F.; Jeannesson, P.; Manfait, M.; Millot, J.-M.

    2004-01-01

    Surface-enhanced Raman scattering (SERS) spectroscopy was applied to analyze mitoxantrone (MTX) adsorption on the plasma membrane microenvironment of sensitive (HCT-116 S) or BCRP/MXR-type resistant (HCT-116 R) cells. The addition of silver colloid to MTX-treated cells revealed an enhanced Raman scattering of MTX. Addition of extracellular DNA induced a total extinction of MTX Raman intensity for both cell lines, which revealed an adsorption of MTX on plasma membrane. A threefold higher MTX Raman intensity was observed for HCT-116 R, suggesting a tight MTX adsorption in the plasma membrane microenvironment. Fluorescence confocal microscopy confirmed a relative MTX emission around plasma membrane for HCT-116 R. After 30 min at 4 deg. C, a threefold decrease of the MTX Raman scattering was observed for HCT-116 R, contrary to HCT-116 S. Permeation with benzyl alcohol revealed a threefold decrease of membrane MTX adsorption on HCT-116 R, exclusively. This additional MTX adsorption should correspond to the drug bound to an unstable site on the HCT-116 R membrane. This study showed that SERS spectroscopy could be a direct method to reveal drug adsorption to the membrane environment of living cells

  20. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  1. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  2. Reference Intervals for Non-Fasting CVD Lipids and Inflammation Markers in Pregnant Indigenous Australian Women.

    Science.gov (United States)

    Schumacher, Tracy L; Oldmeadow, Christopher; Clausen, Don; Weatherall, Loretta; Keogh, Lyniece; Pringle, Kirsty G; Rae, Kym M

    2017-10-14

    Indigenous Australians experience high rates of cardiovascular disease (CVD). The origins of CVD may commence during pregnancy, yet few serum reference values for CVD biomarkers exist specific to the pregnancy period. The Gomeroi gaaynggal research project is a program that undertakes research and provides some health services to pregnant Indigenous women. Three hundred and ninety-nine non-fasting samples provided by the study participants (206 pregnancies and 175 women) have been used to construct reference intervals for CVD biomarkers during this critical time. A pragmatic design was used, in that women were not excluded for the presence of chronic or acute health states. Percentile bands for non-linear relationships were constructed according to the methods of Wright and Royston (2008), using the xriml package in StataIC 13.1. Serum cholesterol, triglycerides, cystatin-C and alkaline phosphatase increased as gestational age progressed, with little change seen in high-sensitivity C-Reactive Protein and γ glutamyl transferase. Values provided in the reference intervals are consistent with findings from other research projects. These reference intervals will form a basis with which future CVD biomarkers for pregnant Indigenous Australian women can be compared.

  3. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  4. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  5. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  6. Cold Vacuum Dryer (CVD) Facility Fire Protection System Design Description (SYS 24)

    Energy Technology Data Exchange (ETDEWEB)

    SINGH, G.

    2000-10-17

    This system design description (SDD) addresses the Cold Vacuum Drying (CVD) Facility fire protection system (FPS). The primary features of the FPS for the CVD are a fire alarm and detection system, automatic sprinklers, and fire hydrants. The FPS also includes fire extinguishers located throughout the facility and fire hydrants to assist in manual firefighting efforts. In addition, a fire barrier separates the operations support (administrative) area from the process bays and process bay support areas. Administrative controls to limit combustible materials have been established and are a part of the overall fire protection program. The FPS is augmented by assistance from the Hanford Fire Department (HED) and by interface systems including service water, electrical power, drains, instrumentation and controls. This SDD, when used in conjunction with the other elements of the definitive design package, provides a complete picture of the FPS for the CVD Facility.

  7. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  8. Physical Modeling of the Processes Responsible for the Mid-Latitude Storm Enhanced Plasma Density

    Science.gov (United States)

    Fuller-Rowell, T. J.; Maruyama, N.; Fedrizzi, M.; Codrescu, M.; Heelis, R. A.

    2016-12-01

    Certain magnetic local time sectors at mid latitudes see substantial increases in plasma density in the early phases of a geomagnetic storm. The St. Patrick's Day storms of 2013 and 2015 were no exception, both producing large increases of total electron content at mid latitudes. There are theories for the build up of the storm enhanced density (SED), but can current theoretical ionosphere-thermosphere coupled models actually reproduce the response for an actual event? Not only is it necessary for the physical model to contain the appropriate physics, they also have to be forced by the correct drivers. The SED requires mid-latitude zonal transport to provide plasma stagnation in sunlight to provide the production. The theory also requires a poleward drift perpendicular to the magnetic field to elevate the plasma out of the body of the thermosphere to regions of substantially less loss rate. It is also suggested that equatorward winds are necessary to further elevate the plasma to regions of reduced loss. However, those same winds are also likely to transport molecular nitrogen rich neutral gas equatorward, potentially canceling out the benefits of the neutral circulation. Observations of mid-latitude zonal plasma flow are first analyzed to see if this first necessary ingredient is substantiated. The drift observations are then used to tune the driver to determine if, with the appropriate electric field driver, the latest physical models can reproduce the substantial plasma build up. If it can, the simulation can also be used to assess the contribution of the equatorward meridional wind; are they an asset to the plasma build up, or does the enhanced molecular species they carry counteract their benefit.

  9. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  10. Simulation of a perfect CVD diamond Schottky diode steep forward current–voltage characteristic

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, V.A., E-mail: vakuk@appl.sci-nnov.ru [Institute of Applied Physics of the Russian Academy of Science, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Nizhny Novgorod State University named after N.I. Lobachevsky, 23 Gagarin pr., 603950 Nizhny Novgorod (Russian Federation)

    2016-10-01

    The kinetic equation approach to the simulation of the perfect CVD diamond Schottky diode current–voltage characteristic is considered. In result it is shown that the latter has a significantly steeper forward branch than that of perfect devices of such a type on usual semiconductors. It means that CVD diamond-based Schottky diodes have an important potential advantage over analogous devices on conventional materials.

  11. Increased plasma apolipoprotein (a) levels in IDDM patients with diabetic nephropathy

    DEFF Research Database (Denmark)

    Tarnow, L; Rossing, P; Nielsen, F S

    1996-01-01

    OBJECTIVE: The relative mortality from cardiovascular disease (CVD) is increased 40-fold in IDDM patients suffering from diabetic nephropathy as compared with nondiabetic subjects on average. We assessed the potential contribution of dyslipidemia in general and elevated serum apolipoprotein (a.......0001. Multiple logistic regression analysis of cardiovascular risk factors revealed that plasma apo(a) concentration > 300 U/l is an independent risk factor for coronary heart disease, odds ratio 1.86 (1.03-3.36) (P Dyslipidemia and raised plasma concentrations of apo(a), particularly > 300...

  12. Transport analysis of pellet-enhanced ICRH plasma in JET

    International Nuclear Information System (INIS)

    Hammett, G.W.; Colestock, P.L.; Granetz, R.S.; McCune, D.C.; Phillips, C.K.; Schmidt, G.L.; Smithe, D.N.; Kupschus, P.

    1989-01-01

    Performance of JET ICRH heated discharges has been significantly enhanced by using pellet fueling to produce a peaked density target for ICRH. The central T i is observed to increase by up to 80%, central T e by up to 40%, and the neutron rate by up to 400%, over their no-pellet values (which are already in the enhanced 'monster-sawtooth' regime). In this paper we describe the transport analysis of these discharges using the TRANSP code. These results indicate that the thermal diffusivities χ i and χ e are reduced by a factor of ∼2 near the plasma center where the pellets have increased the density gradient. The paper focuses on JET discharge 16211 which is documented more fully in a companion paper. (author) 6 refs., 8 figs

  13. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  14. Elevated thrombopoietin in plasma of burned patients without and with sepsis enhances platelet activation.

    Science.gov (United States)

    Lupia, E; Bosco, O; Mariano, F; Dondi, A E; Goffi, A; Spatola, T; Cuccurullo, A; Tizzani, P; Brondino, G; Stella, M; Montrucchio, G

    2009-06-01

    Thrombopoietin (TPO) is a humoral growth factor that does not induce platelet aggregation per se, but enhances platelet activation in response to several agonists. Circulating levels of TPO are increased in patients with sepsis and are mainly related to sepsis severity. To investigate the potential contribution of elevated TPO levels in platelet activation during burn injury complicated or not by sepsis. We studied 22 burned patients, 10 without and 12 with sepsis, and 10 healthy subjects. We measured plasma levels of TPO, as well as leukocyte-platelet binding and P-selectin expression. The priming activity of plasma from burned patients or healthy subjects on platelet aggregation and leukocyte-platelet binding, and the role of TPO in these effects were also studied in vitro. Burned patients without and with sepsis showed higher circulating TPO levels and increased monocyte-platelet binding compared with healthy subjects. Moreover, TPO levels, monocyte-platelet binding and P-selectin expression were significantly higher in burned patients with sepsis than in burned patients without sepsis. In vitro, plasma from burned patients without and with sepsis, but not from healthy subjects, primed platelet aggregation, monocyte-platelet binding and platelet P-selectin expression. The effect of plasma from burned patients with sepsis was significantly higher than that of plasma from burned patients without sepsis. An inhibitor of TPO prevented the priming effect of plasma from burned patients. Increased TPO levels may enhance platelet activation during burn injury and sepsis, potentially participating in the pathogenesis of multi-organ failure in these diseases.

  15. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  16. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  17. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  18. Increased risk of cardiovascular disease (CVD) with age in HIV-positive men

    DEFF Research Database (Denmark)

    Petoumenos, K; Reiss, P; Ryom, L

    2014-01-01

    equations. METHODS: We analysed three endpoints: myocardial infarction (MI), coronary heart disease (CHD: MI or invasive coronary procedure) and CVD (CHD or stroke). We fitted a number of parametric age effects, adjusting for known risk factors and antiretroviral therapy (ART) use. The best-fitting age...... rates per 1000 person-years increased from 2.29, 3.11 and 3.65 in those aged 40-45 years to 6.53, 11.91 and 15.89 in those aged 60-65 years, respectively. The best-fitting models included inverse age for MI and age + age(2) for CHD and CVD. In D:A:D there was a slowly accelerating increased risk of CHD...... and CVD per year older, which appeared to be only modest yet was consistently raised compared with the risk in the general population. The relative risk of MI with age was not different between D:A:D and the general population. CONCLUSIONS: We found only limited evidence of accelerating increased risk...

  19. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  20. Cardiovascular disease (CVD) and chronic kidney disease (CKD) event rates in HIV-positive persons at high predicted CVD and CKD risk

    DEFF Research Database (Denmark)

    Boyd, Mark A; Mocroft, Amanda; Ryom, Lene

    2017-01-01

    BACKGROUND: The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D) study has developed predictive risk scores for cardiovascular disease (CVD) and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2) events in HIV...

  1. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  2. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  3. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  4. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  5. Higher plasma soluble Receptor for Advanced Glycation End Products (sRAGE) levels are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes: a 12-year follow-up study

    DEFF Research Database (Denmark)

    Nin, Johanna W M; Jorsal, Anders; Merces Ferreira, Isabel Maria

    2010-01-01

    To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunct......To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal...

  6. Enhancement of gas sensor response of nanocrystalline zinc oxide for ammonia by plasma treatment

    International Nuclear Information System (INIS)

    Hou, Yue; Jayatissa, Ahalapitiya H.

    2014-01-01

    The effect of oxygen plasma treatment on nanocrystalline ZnO thin film based gas sensor was investigated. ZnO thin films were synthesized on alkali-free glass substrates by a sol–gel process. ZnO thin films were treated with oxygen plasma to change the number of vacancies/defects in ZnO. The effect of oxygen plasma on the structural, electrical, optical and gas sensing properties was investigated as a function of plasma treatment time. The results suggest that the microstructure and the surface morphology can be tuned by oxygen plasma treatment. The optical transmission in the visible range varies after the oxygen plasma treatment. Moreover, it is found that the oxygen plasma has significant impact on the electrical properties of ZnO thin films indicating a variation of resistivity. The oxygen plasma treated ZnO thin film exhibits an enhanced sensing response towards NH 3 in comparison with that of the as-deposited ZnO sensor. When compared with the as-deposited ZnO film, the sensing response was improved by 50% for the optimum oxygen plasma treatment time of 8 min. The selectivity of 8 min plasma treated ZnO sensor was also examined for an important industrial gas mixture of H 2 , CH 4 and NH 3 .

  7. Thermoluminescence properties of undoped and nitrogen-doped CVD diamond exposed to gamma radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Pedroza-Montero, M.; Favalli, A.

    2008-01-01

    It is known that the thermoluminescence (TL) performance of CVD diamond depends on the impurity concentration and doping materials introduced during growing. We report on the TL properties of undoped and 750 ppm nitrogen-doped CVD diamond grown on (0 0 1) silicon substrate. The samples were exposed to gamma radiation from a Gammacell 200 Nordion irradiator in the 10-500 Gy dose range at 627 mGy/min dose rate. The nitrogen-doped CVD diamond sample exhibited a TL glow curve peaked around 537 K and a small shoulder about 411 K and a linear dose behavior in the 10-60 Gy dose range. In contrast, the undoped specimen showed a 591 K peaked TL glow curve and linear dose response for 10-100 Gy doses. However, both samples displayed a non-linear dose response for doses higher than 100 Gy. The doping effects seem to cause a higher TL efficiency, which may be attributed to the differences in the diamond bonding and amorphous carbon on the CVD samples as well as to the presence of nitrogen. In addition, the nitrogen content may produce some structural and morphological surface effects, which may account for the distinctive TL features and dose response of the diamond samples

  8. Seminal plasma enhances cervical adenocarcinoma cell proliferation and tumour growth in vivo.

    Directory of Open Access Journals (Sweden)

    Jason R Sutherland

    Full Text Available Cervical cancer is one of the leading causes of cancer-related death in women in sub-Saharan Africa. Extensive evidence has shown that cervical cancer and its precursor lesions are caused by Human papillomavirus (HPV infection. Although the vast majority of HPV infections are naturally resolved, failure to eradicate infected cells has been shown to promote viral persistence and tumorigenesis. Furthermore, following neoplastic transformation, exposure of cervical epithelial cells to inflammatory mediators either directly or via the systemic circulation may enhance progression of the disease. It is well recognised that seminal plasma contains an abundance of inflammatory mediators, which are identified as regulators of tumour growth. Here we investigated the role of seminal plasma in regulating neoplastic cervical epithelial cell growth and tumorigenesis. Using HeLa cervical adenocarcinoma cells, we found that seminal plasma (SP induced the expression of the inflammatory enzymes, prostaglandin endoperoxide synthase (PTGS1 and PTGS2, cytokines interleukin (IL -6, and -11 and vascular endothelial growth factor-A (VEGF-A. To investigate the role of SP on tumour cell growth in vivo, we xenografted HeLa cells subcutaneously into the dorsal flank of nude mice. Intra-peritoneal administration of SP rapidly and significantly enhanced the tumour growth rate and size of HeLa cell xenografts in nude mice. As observed in vitro, we found that SP induced expression of inflammatory PTGS enzymes, cytokines and VEGF-A in vivo. Furthermore we found that SP enhances blood vessel size in HeLa cell xenografts. Finally we show that SP-induced cytokine production, VEGF-A expression and cell proliferation are mediated via the induction of the inflammatory PTGS pathway.

  9. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  10. Resonance-enhanced laser-induced plasma spectroscopy: ambient gas effects

    International Nuclear Information System (INIS)

    Lui, S.L.; Cheung, N.H.

    2003-01-01

    When performing laser-induced plasma spectroscopy for elemental analysis, the sensitivity could be significantly enhanced if the plume was resonantly rekindled by a dye laser pulse. The extent of the enhancement was found to depend on the ambient gas. Air, nitrogen, helium, argon and xenon at pressures ranging from vacuum to 1 bar were investigated. In vacuum, the analyte signal was boosted because of reduced cooling, but it soon decayed as the plume freely expanded. By choosing the right ambient gas at the right pressure, the expanding plume could be confined as well as thermally insulated to maximize the analyte signal. For instance, an ambient of 13 mbar xenon yielded a signal-to-noise ratio of 110. That ratio was 53 when the pellet was ablated in air, and decreased further to 5 if the dye laser was tuned off resonance

  11. Carbon-enhanced inductively coupled plasma mass spectrometric detection of arsenic and selenium and its application to arsenic speciation

    DEFF Research Database (Denmark)

    Larsen, Erik Huusfeldt; Sturup, Stefan

    1994-01-01

    Addition of carbon as methanol or ammonium carbonate to the aqueous analyte solutions in combination with increased plasma power input enhanced the inductively coupled plasma mass spectrometry (ICP-MS) signal intensities of arsenic and selenium. In the presence of the optimum 3% v/v methanol...... (noise) was not increased. Therefore, the observed increase in analyte sensitivity led to a similar increase in signal-to-noise ratio. The addition of carbon as ammonium carbonate enhanced the arsenic signal by a similar factor but caused severe contamination of the ICP-MS instrument by carbon. In the 3....../nebulization efficiency. It is proposed that an increased population of carbon ions or carbon-containing ions in the plasma facilitates a more complete ionization of analytes lower in ionization energy than carbon itself. The enhanced detection power for arsenic was applied to arsenic speciation by high...

  12. Mixed plasma-facing materials research at INEEL

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Pawelko, R.J.

    2001-01-01

    Mixed-materials research at the Idaho National Engineering and Environmental Laboratory (INEEL) has focused on Be-C and W-C systems. The purpose of this work was to investigate hydrogen isotope retention in these systems. Plasma-mixed material layers using carbon coated Be and W specimens that were heat-treated and tungsten carbide specimens prepared by chemical vapor deposition (CVD) were simulated. Hydrogen isotope retention was investigated by means of thermal desorption spectroscopy (TDS) measurements on deuterium implanted samples

  13. Fish consumption and its motives in households with versus without self-reported medical history of CVD

    DEFF Research Database (Denmark)

    Pieniak, Zuzanna; Verbeke, Wim; Perez-Cueto, Federico

    2008-01-01

    subjective and objective knowledge related to nutrition issues about fish. In the other countries, objective knowledge about fish was on a low level, similar for CVD+ as for CVD- subjects, despite a higher claimed use of medical information sources about fish among CVD+ subjects. Conclusions - Although...... consumption. This study exemplifies the need for nutrition education and more effective communication about fish, not only to the people facing chronic diseases, but also to the broader public. European consumers are convinced that eating fish is healthy, but particular emphasis should be made...

  14. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  15. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  16. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  17. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  18. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  19. Drastically Enhanced High-Rate Performance of Carbon-Coated LiFePO4 Nanorods Using a Green Chemical Vapor Deposition (CVD) Method for Lithium Ion Battery: A Selective Carbon Coating Process.

    Science.gov (United States)

    Tian, Ruiyuan; Liu, Haiqiang; Jiang, Yi; Chen, Jiankun; Tan, Xinghua; Liu, Guangyao; Zhang, Lina; Gu, Xiaohua; Guo, Yanjun; Wang, Hanfu; Sun, Lianfeng; Chu, Weiguo

    2015-06-03

    Application of LiFePO4 (LFP) to large current power supplies is greatly hindered by its poor electrical conductivity (10(-9) S cm(-1)) and sluggish Li+ transport. Carbon coating is considered to be necessary for improving its interparticle electronic conductivity and thus electrochemical performance. Here, we proposed a novel, green, low cost and controllable CVD approach using solid glucose as carbon source which can be extended to most cathode and anode materials in need of carbon coating. Hydrothermally synthesized LFP nanorods with optimized thickness of carbon coated by this recipe are shown to have superb high-rate performance, high energy, and power densities, as well as long high-rate cycle lifetime. For 200 C (18s) charge and discharge, the discharge capacity and voltage are 89.69 mAh g(-1) and 3.030 V, respectively, and the energy and power densities are 271.80 Wh kg(-1) and 54.36 kW kg(-1), respectively. The capacity retention of 93.0%, and the energy and power density retention of 93.6% after 500 cycles at 100 C were achieved. Compared to the conventional carbon coating through direct mixing with glucose (or other organic substances) followed by annealing (DMGA), the carbon phase coated using this CVD recipe is of higher quality and better uniformity. Undoubtedly, this approach enhances significantly the electrochemical performance of high power LFP and thus broadens greatly the prospect of its applications to large current power supplies such as electric and hybrid electric vehicles.

  20. Plasma CXCL10, sCD163 and sCD14 Levels Have Distinct Associations with Antiretroviral Treatment and Cardiovascular Disease Risk Factors.

    Directory of Open Access Journals (Sweden)

    Alison Castley

    Full Text Available We investigate the associations of three established plasma biomarkers in the context of HIV and treatment-related variables including a comprehensive cardiovascular disease risk assessment, within a large ambulatory HIV cohort. Patients were recruited in 2010 to form the Royal Perth Hospital HIV/CVD risk cohort. Plasma sCD14, sCD163 and CXCL10 levels were measured in 475 consecutive patients with documented CVD risk (age, ethnicity, gender, smoking, blood pressure, BMI, fasting metabolic profile and HIV treatment history including immunological/virological outcomes. The biomarkers assessed showed distinct associations with virological response: CXCL10 strongly correlated with HIV-1 RNA (p0.2. Associations between higher sCD163 and protease inhibitor therapy (p = 0.05 and lower sCD14 with integrase inhibitor therapy (p = 0.02 were observed. Levels of sCD163 were also associated with CVD risk factors (age, ethnicity, HDL, BMI, with a favourable influence of Framingham score <10% (p = 0.04. Soluble CD14 levels were higher among smokers (p = 0.002, with no effect of other CVD risk factors, except age (p = 0.045. Our findings confirm CXCL10, sCD163 and sCD14 have distinct associations with different aspects of HIV infection and treatment. Levels of CXCL10 correlated with routinely monitored variables, sCD163 levels reflect a deeper level of virological suppression and influence of CVD risk factors, while sCD14 levels were not associated with routinely monitored variables, with evidence of specific effects of smoking and integrase inhibitor therapy warranting further investigation.

  1. Plasma CXCL10, sCD163 and sCD14 Levels Have Distinct Associations with Antiretroviral Treatment and Cardiovascular Disease Risk Factors

    Science.gov (United States)

    Castley, Alison; Williams, Leah; James, Ian; Guelfi, George; Berry, Cassandra; Nolan, David

    2016-01-01

    We investigate the associations of three established plasma biomarkers in the context of HIV and treatment-related variables including a comprehensive cardiovascular disease risk assessment, within a large ambulatory HIV cohort. Patients were recruited in 2010 to form the Royal Perth Hospital HIV/CVD risk cohort. Plasma sCD14, sCD163 and CXCL10 levels were measured in 475 consecutive patients with documented CVD risk (age, ethnicity, gender, smoking, blood pressure, BMI, fasting metabolic profile) and HIV treatment history including immunological/virological outcomes. The biomarkers assessed showed distinct associations with virological response: CXCL10 strongly correlated with HIV-1 RNA (p0.2). Associations between higher sCD163 and protease inhibitor therapy (p = 0.05) and lower sCD14 with integrase inhibitor therapy (p = 0.02) were observed. Levels of sCD163 were also associated with CVD risk factors (age, ethnicity, HDL, BMI), with a favourable influence of Framingham score <10% (p = 0.04). Soluble CD14 levels were higher among smokers (p = 0.002), with no effect of other CVD risk factors, except age (p = 0.045). Our findings confirm CXCL10, sCD163 and sCD14 have distinct associations with different aspects of HIV infection and treatment. Levels of CXCL10 correlated with routinely monitored variables, sCD163 levels reflect a deeper level of virological suppression and influence of CVD risk factors, while sCD14 levels were not associated with routinely monitored variables, with evidence of specific effects of smoking and integrase inhibitor therapy warranting further investigation. PMID:27355513

  2. Enhancement of H{sup -}/D{sup -} volume production in a double plasma type negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Fukumasa, Osamu; Nishimura, Hideki; Sakiyama, Satoshi [Yamaguchi Univ., Ube (Japan). Faculty of Engineering

    1997-02-01

    H{sup -}/D{sup -} production in a pure volume source has been studied. In our double plasma type negative ion source, both energy and density of fast electrons are well controlled. With the use of this source, the enhancement of H{sup -}/D{sup -} production has been observed. Namely, under the same discharge power, the extracted H{sup -}/D{sup -} current in the double plasma operation is higher than that in the single plasma operation. At the same time, measurements of plasma parameters have been made in the source and the extractor regions for these two cases. (author)

  3. Exposure to Experimental Preeclampsia in Mice Enhances the Vascular Response to Future Injury

    Science.gov (United States)

    Pruthi, Dafina; Khankin, Eliyahu V.; Blanton, Robert M.; Aronovitz, Mark; Burke, Suzanne D.; McCurley, Amy; Karumanchi, S. Ananth; Jaffe, Iris Z.

    2015-01-01

    Cardiovascular disease (CVD) remains the leading killer of women in developed nations. One gender-specific risk factor is preeclampsia (PE), a syndrome of hypertension and proteinuria that complicates 5% of pregnancies. Although PE resolves after delivery, exposed women are at increased long term risk of premature CVD and mortality. Preexisting CVD risk factors are associated with increased risk of developing PE but whether PE merely uncovers risk or contributes directly to future CVD remains a critical unanswered question. A mouse PE model was used to test the hypothesis that PE causes an enhanced vascular response to future vessel injury. A PE-like state was induced in pregnant CD1 mice by overexpressing soluble fms-like tyrosine kinase-1 (sFlt-1), a circulating anti-angiogenic protein that induces hypertension and glomerular disease resembling human PE. Two months post-partum, sFlt-1 levels and blood pressure normalized and cardiac size and function by echocardiography and renal histology were indistinguishable in PE-exposed compared to control mice. Mice were then challenged with unilateral carotid injury. PE-exposed mice had significantly enhanced vascular remodeling with increased vascular smooth muscle cell proliferation (180% increase, P<0.01) and vessel fibrosis (216% increase, P<0.001) compared to control pregnancy. In the contralateral uninjured vessel, there was no difference in remodeling after exposure to PE. These data support a new model in which vessels exposed to PE retain a persistently enhanced vascular response to injury despite resolution of PE after delivery. This new paradigm may contribute to the substantially increased risk of CVD in woman exposed to PE. PMID:25712723

  4. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  5. Enhanced self-magnetic field by atomic polarization in partially stripped plasma produced by a short and intense laser pulse

    International Nuclear Information System (INIS)

    Hu Qianglin; Liu Shibing; Jiang, Y.J.; Zhang Jie

    2005-01-01

    The enhancement and redistribution of a self-generated quasistatic magnetic field, due to the presence of the polarization field induced by partially ionized atoms, are analytically revealed when a linearly polarized intense and short pulse laser propagates in a partially stripped plasma with higher density. In particular, the shorter wavelength of the laser pulse can evidently intensify the amplitude of the magnetic field. These enhancement and redistribution of the magnetic field are considered physically as a result of the competition of the electrostatic field (electron-ion separation) associated with the plasma wave, the atomic polarization field, and the pondoromotive potential associated with the laser field. This competition leads to the generation of a positive, large amplitude magnetic field in the zone of the pulse center, which forms a significant difference in partially and fully stripped plasmas. The numerical result shows further that the magnetic field is resonantly modulated by the plasma wave when the pulse length is the integer times the plasma wavelength. This apparently implies that the further enhancement and restructure of the large amplitude self-magnetic field can evidently impede the acceleration and stable transfer of the hot-electron beam

  6. Correlates of CVD and discussing sexual issues with physicians among male military veterans.

    Science.gov (United States)

    Smith, Matthew Lee; Goltz, Heather Honoré; Motlagh, Audry S; Ahn, SangNam; Bergeron, Caroline D; Ory, Marcia G

    2016-10-01

    This study aims to identify socio-demographic and health behavior factors associated with cardiovascular disease (CVD) diagnosis and patient-physician communication concerning sexual issues among older Veterans. Cross-sectional data were collected from 635 male Veterans over age 55 years as part of the 2010 National Social Life, Health and Aging Project, a nationally-representative, population-based study of community-dwelling older Americans. Two independent logistic regression analyses were performed. Over 33% of Veterans were aged 75 years or older. Over one-half of participants reported having a CVD diagnosis (58%) and sexual intercourse within the previous year (58%); over one-third (37%) reported having one or more sexual dysfunctions and discussing sexual issues with their physician (42%). Veterans diagnosed with CVD were significantly more likely to self-identify as racial/ethnic minorities (OR=1.89, P=0.021), have more chronic disease comorbidities (OR=1.23, P=0.041), and have more sexual dysfunctions (OR=1.19, P=0.028). Veterans diagnosed with CVD were significantly less likely to report having sex within the previous year (OR=0.53, P=0.005). Veterans who reported discussing sexual issues with a physician were significantly more likely to be ≥75 years (OR=1.79, P=0.010), and report more than a high school education (OR=1.62, P=0.016), CVD diagnosis (OR=1.59, P=0.015), sex within the previous year (OR=1.69, P=0.033), and trouble achieving/maintaining an erection (OR=3.39, Paging and sexual health/counseling services. These services should promote increased patient-physician communication as well as referrals between physicians and sex health/counseling specialists. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  7. Effect of non-surgical periodontal therapy on plasma homocysteine levels in Indian population with chronic periodontitis: a pilot study.

    Science.gov (United States)

    Bhardwaj, Smiti; Prabhuji, M L Venkatesh; Karthikeyan, Bangalore Vardhan

    2015-03-01

    Homocysteine (Hcy) is implicated in the development of cardiovascular diseases (CVD). The effect of periodontal disease and periodontal therapy on plasma Hcy remains controversial. Hence, in this pilot study we assessed the effect of periodontal disease and non-surgical periodontal therapy (NSPT) on plasma Hcy in systemically healthy Indian subjects. Forty participants (30 to 39 years) were enrolled in the study and were divided into two groups based on gingival index, probing depth, and clinical attachment level (CAL): Healthy (control group; n = 20) and Chronic Periodontitis (test group; n = 20). Plasma samples were collected and quantified at baseline and 12 weeks after scaling and root planing (SRP) for Hcy using High Performance Liquid Chromatography with fluorescent detection (HPLC-fld). Plasma Hcy levels of chronic periodontitis (17.87 ± 1.21 μmol/l) subjects was significantly higher than healthy subjects (9.09 ± 2.11 μmol/l). Post-therapy, the plasma Hcy concentration reduced significantly (11.34 ± 1.87 μmol/l) (p periodontal inflammation and therapy, respectively, indicate a direct relationship of Hcy with chronic periodontitis. NSPT may be employed as an adjunctive Hcy Lowering Therapy, contributing towards primary prevention against CVD's. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  8. Resonance-enhanced laser-induced plasma spectroscopy for sensitive elemental analysis: Elucidation of enhancement mechanisms

    International Nuclear Information System (INIS)

    Lui, S.L.; Cheung, N.H.

    2002-01-01

    When performing laser-induced plasma spectroscopy for elemental analysis, the analyte signal-to-noise ratio increased from four to over fifty if the plume was reheated by a dye laser pulse tuned to resonant absorption. Time-resolved studies showed that the enhancement was not due to resonance photoionization. Rather, efficient and controlled rekindling of a larger plume volume was the key mechanism. The signal-to-noise ratio further increased to over a hundred if the atmosphere was replaced by a low-pressure heavy inert gas. The ambient gas helped confine and thermally insulate the expanding vapor

  9. Pulse height distribution and radiation tolerance of CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D

    2000-06-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  10. Pulse height distribution and radiation tolerance of CVD diamond detectors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D.

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  11. Applicability of the Existing CVD Risk Assessment Tools to Type II Diabetics in Oman: A Review

    Directory of Open Access Journals (Sweden)

    Abdulhakeem Al-Rawahi

    2015-09-01

    Full Text Available Patients with type II diabetes (T2DM have an elevated risk for cardiovascular disease (CVD, and it is considered to be a leading cause of morbidity and premature mortality in these patients. Many traditional risk factors such as age, male sex, hypertension, dyslipidemia, glycemic control, diabetes duration, renal dysfunction, obesity, and smoking have been studied and identified as independent factors for CVD. Quantifying the risk of CVD among diabetics using the common risk factors in order to plan the treatment and preventive measures is important in the management of these patients as recommended by many clinical guidelines. Therefore, several risk assessment tools have been developed in different parts of the world for this purpose. These include the tools that have been developed for general populations and considered T2DM as a risk factor, and the tools that have been developed for T2DM populations specifically. However, due to the differences in sociodemographic factors and lifestyle patterns, as well as the differences in the distribution of various CVD risk factors in different diabetic populations, the external applicability of these tools on different populations is questionable. This review aims to address the applicability of the existing CVD risk models to the Omani diabetic population.

  12. Association of metabolic and genetic factors with cholesterol esterification rate in HDL plasma and atherogenic index of plasma in a 40 years old Slovak population

    Czech Academy of Sciences Publication Activity Database

    Rašlová, K.; Dobiášová, Milada; Hubáček, J. A.; Bencová, D.; Siváková, D.; Danková, Z.; Franeková, J.; Jabor, A.; Gašparovič, J.; Vohnout, B.

    2011-01-01

    Roč. 60, č. 5 (2011), s. 758-795 ISSN 0862-8408 R&D Projects: GA MZd(CZ) NR8328; GA MŠk(CZ) 1M0510 Institutional research plan: CEZ:AV0Z50110509 Keywords : fractional esterification rate of cholesterol (FERHDL) * atherogenic index of plasma (AIP) * biomarkers of CVD * CILP2 * FTO * MLXIPL Subject RIV: EB - Genetics ; Molecular Biology Impact factor: 1.555, year: 2011

  13. Supplementation with zinc in rats enhances memory and reverses an age-dependent increase in plasma copper.

    Science.gov (United States)

    Sandusky-Beltran, Leslie A; Manchester, Bryce L; McNay, Ewan C

    2017-08-30

    Zinc and copper are essential trace elements. Dyshomeostasis in these two metals has been observed in Alzheimer's disease, which causes profound cognitive impairment. Insulin therapy has been shown to enhance cognitive performance; however, recent data suggest that this effect may be at least in part due to the inclusion of zinc in the insulin formulation used. Zinc plays a key role in regulation of neuronal glutamate signaling, suggesting a possible link between zinc and memory processes. Consistent with this, zinc deficiency causes cognitive impairments in children. The effect of zinc supplementation on short- and long-term recognition memory, and on spatial working memory, was explored in young and adult male Sprague Dawley rats. After behavioral testing, hippocampal and plasma zinc and copper were measured. Age increased hippocampal zinc and copper, as well as plasma copper, and decreased plasma zinc. An interaction between age and treatment affecting plasma copper was also found, with zinc supplementation reversing elevated plasma copper concentration in adult rats. Zinc supplementation enhanced cognitive performance across tasks. These data support zinc as a plausible therapeutic intervention to ameliorate cognitive impairment in disorders characterized by alterations in zinc and copper, such as Alzheimer's disease. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  15. 14-Day thawed plasma retains clot enhancing properties and inhibits tPA-induced fibrinolysis.

    Science.gov (United States)

    Huebner, Benjamin R; Moore, Ernest E; Moore, Hunter B; Shepherd-Singh, Raymond; Sauaia, Angela; Stettler, Gregory R; Nunns, Geoffrey R; Silliman, Christopher C

    2017-11-01

    Plasma-first resuscitation attenuates trauma-induced coagulopathy (TIC); however, the logistics of plasma-first resuscitation require thawed plasma (TP) be readily available due to the obligatory thawing time of fresh frozen plasma (FFP). The current standard is storage of TP for up to 5 days at 4°C, based on factor levels at outdate, for use in patients at risk for TIC, but there remains a 2.2% outdated wastage rate. However, the multitude of plasma proteins in attenuating TIC remains unknown. We hypothesize that TP retains the ability to enhance clotting and reduce tPA-induced fibrinolysis at 14-day storage. FFP was thawed and stored at 4°C at the following intervals: 14, 10, 7, 5, 3, and 1-day prior to the experiment. Healthy volunteers underwent blood draws followed by 50% dilution with TP stored at previously mentioned intervals as well as FFP, normal saline (NS), albumin, and whole blood (WB) control. Samples underwent tPA-modified (75 ng/mL) thrombelastography (TEG) with analysis of R-time, angle, maximum amplitude (MA), and LY30. TEG properties did not change significantly over the thawed storage. 14-day TP retained the ability to inhibit tPA-induced hyperfibrinolysis (median LY30% 9.6%) similar to FFP (5.6%), WB (14.6%), and superior to albumin (59.3%) and NS (58.1%). 14-day TP also retained faster clot formation (median angle, 66.2°) and superior clot strength (MA, 61.5 mm) to albumin (34.8°, 21.6 mm) and NS (41.6°, 32.2 mm). TP plasma stored for 14 days retains clot-enhancing ability and resistance to clot degradation similar to FFP. A clinical trial is needed to validate these in vitro results. Copyright © 2017 Elsevier Inc. All rights reserved.

  16. Effects of the instability enhanced friction on relative ion densities in a two-ion species low-temperature plasma

    Science.gov (United States)

    Vukovic, Mirko

    2011-10-01

    The instability enhanced friction theory of Baalrud & Hegna (Phys. Plasmas 18, 023505 (2011)) predicts that for comparable ion densities the ions nearly reach a common velocity near the sheath edge in a low temperature plasma. The theory was experimentally confirmed by Yip, Hershkowitz, & Severn (Phys. Rev. Letters 104, 225003 (2010)). We will explore the effects of the theory on relative ion densities in a numerical simulation of an Ar/Xe plasma. Results for a 0D plasma model (Lieberman, Lichtenberg, Principles of Plasma Discharges and Materials Processing, 2005) will be presented.

  17. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  18. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  19. Facility for continuous CVD coating of ceramic fibers

    International Nuclear Information System (INIS)

    Moore, A.W.

    1992-01-01

    The development of new and improved ceramic fibers has spurred the development and application of ceramic composites with improved strength, strength/weight ratio, toughness, and durability at increasingly high temperatures. For many systems, the ceramic fibers can be used without modification because their properties are adequate for the chosen application. However, in order to take maximum advantage of the fiber properties, it is often necessary to coat the ceramic fibers with materials of different composition and properties. Examples include (1) boron nitride coatings on a ceramic fiber, such as Nicalon silicon carbide, to prevent reaction with the ceramic matrix during fabrication and to enhance fiber pullout and increase toughness when the ceramic composite is subjected to stress; (2) boron nitride coatings on ceramic yarns, such as Nicalon for use as thermal insulation panels in an aerodynamic environment, to reduce abrasion of the Nicalon and to inhibit the oxidation of free carbon contained within the Nicalon; and (3) ceramic coatings on carbon yarns and carbon-carbon composites to permit use of these high-strength, high-temperature materials in oxidizing environments at very high temperatures. This paper describes a pilot-plant-sized CVD facility for continuous coating of ceramic fibers and some of the results obtained so far with this equipment

  20. Plasma-Sprayed Titanium Patterns for Enhancing Early Cell Responses

    Science.gov (United States)

    Shi, Yunqi; Xie, Youtao; Pan, Houhua; Zheng, Xuebin; Huang, Liping; Ji, Fang; Li, Kai

    2016-06-01

    Titanium coating has been widely used as a biocompatible metal in biomedical applications. However, the early cell responses and long-term fixation of titanium implants are not satisfied. To obviate these defects, in this paper, micro-post arrays with various widths (150-1000 μm) and intervals (100-300 μm) were fabricated on the titanium substrate by template-assisted plasma spraying technology. In vitro cell culture experiments showed that MC3T3-E1 cells exhibited significantly higher osteogenic differentiation as well as slightly improved adhesion and proliferation on the micro-patterned coatings compared with the traditional one. The cell number on the pattern with 1000 µm width reached 130% after 6 days of incubation, and the expressions of osteopontin (OPN) as well as osteocalcin (OC) were doubled. No obvious difference was found in cell adhesion on various size patterns. The present micro-patterned coatings proposed a new modification method for the traditional plasma spraying technology to enhance the early cell responses and convenience for the bone in-growth.

  1. Diagramas de fase CVD para la preparación de películas de iridio

    Directory of Open Access Journals (Sweden)

    Hernández-Pérez, M. A.

    2002-02-01

    Full Text Available Chemical vapor deposition (CVD phase diagrams for the preparation of iridium films were calculated using Gibbs free energy minimization method. Iridium acetylacetonate (Ir(acac3 was used as the precursor compound. Two gaseous mixtures were analyzed: Ir(acac3-O2-Ar and Ir(acac3-Ar. The deposition temperatures were explored from 300 to 800 °C, total pressures from 13.3 to 13.332 Pa and partial pressures of Ir(acac3 gas and O2 gas from 0.001 to 1.000 Pa. The Ir-CVD diagrams predicted that without Oj gas in the gaseous mixture, the solid films consist of two solid phases: Ir+C. In contrast, with addition of O2 to the gaseous mixture, the Ir-CVD diagrams revealed different domains of condensed phases which include IrO2, IrO2+Ir, Ir and Ir+C. These diagrams allow one to establish the total pressures and temperatures required to obtain a given film composition. The results predicted by the Ir-CVD diagrams are in good agreement with those experimentally obtained.

    Se calcularon los diagramas de fase CVD (Chemical Vapor Deposition para la preparación de películas de iridio empleando el método de minimización de la energía libre de Gibbs. Como precursor se utilizó acetilacetonato de iridio (Ir(acac3. Se analizaron las mezclas gaseosas Ir(acac3-O2Ar e Ir(acac3-Ar. Las temperaturas de depósito se exploraron desde 300 hasta 800 °C, las presiones totales de 13,3 a 13.332 Pa y las presiones parciales de los gases Ir(acac3 y O2 desde 0,001 hasta 1.000 Pa. Los diagramas Ir-CVD predicen que sin O2 en la mezcla gaseosa, las películas constan de las fases sólidas Ir+C. En contraste, con adición de O2 los diagramas Ir-CVD revelan diferentes dominios de fases sólidas que incluyen IrO2, IrO2+Ir, Ir e Ir+C. Estos diagramas permiten establecer

  2. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    Science.gov (United States)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  3. Plasma fatty acid profile in depressive disorder resembles insulin resistance state.

    Science.gov (United States)

    Vareka, Tomas; Vecka, Marek; Jirak, Roman; Tvrzicka, Eva; Macasek, Jaroslav; Zak, Ales; Zeman, Miroslav

    2012-01-01

    Depressive disorder is related to an increased risk of type 2 diabetes mellitus (DM2) and cardiovascular disease (CVD). Insulin resistance (IR), connected with altered fatty acid (FA) composition, namely with decreased proportion of polyunsaturated FA could participate in these associations. The aim of the study was to investigate the composition of FA in plasma cholesterol esters (CE) and phosphatidylcholine (PC) as well as indices of insulin resistance and oxidative stress in the patients with depressive disorder. Parameters of lipid and glucose homeostasis, concentrations of FA in plasma cholesteryl esters (CE) and phosphatidylcholine (PC) and conjugated dienes in LDL were investigated in a group of 47 patients (9M/38F) with depression and compared with 47 control persons (16M/31F). Delta-9 desaturase (D9D) and D6D desaturase were estimated as product to precursor fatty acid ratios. In depressive patients increased concentrations of palmitoleic acid and total monounsaturated FA with decreased proportion of total polyunsaturated FA n-6 (PUFA n-6) (all pinsulin resistance. Dysregulation of FA could participate in the pathogenesis of depression and be associated with an increased risk of CVD and DM2.

  4. Polymer Adsorption on Graphite and CVD Graphene Surfaces Studied by Surface-Specific Vibrational Spectroscopy.

    Science.gov (United States)

    Su, Yudan; Han, Hui-Ling; Cai, Qun; Wu, Qiong; Xie, Mingxiu; Chen, Daoyong; Geng, Baisong; Zhang, Yuanbo; Wang, Feng; Shen, Y R; Tian, Chuanshan

    2015-10-14

    Sum-frequency vibrational spectroscopy was employed to probe polymer contaminants on chemical vapor deposition (CVD) graphene and to study alkane and polyethylene (PE) adsorption on graphite. In comparing the spectra from the two surfaces, it was found that the contaminants on CVD graphene must be long-chain alkane or PE-like molecules. PE adsorption from solution on the honeycomb surface results in a self-assembled ordered monolayer with the C-C skeleton plane perpendicular to the surface and an adsorption free energy of ∼42 kJ/mol for PE(H(CH2CH2)nH) with n ≈ 60. Such large adsorption energy is responsible for the easy contamination of CVD graphene by impurity in the polymer during standard transfer processes. Contamination can be minimized with the use of purified polymers free of PE-like impurities.

  5. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    International Nuclear Information System (INIS)

    Mathur, A.; Roy, S.S.; Hazra, K.S.; Wadhwa, S.; Ray, S.C.; Mitra, S.K.; Misra, D.S.; McLaughlin, J.A.

    2012-01-01

    Highlights: ► We showed Ar/O 2 plasma can be effective for the end opening of aligned CNTs. ► The field emission property was dramatically enhanced after plasma modification. ► Microstructures were clearly understood by Raman and SEM analysis. ► Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15–20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110° to 40°. It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from ∼0.80 V μm −1 (untreated) to ∼0.60 V μm −1 (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  6. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Mathur, A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Roy, S.S., E-mail: sinharoy@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Hazra, K.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); Wadhwa, S. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Ray, S.C. [School of Physics, University of the Witwatersrand, WITS 2050, Johannesburg (South Africa); Mitra, S.K. [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Misra, D.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); McLaughlin, J.A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer We showed Ar/O{sub 2} plasma can be effective for the end opening of aligned CNTs. Black-Right-Pointing-Pointer The field emission property was dramatically enhanced after plasma modification. Black-Right-Pointing-Pointer Microstructures were clearly understood by Raman and SEM analysis. Black-Right-Pointing-Pointer Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15-20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110 Degree-Sign to 40 Degree-Sign . It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from {approx}0.80 V {mu}m{sup -1} (untreated) to {approx}0.60 V {mu}m{sup -1} (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  7. The plasma leptin/adiponectin ratio predicts first cardiovascular event in men : A prospective nested case-control study

    NARCIS (Netherlands)

    Kappelle, Paul J.W.H.; Dullaart, Robin P. F.; van Beek, Andre P.; Hillege, Hans L.; Wolffenbuttel, Bruce H. R.

    2012-01-01

    Objective: The plasma leptin/adiponectin (L/A) ratio has been proposed as a preferential marker of atherosclerosis susceptibility compared to leptin and adiponectin alone. We determined the extent to which the L/A ratio predicts incident cardiovascular disease (CVD) taking account of clinical risk

  8. Vildagliptin reduces plasma stromal cell-derived factor-1α in patients with type 2 diabetes compared with glimepiride.

    Science.gov (United States)

    Park, Kyeong Seon; Kwak, SooHeon; Cho, Young Min; Park, Kyong Soo; Jang, Hak C; Kim, Seong Yeon; Jung, Hye Seung

    2017-03-01

    Dipeptidyl peptidase-4 inhibitors might have pleiotropic protective effects on cardiovascular disease (CVD), in contrast to sulfonylureas. Therefore, we compared various CVD risk factors between vildagliptin and glimepiride. We carried out a randomized, prospective and crossover trial. A total of 16 patients with type 2 diabetes whose glycated hemoglobin was >7% were randomized to add vildagliptin or glimepiride. After 12-week treatment, each drug was replaced with the other for another 12 weeks. Before and after each treatment, glucose homeostasis and CVD risk factors were assessed, and the continuous glucose monitoring system was applied to calculate glycemic variability. The mean age of the participants was 60 years, 31% were men, body mass index 25.5 kg/m 2 and HbA1c 8.41%. Both vildagliptin and glimepiride significantly decreased glycated hemoglobin and glycemic variability indices. Despite the improved glucose homeostasis, favorable change of CVD markers was not prominent in both the arms, along with significant weight gain. Only plasma stromal cell-derived factor (SDF)-1α decreased by 30% in the vildagliptin arm. According to regression analyses, the reduction of SDF-1α was independently associated with vildagliptin usage and serum interleukin-6 changes, but white blood cells were not related with the SDF-1α changes. Compared with glimepiride, vildagliptin arrestingly decreased plasma SDF-1α, and its clinical implications should be further investigated. © 2016 The Authors. Journal of Diabetes Investigation published by Asian Association for the Study of Diabetes (AASD) and John Wiley & Sons Australia, Ltd.

  9. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  10. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  11. Pulse height distribution and radiation tolerance of CVD diamond detectors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Zeuner, W; Zöller, M; Fenyvesi, A; Molnár, J; Sohler, D

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal. (11 refs).

  12. Antimicrobial Effectiveness of Cellulose based Fabrics treated with Silver Nitrate Solution using Plasma Processes

    Directory of Open Access Journals (Sweden)

    Jelena Peran

    2017-12-01

    Full Text Available In order to obtain antibacterial properties, the possibility of deposition of silver particles from silver nitrate (AgNO3 solutions by plasma deposition process using argon as a carrier gas (PDP-Ar was explored. Hexamethyldisiloxane and acrylic acid were used as precursors and were deposited by plasma enhanced-chemical vapor deposition (PE-CVD. The processes were carried out on lyocell and modal fbrics and antimicrobial efficacy was determined on E. coli and S. aureus using time kill assay method. The results of minimal inhibitory concentration (MIC show that higher antimicrobial efficacy on E. coli is exhibited by the solution of (AgNO3 in ethylene-glycol (0.066 μg/ml rather than in absolute ethanol (0.265 μg/ml. For S. aureus, minimal inhibitory concentrations of AgNO3 solutions in both absolute ethanol and ethylene-glycol as solvents are obtained at the same value (0.132 μg/ml. Overall, the best antibacterial eff ect for both modal and lyocell samples has been achieved against E. coli using treatments with precursors (AAC and HMDSO and Ag-NO3 in ethylene-glycol as solvent, with prolonged incubation time.

  13. Barrier Performance of CVD Graphene Films Using a Facile P3HT Thin Film Optical Transmission Test

    Directory of Open Access Journals (Sweden)

    Srinivasa Kartik Nemani

    2018-01-01

    Full Text Available The barrier performance of CVD graphene films was determined using a poly(3-hexylthiophene (P3HT thin film optical transmission test. P3HT is a semiconducting polymer that photo-oxidatively degrades upon exposure to oxygen and light. The polymer is stable under ambient conditions and indoor lighting, enabling P3HT films to be deposited and encapsulated in air. P3HT’s stability under ambient conditions makes it desirable for an initial evaluation of barrier materials as a complimentary screening method in combination with conventional barrier tests. The P3HT test was used to demonstrate improved barrier performance for polymer substrates after addition of CVD graphene films. A layer-by-layer transfer method was utilized to enhance the barrier performance of monolayer graphene. Another set of absorption measurements were conducted to demonstrate the barrier performance of graphene and the degradation mechanism of graphene/P3HT over multiple wavelengths from 400 to 800 nm. The absorption spectra for graphene/polymer composite were simulated by solving Fresnel equations. The simulation results were found to be in good agreement with the measured absorption spectra. The P3HT degradation results qualitatively indicate the potential of graphene films as a possible candidate for medium performance barriers.

  14. Signal enhancement of neutral He emission lines by fast electron bombardment of laser-induced He plasma

    Directory of Open Access Journals (Sweden)

    Hery Suyanto

    2016-08-01

    Full Text Available A time-resolved spectroscopic study is performed on the enhancement signals of He gas plasma emission using nanosecond (ns and picosecond (ps lasers in an orthogonal configuration. The ns laser is used for the He gas plasma generation and the ps laser is employed for the ejection of fast electrons from a metal target, which serves to excite subsequently the He atoms in the plasma. The study is focused on the most dominant He I 587.6 nm and He I 667.8 nm emission lines suggested to be responsible for the He-assisted excitation (HAE mechanism. The time-dependent intensity enhancements induced by the fast electrons generated with a series of delayed ps laser ablations are deduced from the intensity time profiles of both He emission lines. The results clearly lead to the conclusion that the metastable excited triplet He atoms are actually the species overwhelmingly produced during the recombination process in the ns laser-induced He gas plasma. These metastable He atoms are believed to serve as the major energy source for the delayed excitation of analyte atoms in ns laser-induced breakdown spectroscopy (LIBS using He ambient gas.

  15. Signal enhancement of neutral He emission lines by fast electron bombardment of laser-induced He plasma

    Energy Technology Data Exchange (ETDEWEB)

    Suyanto, Hery [Department of Physics, Faculty of Mathematics and Natural Sciences, Udayana University, Kampus Bukit Jimbaran, Denpasar 80361, Bali (Indonesia); Pardede, Marincan [Department of Electrical Engineering, University of Pelita Harapan, 1100 M.H. Thamrin Boulevard, Lippo Village, Tangerang 15811 (Indonesia); Hedwig, Rinda [Department of Computer Engineering, Bina Nusantara University, 9 K.H. Syahdan, Jakarta 14810 (Indonesia); Marpaung, Alion Mangasi [Department of Physics, Faculty of Mathematics and Natural Sciences, Jakarta State University, Rawamangun, Jakarta 12440 (Indonesia); Ramli, Muliadi [Department of Chemistry, Faculty of Mathematics and Natural Sciences, Syiah Kuala University, Darussalam, Banda Aceh 23111, NAD (Indonesia); Lie, Tjung Jie; Kurniawan, Koo Hendrik, E-mail: kurnia18@cbn.net.id [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Abdulmadjid, Syahrun Nur [Department of Physics, Faculty of Mathematics and Natural Sciences, Syiah Kuala University, Darussalam, Banda Aceh 23111, NAD (Indonesia); Tjia, May On [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Physics of Magnetism and Photonics Group, Faculty of Mathematics and Natural Sciences, Bandung Institute of Technology, 10 Ganesha,Bandung 40132 (Indonesia); Kagawa, Kiichiro [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Fukui Science Education Academy, Takagi Chuo 2 chome, Fukui 910-0804 (Japan)

    2016-08-15

    A time-resolved spectroscopic study is performed on the enhancement signals of He gas plasma emission using nanosecond (ns) and picosecond (ps) lasers in an orthogonal configuration. The ns laser is used for the He gas plasma generation and the ps laser is employed for the ejection of fast electrons from a metal target, which serves to excite subsequently the He atoms in the plasma. The study is focused on the most dominant He I 587.6 nm and He I 667.8 nm emission lines suggested to be responsible for the He-assisted excitation (HAE) mechanism. The time-dependent intensity enhancements induced by the fast electrons generated with a series of delayed ps laser ablations are deduced from the intensity time profiles of both He emission lines. The results clearly lead to the conclusion that the metastable excited triplet He atoms are actually the species overwhelmingly produced during the recombination process in the ns laser-induced He gas plasma. These metastable He atoms are believed to serve as the major energy source for the delayed excitation of analyte atoms in ns laser-induced breakdown spectroscopy (LIBS) using He ambient gas.

  16. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  17. Enhancement of cell growth on honeycomb-structured polylactide surface using atmospheric-pressure plasma jet modification

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kuang-Yao; Chang, Chia-Hsing; Yang, Yi-Wei; Liao, Guo-Chun; Liu, Chih-Tung; Wu, Jong-Shinn, E-mail: chongsin@faculty.nctu.edu.tw

    2017-02-01

    Graphical abstract: Atmospheric-pressure plasma enhances cell growth on two different pore sizes of honeycomb pattern on polylactide surface. - Highlights: • Different pore sizes of honeycomb pattern on PLA film are created. • The two-step plasma treatment provided the oxygen- and nitrogen-containing functional groups that had a major impact on cell cultivation. • The plasma treatment had a significant effect for cell proliferation. • The surface structures are the main influence on cell cultivation, while plasma treatment can indeed improve the growth environment. - Abstract: In this paper, we compare the cell growth results of NIH-3T3 and Neuro-2A cells over 72 h on flat and honeycomb structured PLA films without and with a two-step atmospheric-pressure nitrogen-based plasma jet treatment. We developed a fabrication system used for forming of a uniform honeycomb structure on PLA surface, which can produce two different pore sizes, 3–4 μm and 7–8 μm, of honeycomb pattern. We applied a previously developed nitrogen-based atmospheric-pressure dielectric barrier discharge (DBD) jet system to treat the PLA film without and with honeycomb structure. NIH-3T3 and a much smaller Neuro-2A cells were cultivated on the films under various surface conditions. The results show that the two-step plasma treatment in combination with a honeycomb structure can enhance cell growth on PLA film, should the cell size be not too smaller than the pore size of honeycomb structure, e.g., NIH-3T3. Otherwise, cell growth would be better on flat PLA film, e.g., Neuro-2A.

  18. Fish consumption and its motives in households with versus without self-reported medical history of CVD: A consumer survey from five European countries

    Directory of Open Access Journals (Sweden)

    Brunsø Karen

    2008-09-01

    Full Text Available Abstract Background The purpose of this study was to explore the cross-cultural differences in the frequency of fish intake and in motivations for fish consumption between people from households with (CVD+ or without (CVD- medical history of cardiovascular disease, using data obtained in five European countries. Methods A cross-sectional consumer survey was carried out in November-December 2004 with representative household samples from Belgium, the Netherlands, Denmark, Poland and Spain. The sample consisted of 4,786 respondents, aged 18–84 and who were responsible for food purchasing and cooking in the household. Results Individuals from households in the CVD+ group consumed fish more frequently in Belgium and in Denmark as compared to those in the CVD- group. The consumption of fatty fish, which is the main sources of omega-3 PUFA associated with prevention of cardiovascular diseases, was on the same level for the two CVD groups in the majority of the countries, except in Belgium where CVD+ subjects reported to eat fatty fish significantly more frequently than CVD- subjects. All respondents perceived fish as a very healthy and nutritious food product. Only Danish consumers reported a higher subjective and objective knowledge related to nutrition issues about fish. In the other countries, objective knowledge about fish was on a low level, similar for CVD+ as for CVD- subjects, despite a higher claimed use of medical information sources about fish among CVD+ subjects. Conclusion Although a number of differences between CVD- and CVD+ subjects with respect to their frequency of fish intake are uncovered, the findings suggest that fish consumption traditions and habits – rather than a medical history of CVD – account for large differences between the countries, particularly in fatty fish consumption. This study exemplifies the need for nutrition education and more effective communication about fish, not only to the people facing chronic

  19. Joint association of physical activity/screen time and diet on CVD risk factors in 10-year-old children.

    Science.gov (United States)

    Drenowatz, Clemens; Carlson, Joseph J; Pfeiffer, Karin A; Eisenmann, Joey C

    2012-12-01

    The increasing prevalence of childhood overweight and obesity has been associated with an increased risk for cardiovascular disease (CVD). While several studies examined the effect of single behaviors such as physical activity (PA), sedentary behavior or diet on CVD risk, there is a lack of research on combined associations, specifically in children. Therefore, the purpose of this study was to examine the joint association of PA or screen time (ST) and diet on CVD risk factors in children. PA, STand diet were assessed via questionnaire in 210 fifth grade students (age: 10.6 ± 0.4 years). The healthy eating index (HEI) was subsequently calculated as indicator for diet quality. Height, weight, % body fat, and resting blood pressure were measured according to standard procedures and blood samples obtained via fingerprick were assayed for blood lipids. Total cholesterol HDL ratio (TC:HDL), mean arterial pressure (MAP), and % body fat were used as indicators of CVD risk. 55% of children did not meet current PA recommendations on at least 5 days/week and 70% exceeded current recommendations for ST. Further, only 2.5% possessed a "good" diet (HEI> 80). There was no significant association of PA or STand diet on CVD risk score. Neither TC:HDL, MAP, and % body fat nor the total CVD risk score was significantly correlated with diet, PA, or ST. Children in the high PA group, however, had significantly better diet scores. Despite the fact that self-reported PA, ST, or dietary intake were not directly related to CVD risk in this sample, higher activity levels were associated with a healthier diet and lower ST indicating an overall healthier lifestyle of this subgroup.

  20. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  1. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  2. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    Energy Technology Data Exchange (ETDEWEB)

    Ciambelli, P; Sarno, M; Leone, C; Sannino, D [Department of Chemical and Food Engineering, University of Salerno, I-84084 Fisciano (Italy); Arurault, L; Fontorbes, S; Datas, L; Lenormand, P; Le Blond Du Plouy, S, E-mail: msarno@unisa.it, E-mail: arurault@chimie.ups-tlse.fr [Universite de Toulouse, CIRIMAT, UPS/INPT/CNRS, LCMIE, F-31062 Toulouse Cedex 9 (France)

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  5. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  6. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  7. Proton Irradiation of CVD Diamond Detectors for High Luminosity Experiments at the LHC

    CERN Document Server

    Meier, D; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jany, C; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Marshall, R D; Mishina, M; Le Normand, F; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    CVD diamond shows promising properties for use as a position sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardn ess of diamond we exposed CVD diamond detector samples to 24~GeV/$c$ and 500~MeV protons up to a fluence of $5\\times 10^{15}~p/{\\rm cm^2}$. We measured the charge collection distance, the ave rage distance electron hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to $1\\ times 10^{15}~p/{\\rm cm^2}$ and decreases by $\\approx$40~\\% at $5\\times 10^{15}~p/{\\rm cm^2}$. Leakage currents of diamond samples were below 1~pA before and after irradiation. The particle indu ced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage curren t. We conclude that CVD diamond detectors are radia...

  8. Thermodynamic study of CVD-ZrO{sub 2} phase diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atorresh@ipn.m [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Vargas-Garcia, J.R. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico); Dominguez-Crespo, M.A. [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Romero-Serrano, J.A. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico)

    2009-08-26

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO{sub 2}) from zirconium acetylacetonate Zr(acac){sub 4} has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp{sup o}, DELTAH{sup o} and S{sup o} for Zr(acac){sub 4} have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO{sub 2} can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO{sub 2} and the other one corresponds to a mix of monoclinic phase of ZrO{sub 2} and graphite carbon.

  9. Temporal evolution of the HF-enhanced plasma line in sporadic E

    International Nuclear Information System (INIS)

    Djuth, F.T.; Gonzales, C.A.

    1988-01-01

    The high-power, high-frequency (HF) facility at Arecibo, Puerto Rico, has been used to study the excitation of Langmuir waves in mid-latitude sporadic E. Measurements of the temporal evolution of so-called HF-enhanced plasma line (HFPL) were made using the Arecibo 430-MHz radar. After HF turn-on in the plasma the HFPL exhibits a rapid growth phase followed by a quick overshoot. During periods of strong HFPL excitation the e-folding growth time of the HFPL power is typically approx-lt 20 μs, and the total overshoot period is ∼1 ms. On the basis of the current observations, mode conversion of the HF wave into Langmuir waves near HF reflection appears to be a promising mechanism for the production of Langmuir waves in sporadic E. Caviton formation at the critical layer is expected to accompany this process, and there is some evidence that the 430-MHz radar is probing the plasma in a region where density cavities of this nature form. While no specific explanation is offered for the HFPL overshoot, it appears that this phenomenon is fundamental to the Langmuir wave excitation process

  10. Parametric assessments on hydrogenic species transport in CVD-diamond vacuum windows used in ITER ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, C.; Sedano, L.A.; Fernandez, A. [EURATOM-CIEMAT Association, Madrid (Spain)

    2007-07-01

    Insulators used as H and CD and Diagnostic vacuum windows (VW) in ITER may become modified by surface intake and bulk transport of hydrogenic species. VW, operating under severe radiation levels, have a primary safety role as tritium confinement barriers. Ionizing radiation enhances the (H') uptake and release at surfaces and diffusion rates in the bulk. Radiation damage modifies the material's bulk trapped inventories by increasing steady state trapping centre concentrations. An experimental programme is ongoing at CIEMAT, to quantify radiation effects on H transport characteristics and also the possible impact on the VW. The reference material for ECRH VW is CVD diamond. As a parallel activity, parametric transport assessments are being made in order to obtain a wide evaluation of permeation fluxes, ranges, and soluted/trapped inventories in CVD diamond. Transport models have been developed based on extended capabilities of finite differences integrator tool TMAP7. Special attention is paid to radiation parameters defining inputs acting on transport magnitudes. These inputs have been analysed by using ionizing/damage radiation transport tools such as MCNPX/SRIM. VW operational scenarios are discussed with special attention being paid to the ITER design assumptions for the values of H-species source terms (neutrals and implanted) in the ECRH system. The available material transport database with and without radiation is discussed and taken as reference for this parametric exercise. Permeation fluxes through base materials are shown to be below DRG limits established for ITER. (orig.)

  11. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  12. Plasma-enhanced synthesis of green flame retardant cellulosic materials

    Science.gov (United States)

    Totolin, Vladimir

    The natural fiber-containing fabrics and composites are more environmentally friendly, and are used in transportation (automobiles, aerospace), military applications, construction industries (ceiling paneling, partition boards), consumer products, etc. Therefore, the flammability characteristics of the composites based on polymers and natural fibers play an important role. This dissertation presents the development of plasma assisted - green flame retardant coatings for cellulosic substrates. The overall objective of this work was to generate durable flame retardant treatment on cellulosic materials. In the first approach sodium silicate layers were pre-deposited onto clean cotton substrates and cross linked using low pressure, non-equilibrium oxygen plasma. A statistical design of experiments was used to optimize the plasma parameters. The modified cotton samples were tested for flammability using an automatic 45° angle flammability test chamber. Aging tests were conducted to evaluate the coating resistance during the accelerated laundry technique. The samples revealed a high flame retardant behavior and good thermal stability proved by thermo-gravimetric analysis. In the second approach flame retardant cellulosic materials have been produced using a silicon dioxide (SiO2) network coating. SiO 2 network armor was prepared through hydrolysis and condensation of the precursor tetraethyl orthosilicate (TEOS), prior coating the substrates, and was cross linked on the surface of the substrates using atmospheric pressure plasma (APP) technique. Due to protection effects of the SiO2 network armor, the cellulosic based fibers exhibit enhanced thermal properties and improved flame retardancy. In the third approach, the TEOS/APP treatments were extended to linen fabrics. The thermal analysis showed a higher char content and a strong endothermic process of the treated samples compared with control ones, indicating a good thermal stability. Also, the surface analysis proved

  13. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  14. Plasma alpha-defensin is associated with cardiovascular morbidity and mortality in type 1 diabetic patients

    DEFF Research Database (Denmark)

    Joseph, G.; Tarnow, L.; Astrup, A.S.

    2008-01-01

    CONTEXT: alpha-Defensins are antimicrobial peptides of the innate immune system. In addition, experimental evidence suggests that alpha-defensins are proatherogenic. OBJECTIVE: The objective of the study was to examine the predictive value of plasma alpha-defensin as a clinical marker of cardiova...... to the development of CVD or an innocent bystander Udgivelsesdato: 2008/4...

  15. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Cheng, Q.J. [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia); Chen, X. [College of Materials Science and Engineering, Chongqing University, Chongqing 400044 (China); Ostrikov, K., E-mail: kostya.ostrikov@csiro.au [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia)

    2011-09-22

    Highlights: > A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. > The carbon nanotubes are later treated with nitrogen plasmas. > The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. > A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 {mu}A/cm{sup 2}) achieved at a low applied field (3.50 V/{mu}m) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  16. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Wang, B.B.; Cheng, Q.J.; Chen, X.; Ostrikov, K.

    2011-01-01

    Highlights: → A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. → The carbon nanotubes are later treated with nitrogen plasmas. → The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. → A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 μA/cm 2 ) achieved at a low applied field (3.50 V/μm) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  17. Noise Suppression and Enhanced Focusability in Plasma Raman Amplifier with Multi-frequency Pump

    International Nuclear Information System (INIS)

    Balakin, A.A.; Fraiman, G.M.; Fisch, N.J.; Malkin, V.M.

    2003-01-01

    Laser pulse compression/amplification through Raman backscattering in plasmas can be facilitated by using multi-frequency pump laser beams. The efficiency of amplification is increased by suppressing the Raman instability of thermal fluctuations and seed precursors. Also the focusability of the amplified radiation is enhanced due to the suppression of large-scale longitudinal speckles in the pump wave structure

  18. Higher plasma levels of advanced glycation end products are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes

    DEFF Research Database (Denmark)

    Nin, Johanna W; Jorsal, Anders; Ferreira, Isabel

    2011-01-01

    -grade inflammation, and arterial stiffness. RESEARCH DESIGN AND METHODS: We prospectively followed 169 individuals with diabetic nephropathy and 170 individuals with persistent normoalbuminuria who were free of CVD at study entry and in whom levels of N(ε)-(carboxymethyl)lysine, N(ε)-(carboxyethyl)lysine......OBJECTIVE: To investigate the associations of plasma levels of advanced glycation end products (AGEs) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunction, low...... or endothelial dysfunction, low-grade inflammation, or arterial stiffness. CONCLUSIONS: Higher levels of AGEs are associated with incident fatal and nonfatal CVD as well as all-cause mortality in individuals with type 1 diabetes, independently of other risk factors and of several potential AGEs...

  19. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  20. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  1. Mindfulness-Based Interventions for Weight Loss and CVD Risk Management

    Science.gov (United States)

    Fulwiler, Carl; Brewer, Judson A.; Sinnott, Sinead; Loucks, Eric B.

    2017-01-01

    Obesity affects more than one-third of U.S. adults and is a major cause of preventable morbidity and mortality, primarily from cardiovascular disease. Traditional behavioral interventions for weight loss typically focus on diet and exercise habits and often give little attention to the role of stress and emotions in the initiation and maintenance of unhealthy behaviors, which may account for their modest results and considerable variability in outcomes. Stress eating and emotional eating are increasingly recognized as important targets of weight loss interventions. Mindfulness-based interventions were specifically developed to promote greater self-efficacy in coping with stress and negative emotions, and appear to be effective for a variety of conditions. In recent years researchers have begun to study mindfulness interventions for weight loss and CVD risk management. This review describes the rationale for the use of mindfulness in interventions for weight loss and CVD risk management, summarizes the research to date, and suggests priorities for future research. PMID:28405260

  2. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  3. Study of the structure and phase composition of nanocrystalline silicon oxynitride films synthesized by ICP-CVD

    International Nuclear Information System (INIS)

    Fainer, N.I.; Kosinova, M.L.; Maximovsky, E.A.; Rumyantsev, Yu.M.; Kuznetsov, F.A.; Kesler, V.G.; Kirienko, V.V.

    2005-01-01

    Thin nanocrystalline silicon oxynitride films were synthesized for the first time at low temperatures (373-750 K) by inductively coupled plasma chemical vapor deposition (ICP-CVD) using gas mixture of oxygen and hexamethyldisilazane Si 2 NH(CH 3 ) 6 (HMDS) as precursors. Single crystal Si (1 0 0) wafers 100 mm in diameter were used as substrates. Physicochemical properties of the thin films were examined using ellipsometry, IR spectroscopy, Auger electron and X-ray photoelectron spectroscopy and XRD using synchrotron radiation (SR). The studies of the phase composition of nanocrystalline films of silicon oxynitride showed that in the case of oxygen excess in the initial gas mixture, they contain a mixture of hexagonal phases: h-SiO 2 and α-Si 3 N 4 . These phases consist of oriented nanocrystals of 2-3 nm size. In case of decrease of oxygen concentration in the initial gas mixture, the fraction of the α-Si 3 N 4 phase increases

  4. Study of the structure and phase composition of nanocrystalline silicon oxynitride films synthesized by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Fainer, N.I. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation)]. E-mail: nadezhda@che.nsk.su; Kosinova, M.L. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Maximovsky, E.A. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Rumyantsev, Yu.M. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Kuznetsov, F.A. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Acad. Lavrentjev pr., 13, Novosibirsk 630090 (Russian Federation); Kirienko, V.V. [Institute of Semiconductor Physics SB RAS, Acad. Lavrentjev pr., 13, Novosibirsk 630090 (Russian Federation)

    2005-05-01

    Thin nanocrystalline silicon oxynitride films were synthesized for the first time at low temperatures (373-750 K) by inductively coupled plasma chemical vapor deposition (ICP-CVD) using gas mixture of oxygen and hexamethyldisilazane Si{sub 2}NH(CH{sub 3}){sub 6} (HMDS) as precursors. Single crystal Si (1 0 0) wafers 100 mm in diameter were used as substrates. Physicochemical properties of the thin films were examined using ellipsometry, IR spectroscopy, Auger electron and X-ray photoelectron spectroscopy and XRD using synchrotron radiation (SR). The studies of the phase composition of nanocrystalline films of silicon oxynitride showed that in the case of oxygen excess in the initial gas mixture, they contain a mixture of hexagonal phases: h-SiO{sub 2} and {alpha}-Si{sub 3}N{sub 4}. These phases consist of oriented nanocrystals of 2-3 nm size. In case of decrease of oxygen concentration in the initial gas mixture, the fraction of the {alpha}-Si{sub 3}N{sub 4} phase increases.

  5. Elevated plasma factor VIII enhances venous thrombus formation in rabbits: contribution of factor XI, von Willebrand factor and tissue factor.

    Science.gov (United States)

    Sugita, Chihiro; Yamashita, Atsushi; Matsuura, Yunosuke; Iwakiri, Takashi; Okuyama, Nozomi; Matsuda, Shuntaro; Matsumoto, Tomoko; Inoue, Osamu; Harada, Aya; Kitazawa, Takehisa; Hattori, Kunihiro; Shima, Midori; Asada, Yujiro

    2013-07-01

    Elevated plasma levels of factor VIII (FVIII) are associated with increased risk of deep venous thrombosis. The aim of this study is to elucidate how elevated FVIII levels affect venous thrombus formation and propagation in vivo. We examined rabbit plasma FVIII activity, plasma thrombin generation, whole blood coagulation, platelet aggregation and venous wall thrombogenicity before and one hour after an intravenous infusion of recombinant human FVIII (rFVIII). Venous thrombus induced by the endothelial denudation of rabbit jugular veins was histologically assessed. Thrombus propagation was evaluated as indocyanine green fluorescence intensity. Argatroban, a thrombin inhibitor, and neutralised antibodies for tissue factor (TF), factor XI (FXI), and von Willebrand factor (VWF) were infused before or after thrombus induction to investigate their effects on venous thrombus formation or propagation. Recombinant FVIII (100 IU/kg) increased rabbit plasma FVIII activity two-fold and significantly enhanced whole blood coagulation and total plasma thrombin generation, but did not affect initial thrombin generation time, platelet aggregation and venous wall thrombogenicity. The rFVIII infusion also increased the size of venous thrombus 1 hour after thrombus induction. Argatroban and the antibodies for TF, FXI or VWF inhibited such enhanced thrombus formation and all except TF suppressed thrombus propagation. In conclusion, elevated plasma FVIII levels enhance venous thrombus formation and propagation. Excess thrombin generation by FXI and VWF-mediated FVIII recruitment appear to contribute to the growth of FVIII-driven venous thrombus.

  6. Enhanced ion acceleration in transition from opaque to transparent plasmas

    Science.gov (United States)

    Mishra, R.; Fiuza, F.; Glenzer, S.

    2018-04-01

    Using particle-in-cell simulations, we investigate ion acceleration in the interaction of high intensity lasers with plasmas which transition from opaque to transparent during the interaction process. We show that the highest ion energies are achieved when the laser traverses the target around the peak intensity and re-heats the electron population responsible for the plasma expansion, enhancing the corresponding sheath electric field. This process can lead to an increase of up to 2x in ion energy when compared with the standard Target Normal Sheath Acceleration in opaque targets under the same laser conditions. A theoretical model is developed to predict the optimal target areal density as a function of laser intensity and pulse duration. A systematic parametric scan for a wide range of target densities and thicknesses is performed in 1D, 2D and 3D and shown consistent with the theory and with recent experimental results. These results open the way for a better optimization of the ion energy in future laser–solid experiments.

  7. Making Alloys (TI1 - xAlx N using plasma CVD technique from AlCl3 Powder, H2 and N2 Gas ,with solvent TiCl4

    Directory of Open Access Journals (Sweden)

    Keba Moto

    2010-10-01

    Full Text Available Normal 0 false false false IN X-NONE X-NONE /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; mso-style-parent:""; mso-padding-alt:0cm 5.4pt 0cm 5.4pt; mso-para-margin-top:0cm; mso-para-margin-right:0cm; mso-para-margin-bottom:10.0pt; mso-para-margin-left:0cm; line-height:115%; mso-pagination:widow-orphan; font-size:11.0pt; font-family:"Calibri","sans-serif"; mso-ascii-font-family:Calibri; mso-ascii-theme-font:minor-latin; mso-hansi-font-family:Calibri; mso-hansi-theme-font:minor-latin; mso-bidi-font-family:"Times New Roman"; mso-bidi-theme-font:minor-bidi; mso-fareast-language:EN-US;} The substitution of Ti atoms by Al atoms in TiN lattice sites to form (Ti1-xAlxN is clearly demonstrated by the coatings which were deposited on steel substrate and using plasma CVD technique. The presence of Al atoms in TiN lattice site is shown by means of XRD and EDX techniques: lattice parameters decreasing of TiN as the fraction of Al increases (XRD and precipitation of hexagonal AlN phase (XRD as the fraction of Al exceeding 0.8 (EDX. The hardness of these coating are just around 30 GPa, which is beyond the values reported in literatures.

  8. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  9. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Science.gov (United States)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Manfredi, P. F.; Marshall, R. D.; Mishina, M.; Le Normand, F.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-04-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/ c and 500 Mev protons up to a fluence of 5×10 15 p/cm 2. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1×10 15 p/cm 2 and decreases by ≈40% at 5×10 15 p/cm 2. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/ c and 500 MeV protons up to at least 1×10 15p/cm 2 without signal loss.

  10. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    International Nuclear Information System (INIS)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1999-01-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10 15 p/cm 2 . We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10 15 p/cm 2 and decreases by ∼40% at 5x10 15 p/cm 2 . Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10 15 p/cm 2 without signal loss

  11. Co-morbid depression is associated with poor work outcomes in persons with cardiovascular disease (CVD: A large, nationally representative survey in the Australian population

    Directory of Open Access Journals (Sweden)

    O'Neil Adrienne

    2012-01-01

    Full Text Available Abstract Background Co-morbid major depressive disorder (MDD and cardiovascular disease (CVD is associated with poor clinical and psychological outcomes. However, the full extent of the burden of, and interaction between, this co-morbidity on important vocational outcomes remains less clear, particularly at the population level. We examine the association of co-morbid MDD with work outcomes in persons with and without CVD. Methods This study utilised cross-sectional, population-based data from the 2007 Australian National Survey of Mental Health and Wellbeing (n = 8841 to compare work outcomes of individuals with diagnostically-defined MDD and CVD, MDD but not CVD, CVD but not MDD, with a reference group of "healthy" Australians. Workforce participation was defined as being in full- or part-time employment. Work functioning was measured using a WHO Disability Assessment Schedule item. Absenteeism was assessed using the 'days out of role' item. Results Of the four groups, those with co-morbid MDD and CVD were least likely to report workforce participation (adj OR:0.4, 95% CI: 0.3-0.6. Those with MDD only (adj OR:0.8, 95% CI:0.7-0.9 and CVD only (adj OR:0.8, 95% CI: 0.6-0.9 also reported significantly reduced odds of participation. Employed individuals with co-morbid MDD and CVD were 8 times as likely to experience impairments in work functioning (adj OR:8.1, 95% CI: 3.8- 17.3 compared with the reference group. MDD was associated with a four-fold increase in impaired functioning. Further, individuals with co-morbid MDD and CVD reported greatest likelihood of workplace absenteeism (adj. OR:3.0, 95% CI: 1.4-6.6. Simultaneous exposure to MDD and CVD conferred an even greater likelihood of poorer work functioning. Conclusions Co-morbid MDD and CVD is associated with significantly poorer work outcomes. Specifically, the effects of these conditions on work functioning are synergistic. The development of specialised treatment programs for those with co

  12. Co-morbid depression is associated with poor work outcomes in persons with cardiovascular disease (CVD): A large, nationally representative survey in the Australian population

    Science.gov (United States)

    2012-01-01

    Background Co-morbid major depressive disorder (MDD) and cardiovascular disease (CVD) is associated with poor clinical and psychological outcomes. However, the full extent of the burden of, and interaction between, this co-morbidity on important vocational outcomes remains less clear, particularly at the population level. We examine the association of co-morbid MDD with work outcomes in persons with and without CVD. Methods This study utilised cross-sectional, population-based data from the 2007 Australian National Survey of Mental Health and Wellbeing (n = 8841) to compare work outcomes of individuals with diagnostically-defined MDD and CVD, MDD but not CVD, CVD but not MDD, with a reference group of "healthy" Australians. Workforce participation was defined as being in full- or part-time employment. Work functioning was measured using a WHO Disability Assessment Schedule item. Absenteeism was assessed using the 'days out of role' item. Results Of the four groups, those with co-morbid MDD and CVD were least likely to report workforce participation (adj OR:0.4, 95% CI: 0.3-0.6). Those with MDD only (adj OR:0.8, 95% CI:0.7-0.9) and CVD only (adj OR:0.8, 95% CI: 0.6-0.9) also reported significantly reduced odds of participation. Employed individuals with co-morbid MDD and CVD were 8 times as likely to experience impairments in work functioning (adj OR:8.1, 95% CI: 3.8- 17.3) compared with the reference group. MDD was associated with a four-fold increase in impaired functioning. Further, individuals with co-morbid MDD and CVD reported greatest likelihood of workplace absenteeism (adj. OR:3.0, 95% CI: 1.4-6.6). Simultaneous exposure to MDD and CVD conferred an even greater likelihood of poorer work functioning. Conclusions Co-morbid MDD and CVD is associated with significantly poorer work outcomes. Specifically, the effects of these conditions on work functioning are synergistic. The development of specialised treatment programs for those with co-morbid MDD and CVD is

  13. Laser pulse propagation and enhanced energy coupling to fast electrons in dense plasma gradients

    International Nuclear Information System (INIS)

    Gray, R J; Carroll, D C; Yuan, X H; Brenner, C M; Coury, M; Quinn, M N; Tresca, O; McKenna, P; Burza, M; Wahlström, C-G; Lancaster, K L; Neely, D; Lin, X X; Li, Y T

    2014-01-01

    Laser energy absorption to fast electrons during the interaction of an ultra-intense (10 20 W cm −2 ), picosecond laser pulse with a solid is investigated, experimentally and numerically, as a function of the plasma density scale length at the irradiated surface. It is shown that there is an optimum density gradient for efficient energy coupling to electrons and that this arises due to strong self-focusing and channeling driving energy absorption over an extended length in the preformed plasma. At longer density gradients the laser filaments, resulting in significantly lower overall energy coupling. As the scale length is further increased, a transition to a second laser energy absorption process is observed experimentally via multiple diagnostics. The results demonstrate that it is possible to significantly enhance laser energy absorption and coupling to fast electrons by dynamically controlling the plasma density gradient. (paper)

  14. Cardiovascular disease-related parameters and oxidative stress in SHROB rats, a model for metabolic syndrome.

    Directory of Open Access Journals (Sweden)

    Eunice Molinar-Toribio

    Full Text Available SHROB rats have been suggested as a model for metabolic syndrome (MetS as a situation prior to the onset of CVD or type-2 diabetes, but information on descriptive biochemical parameters for this model is limited. Here, we extensively evaluate parameters related to CVD and oxidative stress (OS in SHROB rats. SHROB rats were monitored for 15 weeks and compared to a control group of Wistar rats. Body weight was recorded weekly. At the end of the study, parameters related to CVD and OS were evaluated in plasma, urine and different organs. SHROB rats presented statistically significant differences from Wistar rats in CVD risk factors: total cholesterol, LDL-cholesterol, triglycerides, apoA1, apoB100, abdominal fat, insulin, blood pressure, C-reactive protein, ICAM-1 and PAI-1. In adipose tissue, liver and brain, the endogenous antioxidant systems were activated, yet there was no significant oxidative damage to lipids (MDA or proteins (carbonylation. We conclude that SHROB rats present significant alterations in parameters related to inflammation, endothelial dysfunction, thrombotic activity, insulin resistance and OS measured in plasma as well as enhanced redox defence systems in vital organs that will be useful as markers of MetS and CVD for nutrition interventions.

  15. A saw-tooth plasma actuator for film cooling efficiency enhancement of a shaped hole

    Science.gov (United States)

    Li, Guozhan; Yu, Jianyang; Liu, Huaping; Chen, Fu; Song, Yanping

    2017-08-01

    This paper reports the large eddy simulations of the effects of a saw-tooth plasma actuator and the laidback fan-shaped hole on the film cooling flow characteristics, and the numerical results are compared with a corresponding standard configuration (cylindrical hole without the saw-tooth plasma actuator). For this numerical research, the saw-tooth plasma actuator is installed just downstream of the cooling hole and a phenomenological plasma model is employed to provide the 3D plasma force vectors. The results show that thanks to the downward force and the momentum injection effect of the saw-tooth plasma actuator, the cold jet comes closer to the wall surface and extends further downstream. The saw-tooth plasma actuator also induces a new pair of vortex which weakens the strength of the counter-rotating vortex pair (CRVP) and entrains the coolant towards the wall, and thus the diffusion of the cold jet in the crossflow is suppressed. Furthermore, the laidback fan-shaped hole reduces the vertical jet velocity causing the disappearance of downstream spiral separation node vortices, this compensates for the deficiency of the saw-tooth plasma actuator. Both effects of the laidback fan-shaped hole and the saw-tooth plasma actuator effectively control the development of the CRVP whose size and strength are smaller than those of the anti-counter rotating vortex pair in the far field, thus the centerline and the spanwise-averaged film cooling efficiency are enhanced. The average film cooling efficiency is the biggest in the Fan-Dc = 1 case, which is 80% bigger than that in the Fan-Dc = 0 case and 288% bigger than that in the Cyl-Dc = 0 case.

  16. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  17. Fasting plasma glucose levels and coronary artery calcification in subjects with impaired fasting glucose.

    Science.gov (United States)

    Eun, Young-Mi; Kang, Sung-Goo; Song, Sang-Wook

    2016-01-01

    Prediabetes is associated with an increased risk of cardiovascular disease (CVD). While the association of impaired glucose tolerance with CVD has been shown in many studies, the relationship between impaired fasting glucose (IFG) and CVD remains unclear. The purpose of this study was to compare the coronary artery calcium (CAC) scores of participants with normal fasting glucose versus those with IFG, according to fasting plasma glucose (FPG) levels, and to assess whether differences in CAC scores were independent of important confounders. Retrospective study. Health Promotion Center of the University Hospital (Gyeonggi-do, South Korea), during the period 2010-2014. Participants were enrolled from the general population who visited for a medical check-up. CAC was assessed in asymptomatic individuals by multidetector computed tomography. Anthropometric parameters and metabolic profiles were also recorded. Subjects were divided into four fasting glucose groups. Participants with a history of CVD or diabetes mellitus were excluded. Correlation between FPG and CAC scores, CAC score categories, and association between CAC score and FPG categories. Of 1112 participants, 346 (34.2%) had a CAC score > 0. FPG values in the IFG patients were positively but weakly correlated with CAC scores (r=0.099, P=.001). The incidence of CAC differed according to FPG level (P =110 mg/dL had a significantly higher risk of CAC than did subjects with normal fasting glucose (110.

  18. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  19. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  20. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  1. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  2. Structural and optical properties of CdO nanostructures prepared by atmospheric-pressure CVD

    International Nuclear Information System (INIS)

    Terasako, T.; Fujiwara, T.; Nakata, Y.; Yagi, M.; Shirakata, S.

    2013-01-01

    Cadmium oxide (CdO) nanostructures of various shapes were successfully grown on gold (Au) nanocolloid coated c-plane sapphire substrates by atmospheric-pressure CVD using Cd powder and H 2 O as source materials. CdO nanorods (NRs) exhibited tapered shapes and the degree of the tapering became larger with increasing substrate temperature. One of the possible reasons for the tapering behavior is the competition between the axial growth due to the vapor–liquid–solid (VLS) mechanism and the radial growth due to the vapor–solid (VS) mechanism. The influence of the competition between the two different growth mechanisms was also confirmed on the appearance of “seaweed-like” NRs. Moreover, we cannot neglect the influence of the shrinkage of catalyst particles during the growth process on the tapering behavior. In addition, there is a possibility that the temporal evolution of catalyst particles, such as diffusion, splitting, migration and coalescence, contributes not only to the disappearance of catalyst particles on the tips of the NRs, resulting in the enhancement of the radial growth relative to the axial growth, but also to the formation of nanobelts (NBs) and nanotrees (NTs). Photoacoustic measurements revealed that the absorption edge shifts towards lower energies and the absorption band below the absorption edge becomes larger with increasing T S . This tendency may be due to the increase of intrinsic defects and/or the decrease in residual impurities. - Highlights: ► Various shapes of CdO nanostructures were grown by AP-CVD using Cd and H 2 O. ► This diversity is due to the competition between VLS and VS mechanisms. ► The temporal evolution of Au catalyst particles also contributes to the diversity. ► Photoacoustic spectra were changed, depending on the substrate temperature. ► This is probably related to the intrinsic defects and/or residual impurities

  3. Enhanced Predictions of Time to Critical Dielectric Breakdown of Materials Under Prolonged Exposure to Space Plasma Environments

    Data.gov (United States)

    National Aeronautics and Space Administration — The leading cause of spacecraft failures and malfunctions due to interactions with the space plasma environment is electrostatic discharge (ESD). The enhanced time...

  4. Cell-associated flagella enhance the protection conferred by mucosally-administered attenuated Salmonella Paratyphi A vaccines.

    Directory of Open Access Journals (Sweden)

    Orit Gat

    2011-11-01

    Full Text Available Antibiotic-resistant Salmonella enterica serovar Paratyphi A, the agent of paratyphoid A fever, poses an emerging public health dilemma in endemic areas of Asia and among travelers, as there is no licensed vaccine. Integral to our efforts to develop a S. Paratyphi A vaccine, we addressed the role of flagella as a potential protective antigen by comparing cell-associated flagella with exported flagellin subunits expressed by attenuated strains.S. Paratyphi A strain ATCC 9150 was first deleted for the chromosomal guaBA locus, creating CVD 1901. Further chromosomal deletions in fliD (CVD 1901D or flgK (CVD 1901K were then engineered, resulting in the export of unpolymerized FliC, without impairing its overall expression. The virulence of the resulting isogenic strains was examined using a novel mouse LD(50 model to accommodate the human-host restricted S. Paratyphi A. The immunogenicity of the attenuated strains was then tested using a mouse intranasal model, followed by intraperitoneal challenge with wildtype ATCC 9150.Mucosal (intranasal immunization of mice with strain CVD 1901 expressing cell-associated flagella conferred superior protection (vaccine efficacy [VE], 90% against a lethal intraperitoneal challenge, compared with the flagellin monomer-exporting mutants CVD 1901K (30% VE or CVD 1901D (47% VE. The superior protection induced by CVD 1901 with its cell-attached flagella was associated with an increased IgG2a:IgG1 ratio of FliC-specific antibodies with enhanced opsonophagocytic capacity.Our results clearly suggest that enhanced anti-FliC antibody-mediated clearance of S. Paratyphi A by phagocytic cells, induced by vaccines expressing cell-associated rather than exported FliC, might be contributing to the vaccine-induced protection from S. Paratyphi A challenge in vivo. We speculate that an excess of IgG1 anti-FliC antibodies induced by the exported FliC may compete with the IgG2a subtype and block binding to specific phagocyte Fc

  5. Nanoparticle Enhanced Laser Induced Breakdown Spectroscopy: Effect of nanoparticles deposited on sample surface on laser ablation and plasma emission

    International Nuclear Information System (INIS)

    De Giacomo, A.; Gaudiuso, R.; Koral, C.; Dell'Aglio, M.; De Pascale, O.

    2014-01-01

    In this paper the use of metallic nanoparticles (NPs) for improving Laser Induced Breakdown Spectroscopy (LIBS) is discussed. In the case of conductors an emission signal enhancement up to 1–2 orders of magnitude was obtained depositing NPs on the sample surface by drying a micro-drop of colloidal solution. The basic mechanisms of Nanoparticle Enhanced LIBS (NELIBS) were studied and the main causes of this significantly large enhancement were found to be related to the effect of NPs on the laser ablation process, in terms of a faster and more efficient production of seed electrons with respect to conventional LIBS. The characteristics of NELIBS-produced plasma were investigated by emission spectroscopy and spectrally resolved images. In spite of similar plasma parameters, the NELIBS plasma was found to have larger emission volume and longer persistence than the LIBS one. A method to determine NP concentration and size was also proposed, which involved depositing NPs on non-interacting substrates, and proved the feasibility of LIBS as a fast detection tool for a preliminary characterization of NPs. - Highlights: • Effect of NPs on sample surface enables instantaneous field emission. • More efficient ablation • LIBS emission enhancement up to 1–2 orders of magnitude • Possibility of NP characterization in terms of concentration and size

  6. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  7. CVD of solid oxides in porous substrates for ceramic membrane modification

    NARCIS (Netherlands)

    Lin, Y.S.; Lin, Y.S.; Burggraaf, Anthonie; Burggraaf, A.J.

    1992-01-01

    The deposition of yttria-doped zirconia has been experimented systematically in various types of porous ceramic substrates by a modified chemical vapor deposition (CVD) process operating in an opposing reactant geometry using water vapor and corresponding metal chloride vapors as reactants. The

  8. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  9. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  10. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  11. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  12. Multi-pulse enhanced laser ion acceleration using plasma half cavity targets

    International Nuclear Information System (INIS)

    Scott, G. G.; Brenner, C. M.; Neely, D.; Green, J. S.; Robinson, A. P. L.; Spindloe, C.; Bagnoud, V.; Brabetz, C.; Zielbauer, B.; Carroll, D. C.; MacLellan, D. A.; McKenna, P.; Roth, M.; Wagner, F.

    2012-01-01

    We report on a plasma half cavity target design for laser driven ion acceleration that enhances the laser to proton energy conversion efficiency and has been found to modify the low energy region of the proton spectrum. The target design utilizes the high fraction of laser energy reflected from an ionized surface and refocuses it such that a double pulse interaction is attained. We report on numerical simulations and experimental results demonstrating that conversion efficiencies can be doubled, compared to planar foil interactions, when the secondary pulse is delivered within picoseconds of the primary pulse.

  13. Multi-pulse enhanced laser ion acceleration using plasma half cavity targets

    Energy Technology Data Exchange (ETDEWEB)

    Scott, G. G.; Brenner, C. M.; Neely, D. [Central Laser Facility, STFC Rutherford Appleton Laboratory, OX11 0QX Didcot (United Kingdom); Department of Physics SUPA, University of Strathclyde, G4 0NG Glasgow (United Kingdom); Green, J. S.; Robinson, A. P. L.; Spindloe, C. [Central Laser Facility, STFC Rutherford Appleton Laboratory, OX11 0QX Didcot (United Kingdom); Bagnoud, V.; Brabetz, C.; Zielbauer, B. [PHELIX Group, Gesellschaft fuer Schwerionenforschung, D-64291 Darmstadt (Germany); Carroll, D. C.; MacLellan, D. A.; McKenna, P. [Department of Physics SUPA, University of Strathclyde, G4 0NG Glasgow (United Kingdom); Roth, M. [Fachbereich Physik, Technische Universitaet Darmstadt, D-64289 Darmstadt (Germany); Wagner, F. [PHELIX Group, Gesellschaft fuer Schwerionenforschung, D-64291 Darmstadt (Germany); Fachbereich Physik, Technische Universitaet Darmstadt, D-64289 Darmstadt (Germany)

    2012-07-09

    We report on a plasma half cavity target design for laser driven ion acceleration that enhances the laser to proton energy conversion efficiency and has been found to modify the low energy region of the proton spectrum. The target design utilizes the high fraction of laser energy reflected from an ionized surface and refocuses it such that a double pulse interaction is attained. We report on numerical simulations and experimental results demonstrating that conversion efficiencies can be doubled, compared to planar foil interactions, when the secondary pulse is delivered within picoseconds of the primary pulse.

  14. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  15. Achievement report for fiscal 1984 on Sunshine Program-entrusted research and development. Research and development of amorphous solar cells (Research on amorphous silicon interface); 1984 nendo amorphous taiyo denchi no kenkyu kaihatsu seika hokokusho. Amorphous silicon no kaimen no kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1985-03-01

    As for the formation of amorphous semiconductors by photo-CVD (chemical vapor deposition) processes, details are examined of a-Si formed by the excimer laser-excited photo-CVD process, a-SiC formed by the directly excited photo-CVD process, a-SiGe formed by the mercury sensitized reaction photo-CVD process, and {mu}c-Si formed by the mercury-sensitized reaction photo-CVD process. It is then found that all of the said materials are superior to a product of the plasma CVD process in terms of film quality. As for the fabrication of amorphous Si solar cells by a photo-CVD process, a 3-separate-chamber unit is built, in which all the p-, I-, and n-layer are formed by photo-CVD. It is then found that the introduction of a buffer layer into the p/I interface is a powerful tool to enhance efficiency and that the use of the buffer zone brings about an increase of 9% or more in conversion efficiency. In the case of an amorphous solar cell using monosilane, buffer layer introduction results in a conversion efficiency of 9.05%. (NEDO)

  16. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  17. Adsorbability Enhancement of Macroporous Resin by Dielectric Barrier Discharge Plasma Treatment to Phenol in Water

    Directory of Open Access Journals (Sweden)

    Shoufeng Tang

    2016-01-01

    Full Text Available In order to enhance the adsorption efficiency and economize the use of macroporous resin, we have treated it with the dielectric barrier discharge (DBD plasma to improve its adsorbing capacity for phenol. The effects of operation conditions, for instance, applied voltage, treated time, and air flow rate on resin, were investigated by adsorption kinetics and isotherms. Results showed that the adsorption data were in good agreement with the pseudo-second-order and Freundlich equation. Experimental results showed that the modified resin was 156.5 mg/g and 39.2% higher than the untreated sample, when the modified conditions were conducted for discharge voltage 20 kV, treatment time 45 min, and air flow rate 1.2 L/min. The resin was characterized by FTIR and nitrogen adsorption isotherms before and after the DBD processes. It was found that the reason for the enhancement of resin adsorbability was attributed to the DBD plasma changing the surface physical and chemical structure.

  18. Red blood cell rheology in patients with chronic venous disease (CVD)

    NARCIS (Netherlands)

    Chwała, Maciej; Spannbauer, Anna; Teległów, Aneta; Cencora, Andrzej; Marchewka, Anna; Hardeman, Max R.; Dabrowski, Zbigniew

    2009-01-01

    Rheological studies concerning aggregation and elongation of erythrocytes were carried out in 21 patients (mean age 56 years) with chronic venous disease (CVD) and 10 (mean age 45 years) healthy control subjects, with the use of a LORCA device. Higher values of parameters characterizing both

  19. Which population groups are most unaware of CVD risks associated with sitting time?

    Science.gov (United States)

    Duncan, Mitch J; Gilson, Nicholas; Vandelanotte, Corneel

    2014-08-01

    Prolonged sitting is an emerging risk factor for poor health yet few studies have examined awareness of the risks associated with sitting behaviours. This study identifies the population subgroups with the highest levels of unawareness regarding the cardiovascular disease (CVD) risks associated with sitting behaviours. Adults (n=1256) living in Queensland, Australia completed a telephone-based survey in 2011, analysis conducted in 2013. The survey assessed participant's socio-demographic characteristics, physical activity, sitting behaviours and awareness of CVD risks associated with three sitting behaviours: 1) sitting for prolonged periods, 2), sitting for prolonged periods whilst also engaging in regular physical activity, and 3) breaking up periods of prolonged sitting with short activity breaks. Population sub-groups with the highest levels of unawareness were identified based on socio-demographic and behavioural characteristics using signal detection analysis. Unawareness ranged from 23.3% to 67.0%. Age was the most important variable in differentiating awareness levels; younger adults had higher levels of unawareness. Body mass index, physical activity, TV viewing, employment status and time spent at work also identified population sub-groups. Unawareness of CVD risk for prolonged sitting was moderately high overall. Younger adults had high levels of unawareness on all of the outcomes examined. Copyright © 2014 Elsevier Inc. All rights reserved.

  20. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Meier, D. E-mail: dirk.meier@cern.ch.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-04-21

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10{sup 15} p/cm{sup 2}. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10{sup 15} p/cm{sup 2} and decreases by {approx}40% at 5x10{sup 15} p/cm{sup 2}. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10{sup 15}p/cm{sup 2} without signal loss.

  1. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  2. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  3. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  4. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  5. Oxygen-plasma-modified biomimetic nanofibrous scaffolds for enhanced compatibility of cardiovascular implants

    Directory of Open Access Journals (Sweden)

    Anna Maria Pappa

    2015-01-01

    Full Text Available Electrospun nanofibrous scaffolds have been extensively used in several biomedical applications for tissue engineering due to their morphological resemblance to the extracellular matrix (ECM. Especially, there is a need for the cardiovascular implants to exhibit a nanostructured surface that mimics the native endothelium in order to promote endothelialization and to reduce the complications of thrombosis and implant failure. Thus, we herein fabricated poly-ε-caprolactone (PCL electrospun nanofibrous scaffolds, to serve as coatings for cardiovascular implants and guide tissue regeneration. Oxygen plasma treatment was applied in order to modify the surface chemistry of the scaffold and its effect on cell attachment and growth was evaluated. The conditions of the surface modification were properly adjusted in order to define those conditions of the treatment that result in surfaces favorable for cell growth, while maintaining morphological integrity and mechanical behavior. Goniometry (contact angle measurements, scanning electron microscopy (SEM, atomic force microscopy (AFM, and X-ray photoelectron spectroscopy (XPS measurements were used to evaluate the morphological and chemical changes induced by the plasma treatment. Moreover, depth-sensing nanoindentation was performed to study the resistance of the plasma-treated scaffolds to plastic deformation. Lastly, the cell studies indicated that all scaffolds were cytocompatible, with the plasma-treated ones expressing a more pronounced cell viability and adhesion. All the above findings demonstrate the great potential of these biomimetic tissue-engineering constructs as efficient coatings for enhanced compatibility of cardiovascular implants.

  6. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  7. Influence of tungsten on the carbon nanotubes growth by CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, Mariano [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina); LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina)], E-mail: mescobar@qi.fcen.uba.ar; Rubiolo, Gerardo H. [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Unidad de Actividad Materiales, CNEA, Av. Gral. Paz 1499, San Martin (1650), Bs As (Argentina); Moreno, M. Sergio [Centro Atomico Bariloche, (8400) S.C. de Bariloche, Rio Negro (Argentina); Goyanes, Silvia [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Candal, Roberto [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina)

    2009-06-24

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO{sub 2} up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  8. Influence of tungsten on the carbon nanotubes growth by CVD process

    International Nuclear Information System (INIS)

    Escobar, Mariano; Rubiolo, Gerardo H.; Moreno, M. Sergio; Goyanes, Silvia; Candal, Roberto

    2009-01-01

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO 2 up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  9. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  10. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  11. Enhanced laser beam coupling to a plasma

    International Nuclear Information System (INIS)

    Steiger, A.D.; Woods, C.H.

    1976-01-01

    Density perturbations are induced in a heated plasma by means of a pair of oppositely directed, polarized laser beams of the same frequency. The wavelength of the density perturbations is equal to one half the wavelength of the laser beams. A third laser beam is linearly polarized and directed at the perturbed plasma along a line that is perpendicular to the direction of the two opposed beams. The electric field of the third beam is oriented to lie in the plane containing the three beams. The frequency of the third beam is chosen to cause it to interact resonantly with the plasma density perturbations, thereby efficiently coupling the energy of the third beam to the plasma. 10 claims, 2 figures

  12. Behaviour of plasma-sprayed TiC coatings under H and He irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Fournier, D; Saint-Jacques, R G; Ross, G G; Terreault, B

    1987-02-01

    The effects of H and He irradiation on plasma-sprayed TiC coatings have been studied. The effects were studied by SEM and TEM microscopy, and by H depth-profiling with the ERD technique. Samples prepared by CVD were also implanted for comparison. In the case of H, bubbles have been observed during an in-situ implantation inside the TEM. In order to understand the absence of hydride, H depth-profiling was performed. The H saturation concentration is about 20 at.% or slightly more (the uncertainty is due to the effect of the porosity of the coatings on the ERD technique). Helium bubbles are observed above 10/sup 15/Hecm/sup 2/. Blisters are formed on polished samples above 10/sup 17/Hecm/sup 2/. In contrast, the high roughness of non-polished samples prevents blister formation. CVD samples behave essentially like the polished samples.

  13. Under-utilisation of preventive medication in patients with cardiovascular disease is greatest in younger age groups (PREDICT-CVD 15).

    Science.gov (United States)

    Mehta, Suneela; Wells, Sue; Riddell, Tania; Kerr, Andrew; Pylypchuk, Romana; Marshall, Roger; Ameratunga, Shanthi; Chan, Wing Cheuk; Thornley, Simon; Crengle, Sue; Harrison, Jeff; Drury, Paul; Elley, C Raina; Bell, Fionna; Jackson, Rod

    2011-06-01

    Blood pressure-lowering (BPL) and lipid-lowering (LL) medications together reduce estimated absolute five-year cardiovascular disease (CVD) risk by >40%. International studies indicate that the proportion of people with CVD receiving pharmacotherapy increases with advancing age. To compare BPL and LL medications, by sociodemographic characteristics, for patients with known CVD in primary care settings. The study population included patients aged 35-74 with known CVD assessed in primary care from July 2006 to October 2009 using a web-based computerised decision support system (PREDICT) for risk assessment and management. Clinical data linked anonymously to national sociodemographic and pharmaceutical dispensing databases. Differences in dispensing BPL and LL medications in six months before first PREDICT assessment was analysed according to age, sex, ethnicity and deprivation. Of 7622 people with CVD, 1625 <55 years old, 2862 were women and 4609 lived in deprived areas (NZDep quintiles 4/5). The study population included 4249 European, 1556 Maori, 1151 Pacific and 329 Indian peoples. BPL medications were dispensed to 81%, LL medications to 73%, both BPL and LL medications to 67%, and 87% received either class of medication. Compared with people aged 65-75, people aged 35-44 were 30-40% less likely and those aged 45-54 were 10-15% less likely to be dispensed BPL, LL medications or both. There were minimal differences in likelihood of dispensing according to sex, ethnicity or deprivation. BPL and LL medications are under-utilised in patients with known CVD in New Zealand. Only two-thirds of patients in this cohort are on both. Younger patients are considerably less likely to be on recommended medications.

  14. Utilization of plasmas for graphene synthesis

    Science.gov (United States)

    Shashurin, Alexey; Keidar, Michael

    2013-10-01

    Graphene is a one-atom-thick planar sheet of carbon atoms that are densely packed in a honeycomb crystal lattice. Grapheen has tremendous range of potential applications ranging from high-speed transistors to electrochemical energy storage devices and biochemical sensors. Methods of graphene synthesis include mechanical exfoliation, epitaxial growth on SiC, CVD and colloidal suspensions. In this work the utilization of plasmas in synthesis process is considered. Types of carbonaceous structures produced by the anodic arc and regions of their synthesis were studied. Ultimate role of substrate temperature and transformations occurring with various carbonaceous structures generated in plasma discharge were considered. Formation of graphene film on copper substrate was detected at temperatures around the copper melting point. The film was consisted of several layers graphene flakes having typical sizes of about 200 nm. Time required for crystallization of graphene on externally heated substrates was determined. This work was supported by National Science Foundation (NSF Grant No. CBET-1249213).

  15. Effect of growth temperature and precursor concentration on synthesis of CVD-graphene from camphor

    Science.gov (United States)

    Rajaram, Narasimman; Patel, Biren; Ray, Abhijit; Mukhopadhyay, Indrajit

    2018-05-01

    Here, we have synthesized CVD-graphene from camphor by using atmospheric pressure (AP)-CVD system on Cu foil. We have studied the effect of growth temperature and camphor concentration by using scanning electron microscopy (SEM) and Raman spectroscopy. The domain size of the graphene is increasing with an increase in the temperature and camphor quantity. The complete coverage of graphene on the Cu foil achieved at 1020 °C. Higher camphor quantity leads to growth of multilayer graphene. The graphene is transferred by PMMA-assisted method onto the glass substrate. The sheet resistance and transmittance of the graphene are 1.5 kohm/sq and 92.7%, respectively.

  16. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  17. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  18. Development of Health Parameter Model for Risk Prediction of CVD Using SVM

    Directory of Open Access Journals (Sweden)

    P. Unnikrishnan

    2016-01-01

    Full Text Available Current methods of cardiovascular risk assessment are performed using health factors which are often based on the Framingham study. However, these methods have significant limitations due to their poor sensitivity and specificity. We have compared the parameters from the Framingham equation with linear regression analysis to establish the effect of training of the model for the local database. Support vector machine was used to determine the effectiveness of machine learning approach with the Framingham health parameters for risk assessment of cardiovascular disease (CVD. The result shows that while linear model trained using local database was an improvement on Framingham model, SVM based risk assessment model had high sensitivity and specificity of prediction of CVD. This indicates that using the health parameters identified using Framingham study, machine learning approach overcomes the low sensitivity and specificity of Framingham model.

  19. Navy Bean and Rice Bran Intake Alters the Plasma Metabolome of Children at Risk for Cardiovascular Disease

    Directory of Open Access Journals (Sweden)

    Katherine J. Li

    2018-01-01

    Full Text Available Abnormal cholesterol in childhood predicts cardiovascular disease (CVD risk in adulthood. Navy beans and rice bran have demonstrated efficacy in regulating blood lipids in adults and children; however, their effects on modulating the child plasma metabolome has not been investigated and warrants investigation. A pilot, randomized-controlled, clinical trial was conducted in 38 children (10 ± 0.8 years old with abnormal cholesterol. Participants consumed a snack for 4 weeks containing either: no navy bean or rice bran (control; 17.5 g/day cooked navy bean powder; 15 g/day heat-stabilized rice bran; or 9 g/day navy beans and 8 g/day rice bran. Plasma metabolites were extracted using 80% methanol for global, non-targeted metabolic profiling via ultra-high performance liquid-chromatography tandem mass spectrometry. Differences in plasma metabolite levels after 4 weeks of dietary intervention compared to control and baseline were analyzed using analysis of variance and Welch’s t-tests (p ≤ 0.05. Navy bean and/or rice bran consumption influenced 71 plasma compounds compared to control (p ≤ 0.05, with lipids representing 46% of the total plasma metabolome. Significant changes were determined for 18 plasma lipids in the navy bean group and 10 plasma lipids for the rice bran group compared to control, and 48 lipids in the navy bean group and 40 in the rice bran group compared to baseline. These results support the hypothesis that consumption of these foods impact blood lipid metabolism with implications for reducing CVD risk in children. Complementary and distinct lipid pathways were affected by the diet groups, including acylcarnitines and lysolipids (navy bean, sphingolipids (rice bran, and phospholipids (navy bean + rice bran. Navy bean consumption decreased free fatty acids associated with metabolic diseases (palmitate and arachidonate and increased the relative abundance of endogenous anti-inflammatory lipids

  20. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...