WorldWideScience

Sample records for plasma energy deposition

  1. Abnormal energy deposition on the wall through plasma disruptions

    International Nuclear Information System (INIS)

    Yamazaki, K.; Schmidt, G.L.

    1984-07-01

    The dissipation of plasma kinetic and magnetic energy during sawtooth oscillstions and disruptions in tokamaks is analyzed using Kadomtsev's disruption model and the plasma-circuit equations. New simple scalings of several characteristic times are obtained for sawteeth and for thermal and magnetic energy quenches of disruptions. The abnormal energy deposition on the wall during major or minor disruptions, estimated from this analysis, is compared with bolometric measurements in the PDX tokamak. Especially, magnetic energy dissipation during current termination period is shown to be reduced by the strong coupling of the plasma current with external circuits. These analyses are found to be useful to predict the phenomenological behavior of plasma disruptions in large future tokamaks, and to estimate abnormal heat deposition on the wall during plasma disruptions. (author)

  2. Abnormal energy deposition on the wall through plasma disruptions

    International Nuclear Information System (INIS)

    Yamazaki, K.; Schmidt, G.L.

    1984-01-01

    The dissipation of plasma kinetic and magnetic energy during sawtooth oscillations and disruptions in tokamak is analyzed using Kadomtsev's disruption model and the plasma-circuit equations. New simple scalings of several characteristic times are obtained for sawteeth and for thermal and magnetic energy quenches of disruptions. The abnormal energy deposition on the wall during major or minor disruptions, estimated from this analysis, is compared with bolometric measurements in the PDX tokamak. Especially, magnetic energy dissipation during the current termination period is shown to be reduced by the strong coupling of the plasma current with external circuits. These analyses are found to be useful to predict the phenomenological behavior of plasma disruptions in large future tokamaks, and to estimate abnormal heat deposition on the wall during plasma disruptions. (orig.)

  3. Influence of emitter temperature on the energy deposition in a low-pressure plasma

    International Nuclear Information System (INIS)

    Levko, Dmitry; Raja, Laxminarayan L.

    2016-01-01

    The influence of emitter temperature on the energy deposition into low-pressure plasma is studied by the self-consistent one-dimensional Particle-in-Cell Monte Carlo Collisions model. Depending on the emitter temperature, different modes of discharge operation are obtained. The mode type depends on the plasma frequency and does not depend on the ratio between the densities of beam and plasma electrons. Namely, plasma is stable when the plasma frequency is small. For this plasma, the energy transfer from emitted electrons to plasma electrons is inefficient. The increase in the plasma frequency results first in the excitation of two-stream electron instability. However, since the thermal velocity of plasma electrons is smaller than the electrostatic wave velocity, the resonant wave-particle interaction is inefficient for the energy deposition into the plasma. Further increase in the plasma frequency leads to the distortion of beam of emitted electrons. Then, the electrostatic wave generated due to two-stream instability decays into multiple slower waves. Phase velocities of these waves are comparable with the thermal velocity of plasma electrons which makes possible the resonant wave-particle interaction. This results in the efficient energy deposition from emitted electrons into the plasma.

  4. Effect of electron degeneracy on fast-particles energy deposition in dense plasma systems

    International Nuclear Information System (INIS)

    Johzaki, T.; Nakao, Y.; Nakashima, H.; Kudo, K.

    1997-01-01

    The effects of electron degeneracy on fast-particles energy deposition in dense plasmas are investigated by making transport calculations for the fast particles. It is found that the degeneracy substantially affects the profiles of energy deposition of 3.52-MeV α-particles. On the other hand, the effect on the energy deposition of 14.1-MeV neutrons is negligibly small because the recoil ions, which transfer the neutron energy to the plasma constituents, are produced in a whole plasma volume due to the long mean-free-path of neutrons. The coupled transport-hydrodynamic calculations show that these effects of degeneracy are negligible in the ignition and burn characteristics of central ignition D-T targets. (author)

  5. Magnetic field effects on runaway electron energy deposition in plasma facing materials and components

    International Nuclear Information System (INIS)

    Niemer, K.A.; Gilligan, J.G.

    1992-01-01

    This paper reports magnetic field effects on runaway electron energy deposition in plasma facing materials and components is investigated using the Integrated TIGER Series. The Integrated TIGER Series is a set of time-independent coupled electron/photon Monte Carlo transport codes which perform photon and electron transport, with or without macroscopic electric and magnetic fields. A three-dimensional computational model of 100 MeV electrons incident on a graphite block was used to simulate runawayelectrons striking a plasma facing component at the edge of a tokamak. Results show that more energy from runaway electrons will be deposited in a material that is in the presence of a magnetic field than in a material that is in the presence of no field. For low angle incident runaway electrons in a strong magnetic field, the majority of the increased energy deposition is near the material surface with a higher energy density. Electrons which would have been reflected with no field, orbit the magnetic field lines and are redeposited in the material surface, resulting in a substantial increase in surface energy deposition. Based on previous studies, the higher energy deposition and energy density will result in higher temperatures which are expected to cause more damage to a plasma facing component

  6. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  7. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  8. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  9. Erosion of pyrolytic carbon under high surface energy deposition from a pulsed hydrogen plasma

    International Nuclear Information System (INIS)

    Bolt, H.

    1992-01-01

    Carbon materials are widely applied as plasma facing materials in nuclear fusion devices and are also the prime candidate materials for the next generation of experimental fusion reactors. During operation these materials are frequently subjected to high energy deposition from plasma disruptions. The erosion of carbon materials is regarded as the main issue governing the operational lifetime of plasma facing components. Laboratory experiments have been performed to study the thermal erosion behaviour of carbon in a plasma environment. In the experiments the surface of pyrolytic carbon specimens was exposed to pulsed energy deposition of up to 3.8 MJ m -2 from a hydrogen plasma. The behaviour of the eroded carbon species in the plasma was measured by time-resolved and space-resolved spectroscopy. Intense line radiation of ionic carbon has been measured in the plasma in front of the carbon surface. The results show that the eroded carbon is immediately ionised in the vicinity of the material surface, with a fraction of it being ionised to the double-charged state. (Author)

  10. On the energy deposition into the plasma for an inverted fireball geometry

    Science.gov (United States)

    Levko, Dmitry; Gruenwald, Johannes

    2017-10-01

    Energy deposition into a plasma for an inverted fireball geometry is studied using a self-consistent two-dimensional Particle-in-Cell Monte Carlo collision model. In this model, the cathode is a pin which injects the fixed electron current and the anode is a hollow metal tube covered with the metal grid. We obtain an almost constant ratio between the densities of plasmas generated in the cathode-grid gap and inside the hollow anode. The results of the simulations show that there is no energy exchange between the beam and plasma electrons at low emission currents. For increasing current, however, we observe the increasing coupling between the electron beam and the thermal plasma electrons. This leads to the heating of plasma electrons and the generation of the so-called supra-thermal electrons.

  11. Energy deposition of heavy ions in the regime of strong beam-plasma correlations.

    Science.gov (United States)

    Gericke, D O; Schlanges, M

    2003-03-01

    The energy loss of highly charged ions in dense plasmas is investigated. The applied model includes strong beam-plasma correlation via a quantum T-matrix treatment of the cross sections. Dynamic screening effects are modeled by using a Debye-like potential with a velocity dependent screening length that guarantees the known low and high beam velocity limits. It is shown that this phenomenological model is in good agreement with simulation data up to very high beam-plasma coupling. An analysis of the stopping process shows considerably longer ranges and a less localized energy deposition if strong coupling is treated properly.

  12. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  13. Dust cloud evolution in sub-stellar atmospheres via plasma deposition and plasma sputtering

    Science.gov (United States)

    Stark, C. R.; Diver, D. A.

    2018-04-01

    Context. In contemporary sub-stellar model atmospheres, dust growth occurs through neutral gas-phase surface chemistry. Recently, there has been a growing body of theoretical and observational evidence suggesting that ionisation processes can also occur. As a result, atmospheres are populated by regions composed of plasma, gas and dust, and the consequent influence of plasma processes on dust evolution is enhanced. Aim. This paper aims to introduce a new model of dust growth and destruction in sub-stellar atmospheres via plasma deposition and plasma sputtering. Methods: Using example sub-stellar atmospheres from DRIFT-PHOENIX, we have compared plasma deposition and sputtering timescales to those from neutral gas-phase surface chemistry to ascertain their regimes of influence. We calculated the plasma sputtering yield and discuss the circumstances where plasma sputtering dominates over deposition. Results: Within the highest dust density cloud regions, plasma deposition and sputtering dominates over neutral gas-phase surface chemistry if the degree of ionisation is ≳10-4. Loosely bound grains with surface binding energies of the order of 0.1-1 eV are susceptible to destruction through plasma sputtering for feasible degrees of ionisation and electron temperatures; whereas, strong crystalline grains with binding energies of the order 10 eV are resistant to sputtering. Conclusions: The mathematical framework outlined sets the foundation for the inclusion of plasma deposition and plasma sputtering in global dust cloud formation models of sub-stellar atmospheres.

  14. Energy deposition and thermal effects of runaway electrons in ITER-FEAT plasma facing components

    International Nuclear Information System (INIS)

    Maddaluno, G.; Maruccia, G.; Merola, M.; Rollet, S.

    2003-01-01

    The profile of energy deposited by runaway electrons (RAEs) of 10 or 50 MeV in International Thermonuclear Experimental Reactor-Fusion Energy Advanced Tokamak (ITER-FEAT) plasma facing components (PFCs) and the subsequent temperature pattern have been calculated by using the Monte Carlo code FLUKA and the finite element heat conduction code ANSYS. The RAE energy deposition density was assumed to be 50 MJ/m 2 and both 10 and 100 ms deposition times were considered. Five different configurations of PFCs were investigated: primary first wall armoured with Be, with and without protecting CFC poloidal limiters, both port limiter first wall options (Be flat tile and CFC monoblock), divertor baffle first wall, armoured with W. The analysis has outlined that for all the configurations but one (port limiter with Be flat tile) the heat sink and the cooling tube beneath the armour are well protected for both RAE energies and for both energy deposition times. On the other hand large melting (W, Be) or sublimation (C) of the surface layer occurs, eventually affecting the PFCs lifetime

  15. Energy deposition and thermal effects of runaway electrons in ITER-FEAT plasma facing components

    Science.gov (United States)

    Maddaluno, G.; Maruccia, G.; Merola, M.; Rollet, S.

    2003-03-01

    The profile of energy deposited by runaway electrons (RAEs) of 10 or 50 MeV in International Thermonuclear Experimental Reactor-Fusion Energy Advanced Tokamak (ITER-FEAT) plasma facing components (PFCs) and the subsequent temperature pattern have been calculated by using the Monte Carlo code FLUKA and the finite element heat conduction code ANSYS. The RAE energy deposition density was assumed to be 50 MJ/m 2 and both 10 and 100 ms deposition times were considered. Five different configurations of PFCs were investigated: primary first wall armoured with Be, with and without protecting CFC poloidal limiters, both port limiter first wall options (Be flat tile and CFC monoblock), divertor baffle first wall, armoured with W. The analysis has outlined that for all the configurations but one (port limiter with Be flat tile) the heat sink and the cooling tube beneath the armour are well protected for both RAE energies and for both energy deposition times. On the other hand large melting (W, Be) or sublimation (C) of the surface layer occurs, eventually affecting the PFCs lifetime.

  16. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  17. Plasma deposition by discharge in powder

    International Nuclear Information System (INIS)

    El-Gamal, H.A.; El-Tayeb, H.A.; Abd El-Moniem, M.; Masoud, M.M.

    2000-01-01

    Different types of material powders have been fed to the breach of a coaxial discharge. The coaxial discharge is powered from a 46.26 mu F, 24 KV capacitor bank. When the discharge takes place at the breach, the powder is heated and ionized to form a sheath of its material. The plasma sheath is ejected from the discharge zone with high velocity. The plasma sheath material is deposited on a glass substrate. It has been found from scanning electron microscope (SEM) analysis that the deposited material is almost homogenous for ceramic and graphite powders. The grain size is estimated to be the order of few microns. To measure the deposited material thickness the microdensitometer and a suitable arrangement of a laser interferometer and an optical microscope are used. It has also been found that deposited material thickness depends on the discharge number of shots and the capacitor bank energy

  18. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  19. Influence of plasma-induced energy deposition effects, the equation of state, thermal ionization, pulse shaping, and radiation on ion-beam-driven expansions of plane metal targets

    International Nuclear Information System (INIS)

    Long, K.A.; Tahir, N.A.

    1986-01-01

    In a previous paper by Long and Tahir [Phys. Fluids 29, 275 (1986)], the motion of plane targets irradiated by ion beams whose energy deposition was assumed to be independent of the ion energy, and the temperature and density of the plasma, was analyzed. In this paper, the analytic solution is extended in order to include the effects of a temperature-and density-dependent energy deposition as a result of electron excitation, an improved equation of state, thermal ionization, a pulse shape, and radiation losses. The change in the energy deposition with temperature and density leads to range shortening and an increased power deposition in the target. It is shown how the analytic theory can be used to analyze experiments to measure the enhanced energy deposition. In order to further analyze experiments, numerical simulations are presented which include the plasma-induced effects on the energy deposition. It is shown that since the change in the range is due to both decrease in density and the increase in temperature, it is not possible to separate these two effects in present experiments. Therefore, the experiments which measure the time-dependent energy of the ions emerging from the back side of a plane target do not as yet measure the energy loss as a function of the density and temperature of the plasma or of the energy of the ion, but only an averaged loss over certain ranges of these physical quantities

  20. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  1. X-ray amplifier energy deposition scaling with channeled propagation

    International Nuclear Information System (INIS)

    Boyer, K.; Luk, T.S.; McPherson, A.

    1991-01-01

    The spatial control of the energy deposited for excitation of an x-ray amplifier plays an important role in the fundamental scaling relationship between the required energy, the gain and the wavelength. New results concerning the ability to establish confined modes of propagation of sort pulse radiation of sufficiently high intensity in plasmas lead to a sharply reduced need for the total energy deposited, since the concentration of deposited power can be very efficiently organized

  2. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  3. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  4. Oxide cathodes produced by plasma deposition

    International Nuclear Information System (INIS)

    Scheitrum, G.; Caryotakis, G.; Pi, T.; Umstattd, R.; Brown, I.; Montiero, O.

    1997-01-01

    These are two distinct applications for high-current-density, long-life thermionic cathodes. The first application is as a substitute for explosive emission cathodes used in high-power microwave (HPM) devices being developed for Air Force programs. The second application is in SLAC's X-band klystrons for the Next Linear Collider (NLC). SLAC, UCD, and LBL are developing a plasma deposition process that eliminates the problems with binders, carbonate reduction, peeling, and porosity. The emission layer is deposited using plasma deposition of metallic barium in vacuum with an oxygen background gas. An applied bias voltage drives the oxide plasma into the nickel surface. Since the oxide is deposited directly, it does not have problems with poisoning from a hydrocarbon binder. The density of the oxide layer is increased from the 40--50% for standard oxide cathodes to nearly 100% for plasma deposition

  5. Energy deposition in STARFIRE reactor components

    International Nuclear Information System (INIS)

    Gohar, Y.; Brooks, J.N.

    1985-04-01

    The energy deposition in the STARFIRE commercial tokamak reactor was calculated based on detailed models for the different reactor components. The heat deposition and the 14 MeV neutron flux poloidal distributions in the first wall were obtained. The poloidal surface heat load distribution in the first wall was calculated from the plasma radiation. The Monte Carlo method was used for the calculation to allow an accurate modeling for the reactor geometry

  6. Crystalline and amorphous carbon nitride films produced by high-energy shock plasma deposition

    International Nuclear Information System (INIS)

    Bursilll, L.A.; Peng, Julin; Gurarie, V.N.; Orlov, A.V.; Prawer, S.

    1995-01-01

    High-energy shock plasma deposition techniques are used to produce carbon-nitride films containing both crystalline and amorphous components. The structures are examined by high-resolution transmission electron microscopy, parallel-electron-energy loss spectroscopy and electron diffraction. The crystalline phase appears to be face-centered cubic with unit cell parameter approx. a=0.63nm and it may be stabilized by calcium and oxygen at about 1-2 at % levels. The carbon atoms appear to have both trigonal and tetrahedral bonding for the crystalline phase. There is PEELS evidence that a significant fraction of the nitrogen atoms have sp 2 trigonal bonds in the crystalline phase. The amorphous carbon-nitride film component varies from essentially graphite, containing virtually no nitrogen, to amorphous carbon-nitride containing up to 10 at % N, where the fraction of sp 3 bonds is significant. 15 refs., 5 figs

  7. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  8. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  9. Controlled fluoridation of amorphous carbon films deposited at reactive plasma conditions

    Directory of Open Access Journals (Sweden)

    Yoffe Alexander

    2015-09-01

    Full Text Available A study of the correlations between plasma parameters, gas ratios, and deposited amorphous carbon film properties is presented. The injection of a C4F8/Ar/N2 mixture of gases was successfully used in an inductively coupled plasma system for the preparation of amorphous carbon films with different fluoride doping at room-temperature, using silicon as a substrate. This coating was formed at low-pressure and low-energy using an inductively coupled plasma process. A strong dependence between the ratios of gases during deposition and the composition of the substrate compounds was shown. The values of ratios between Ar (or Ar+N2 and C4F8 - 1:1 and between N2 and Ar - 1:2 in the N2/Ar/C4F8 mixture were found as the best for low fluoridated coatings. In addition, an example of improving the etch-passivation in the Bosch procedure was described. Scanning electron microscopy with energy dispersive spectroscopy options, X-ray diffraction, and X-ray reflectivity were used for quantitative analysis of the deposited films.

  10. Heating of polymer substrate by discharge plasma in radiofrequency magnetron sputtering deposition

    International Nuclear Information System (INIS)

    Sirghi, Lucel; Popa, Gheorghe; Hatanaka, Yoshinori

    2006-01-01

    The substrate used for the thin film deposition in a radiofrequency magnetron sputtering deposition system is heated by the deposition plasma. This may change drastically the surface properties of the polymer substrates. Deposition of titanium dioxide thin films on polymethyl methacrylate and polycarbonate substrates resulted in buckling of the substrate surfaces. This effect was evaluated by analysis of atomic force microscopy topography images of the deposited films. The amount of energy received by the substrate surface during the film deposition was determined by a thermal probe. Then, the results of the thermal probe measurements were used to compute the surface temperature of the polymer substrate. The computation revealed that the substrate surface temperature depends on the substrate thickness, discharge power and substrate holder temperature. For the case of the TiO 2 film depositions in the radiofrequency magnetron plasma, the computation indicated substrate surface temperature values under the polymer melting temperature. Therefore, the buckling of polymer substrate surface in the deposition plasma may not be regarded as a temperature driven surface instability, but more as an effect of argon ion bombardment

  11. Industrial implementation of plasma deposition using the expanding thermal plasma technique

    NARCIS (Netherlands)

    Sanden, van de M.C.M.; Oever, van den P.J.; Creatore, M.; Schaepkens, M.; Miebach, T.; Iacovangelo, C.D.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Kessels, W.M.M.

    2004-01-01

    Two successful industrial implementations of the expanding thermal plasma setup, a novel plasma source, obtaining high deposition rate are discussed. The Ar/O2/hexamethyldisiloxane and Ar/O2/octamethyl-cyclosiloxane-fed expanding thermal plasma setup is used to deposit scratch resistant silicone

  12. Very low pressure plasma sprayed yttria-stabilized zirconia coating using a low-energy plasma gun

    International Nuclear Information System (INIS)

    Zhu, Lin; Zhang, Nannan; Bolot, Rodolphe; Planche, Marie-Pierre; Liao, Hanlin; Coddet, Christian

    2011-01-01

    In the present study, a more economical low-energy plasma source was used to perform a very low pressure plasma-spray (VLPPS) process. The plasma-jet properties were analyzed by means of optical emission spectroscopy (OES). Moreover, yttria-stabilized zirconia coating (YSZ) was elaborated by a F100 low-power plasma gun under working pressure of 1 mbar, and the substrate specimens were partially shadowed by a baffle-plate during plasma spraying for obtaining different coating microstructures. Based on the SEM observation, a column-like grain coating was deposited by pure vapor deposition at the shadowed region, whereas, in the unshadowed region, the coating exhibited a binary microstructure which was formed by a mixed deposition of melted particles and evaporated particles. The mechanical properties of the coating were also well under investigation. (orig.)

  13. Energy coupling in the plasma focus

    International Nuclear Information System (INIS)

    Wainwright, T.E.; Pickles, W.L.; Sahlin, H.L.; Price, D.F.

    1979-01-01

    Experiments have been performed with a 125-kJ plasma focus to investigate mechanisms for rapid coupling of inductively-stored energy into plasmas. The coupling can take place through the formation of an electron or ion beam that deposits its energy in a target or directly by the penetration of the magnetic field into a resistive plasma. Some preliminary results from experiments of both types are described. The experiments use a replaceable conical anode tip that is intended to guide the focus to within a few millimeters of the axis, where it can suddenly deliver energy either to a small target or to particles that are accelerated. X-ray and fast-ion diagnostics have been used to study the effects

  14. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  15. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  16. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  17. Tailored ion energy distributions on plasma electrodes

    International Nuclear Information System (INIS)

    Economou, Demetre J.

    2013-01-01

    As microelectronic device features continue to shrink approaching atomic dimensions, control of the ion energy distribution on the substrate during plasma etching and deposition becomes increasingly critical. The ion energy should be high enough to drive ion-assisted etching, but not too high to cause substrate damage or loss of selectivity. In many cases, a nearly monoenergetic ion energy distribution (IED) is desired to achieve highly selective etching. In this work, the author briefly reviews: (1) the fundamentals of development of the ion energy distribution in the sheath and (2) methods to control the IED on plasma electrodes. Such methods include the application of “tailored” voltage waveforms on an electrode in continuous wave plasmas, or the application of synchronous bias on a “boundary electrode” during a specified time window in the afterglow of pulsed plasmas

  18. Aligned, plasma sprayed SmCo5 deposits

    International Nuclear Information System (INIS)

    Kumar, K.; Das, D.

    1986-01-01

    Highly aligned SmCo 5 deposits were produced using plasma spraying. c-axis alignment, normal to the plane of the deposit, was achieved by depositing the Sm-Co alloys on steel substrates maintained at high temperatures. The substrates were heated by the plasma flame to obtain the high temperatures. The attainment of a range of substrate temperatures was made possible through control over the geometry of the substrate

  19. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  20. Fast waves mode conversion and energy deposition in simulated, pre-heated, neoclassical, tight aspect ratio tokamak plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Bruma, C.; Komoshvili, K. [Tel Aviv Univ. (Israel). School of Physics and Astronomy; Coll. of Judea and Samaria, Ariel (Israel); Cuperman, S. [Tel Aviv Univ. (Israel). School of Physics and Astronomy

    2000-11-01

    Some basic aspects of wave-plasma interaction of special interest for tight aspect ratio (spherical) tokamaks (ST's) are investigated numerically; these aspects include fast mode conversion and energy deposition. The study is based on the numerical solution of the full electro-magnetic (e.m.) wave equation which includes a quite general two-fluid, resistive MHD dielectric tensor, with consideration of equilibrium current and neoclassical effects. A generalized expression for the power absorption appropriate for the above scenario, with consideration of all the basic effects also present in the dielectric tensor-operator, was derived and used. The current-carrying ST-plasma has a circular cross-section and toroidicity effects are simulated by a Grad-Shafranov type, radially dependent axial magnetic field and its shear; however, the Shafranov shift is not considered. Actually, the equilibrium parameters and radial profiles (magnetic field, pressure and current) observed in the low field side (LFS) of spherical tokamaks (viz., START at Culham, UK) are used. Fast magnetosonic waves are launched from an external antenna into this simulated spherical tokamak plasma; these waves are converted to Alfven waves at points (layers) satisfying the Alfven resonance condition. Quantitative-results concerning (i) the structure and space dependence of the mode-converted Alfven waves and (ii) the basic features of the deposited power are presented. Their dependence on the equilibrium plasma current, neoclassical resistivity and electron inertia as well as on those of the antenna launched wave (wave numbers, frequency and current intensity) is systematically studied and discussed. (orig.)

  1. Fast waves mode conversion and energy deposition in simulated, pre-heated, neoclassical, tight aspect ratio tokamak plasmas

    International Nuclear Information System (INIS)

    Bruma, C.; Komoshvili, K.; Cuperman, S.

    2000-01-01

    Some basic aspects of wave-plasma interaction of special interest for tight aspect ratio (spherical) tokamaks (ST's) are investigated numerically; these aspects include fast mode conversion and energy deposition. The study is based on the numerical solution of the full electro-magnetic (e.m.) wave equation which includes a quite general two-fluid, resistive MHD dielectric tensor, with consideration of equilibrium current and neoclassical effects. A generalized expression for the power absorption appropriate for the above scenario, with consideration of all the basic effects also present in the dielectric tensor-operator, was derived and used. The current-carrying ST-plasma has a circular cross-section and toroidicity effects are simulated by a Grad-Shafranov type, radially dependent axial magnetic field and its shear; however, the Shafranov shift is not considered. Actually, the equilibrium parameters and radial profiles (magnetic field, pressure and current) observed in the low field side (LFS) of spherical tokamaks (viz., START at Culham, UK) are used. Fast magnetosonic waves are launched from an external antenna into this simulated spherical tokamak plasma; these waves are converted to Alfven waves at points (layers) satisfying the Alfven resonance condition. Quantitative-results concerning (i) the structure and space dependence of the mode-converted Alfven waves and (ii) the basic features of the deposited power are presented. Their dependence on the equilibrium plasma current, neoclassical resistivity and electron inertia as well as on those of the antenna launched wave (wave numbers, frequency and current intensity) is systematically studied and discussed. (orig.)

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Kinetic calculation of plasma deposition in castellated tile gaps

    International Nuclear Information System (INIS)

    Dejarnac, R.; Gunn, J.P.

    2007-01-01

    Plasma-facing divertors and limiters are armoured with castellated tiles to withstand intense heat fluxes. Recent experimental studies show that a non-negligible amount of deuterium is deposited in the gaps between tiles. We present here a numerical study of plasma deposition in this critical region. For this purpose we have developed a particle-in-cell code with realistic boundary conditions determined from kinetic calculations. We find a strong asymmetry of plasma deposition into the gaps. A significant fraction of the plasma influx is expelled from the gap to be deposited on the leading edge of the downstream tile

  5. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  6. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  7. Modelling of the energy density deposition profiles of ultrashort laser pulses focused in optical media

    International Nuclear Information System (INIS)

    Vidal, F; Lavertu, P-L; Bigaouette, N; Moore, F; Brunette, I; Giguere, D; Kieffer, J-C; Olivie, G; Ozaki, T

    2007-01-01

    The propagation of ultrashort laser pulses in dense optical media is investigated theoretically by solving numerically the nonlinear Schroedinger equation. It is shown that the maximum energy density deposition as a function of the pulse energy presents a well-defined threshold that increases with the pulse duration. As a consequence of plasma defocusing, the maximum energy density deposition is generally smaller and the size of the energy deposition zone is generally larger for shorter pulses. Nevertheless, significant values of the energy density deposition can be obtained near threshold, i.e., at lower energy than for longer pulses

  8. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  9. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  10. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  11. Surface modification of biomaterials by pulsed laser ablation deposition and plasma/gamma polymerization

    Science.gov (United States)

    Rau, Kaustubh R.

    Surface modification of stainless-steel was carried out by two different methods: pulsed laser ablation deposition (PLAD) and a combined plasma/gamma process. A potential application was the surface modification of endovascular stents, to enhance biocompatibility. The pulsed laser ablation deposition process, had not been previously reported for modifying stents and represented a unique and potentially important method for surface modification of biomaterials. Polydimethylsiloxane (PDMS) elatomer was studied using the PLAD technique. Cross- linked PDMS was deemed important because of its general use for biomedical implants and devices as well as in other fields. Furthermore, PDMS deposition using PLAD had not been previously studied and any information gained on its ablation characteristics could be important scientifically and technologically. The studies reported here showed that the deposited silicone film properties had a dependence on the laser energy density incident on the target. Smooth, hydrophobic, silicone-like films were deposited at low energy densities (100-150 mJ/cm2). At high energy densities (>200 mJ/cm2), the films had an higher oxygen content than PDMS, were hydrophilic and tended to show a more particulate morphology. It was also determined that (1)the deposited films were stable and extremely adherent to the substrate, (2)silicone deposition exhibited an `incubation effect' which led to the film properties changing with laser pulse number and (3)films deposited under high vacuum were similar to films deposited at low vacuum levels. The mechanical properties of the PLAD films were determined by nanomechanical measurements which are based on the Atomic Force Microscope (AFM). From these measurements, it was possible to determine the modulus of the films and also study their scratch resistance. Such measurement techniques represent a significant advance over current state-of-the-art thin film characterization methods. An empirical model for

  12. Plasma-deposited hybrid silica membranes with a controlled retention of organic bridges

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Wienk, I.M.; Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-03-05

    Hybrid organically bridged silica membranes are suitable for energy-efficient molecular separations under harsh industrial conditions. Such membranes can be useful in organic solvent nanofiltration if they can be deposited on flexible, porous and large area supports. Here, we report the proof of concept for applying an expanding thermal plasma to the synthesis of perm-selective hybrid silica films from an organically bridged monomer, 1,2-bis(triethoxysilyl)ethane. This membrane is the first in its class to be produced by plasma enhanced chemical vapor deposition. By tuning the plasma and process parameters, the organic bridging groups could be retained in the separating layer. This way, a defect free film could be made with pervaporation performances of an n-butanol-water mixture comparable with those of conventional ceramic supported membranes made by sol-gel technology (i.e. a water flux of [similar]1.8 kg m'-{sup 2} h{sup -1}, a water concentration in the permeate higher than 98% and a separation factor of >1100). The obtained results show the suitability of expanding thermal plasma as a technology for the deposition of hybrid silica membranes for molecular separations.

  13. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  14. Neutral-beam deposition in large, finite-beta noncircular tokamak plasmas

    International Nuclear Information System (INIS)

    Wieland, R.M.; Houlberg, W.A.

    1982-02-01

    A parametric pencil beam model is introduced for describing the attenuation of an energetic neutral beam moving through a tokamak plasma. The nonnegligible effects of a finite beam cross section and noncircular shifted plasma cross sections are accounted for in a simple way by using a smoothing algorithm dependent linearly on beam radius and by including information on the plasma flux surface geometry explicitly. The model is benchmarked against more complete and more time-consuming two-dimensional Monte Carlo calculations for the case of a large D-shaped tokamak plasma with minor radius a = 120 cm and elongation b/a = 1.6. Deposition profiles are compared for deuterium beam energies of 120 to 150 keV, central plasma densities of 8 x 10 13 - 2 x 10 14 cm -3 , and beam orientation ranging from perpendicular to tangential to the inside wall

  15. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    total metal flux to the wafer increases with target power due to enhanced target sputtering. However, the ionization fraction of the total flux decreases due in part to the increased diffusion loss of charged species. Wafer bias power controls ion energy, and it has a negligible impact on plasma ionization and deposition flux composition. Feature simulations show the redistribution of deposited metals within a feature when wafer resputtering is promoted at sufficient bias power. Target-wafer spacing (TWS) impacts the total ionization and metal flux to the wafer. The Ar + density and deposition rate decrease with increasing TWS due to increased surface loss. Simulations suggest that reducing the TWS results in more efficient usage of target source

  16. Energy Conversion in Imploding Z-Pinch Plasma

    International Nuclear Information System (INIS)

    Fisher, V.I.; Gregorian, L.; Davara, G.; Kroupp, E.; Bernshtam, V.A.; Ralchenko, Yu. V.; Starobinets, A.; Maron, Y.

    2002-01-01

    Due to important applications, Z-pinches became a subject of extensive studies. In these studies, main attention is directed towards improvement in efficiency of electric energy conversion into high-power radiation burst. At present, knowledge available on physics of Z-pinch operation, plasma motion, atomic kinetics, and energy conversion is mainly knowledge of numerical simulation results. We believe further progress require (i) experimental determination of spatial distribution and time history of thermodynamic parameters and magnetic field, as well as (ii) utilization of this data for experiment-based calculation of r,t-distribution of driving forces, mass and energy fluxes, and local energy deposition rates due to each of contributing mechanisms, what provides an insight into a process of conversion of stored electric energy into radiation burst. Moreover, experimentally determined r, t-distribution of parameters may serve for verification of computer programs developed for simulation of Z-pinch operation and optimization of radiation output. Within this research program we performed detailed spectroscopic study of plasmas imploding in modest-size (25 kV, 5 kJ, 1.2 μs quaterperiod) gas-puff Z-pinch. This facility has reasonably high repetition rate and provides good reproducibility of results. Consistent with plasma ionization degree in the implosion period, measurements are performed in UV-visible spectral range. Observation of spectral lines emitted at various azimuthal angles f showed no dependence on f. Dependence on axial coordinate z is found to be weak in near-anode half of the anode-cathode gap. Based on these observations and restricting the measurements to near-anode half of the gap, an evolution of parameters is studied in time and radial coordinate r only. In present talk we report on determination of radial component of plasma hydrodynamic velocity u r (r,t), magnetic field B ζ (r,t), electron density n e (r,t), density of ions in various

  17. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  18. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  19. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  20. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  1. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  2. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  3. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  4. Plasma deposited fluorinated films on porous membranes

    Energy Technology Data Exchange (ETDEWEB)

    Gancarz, Irena [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Bryjak, Marek, E-mail: marek.bryjak@pwr.edu.pl [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Kujawski, Jan; Wolska, Joanna [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Kujawa, Joanna; Kujawski, Wojciech [Nicolaus Copernicus University, Faculty of Chemistry, 7 Gagarina St., 87-100 Torun (Poland)

    2015-02-01

    75 KHz plasma was used to modify track etched poly(ethylene terephthalate) membranes and deposit on them flouropolymers. Two fluorine bearing monomers were used: perflourohexane and hexafluorobenzene. The modified surfaces were analyzed by means of attenuated total reflection infra-red spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, atomic force microscopy and wettability. It was detected that hexaflourobenxene deposited to the larger extent than perflourohaxane did. The roughness of surfaces decreased when more fluoropolymer was deposited. The hydrophobic character of surface slightly disappeared during 20-days storage of hexaflourobenzene modified membrane. Perfluorohexane modified membrane did not change its character within 120 days after modification. It was expected that this phenomenon resulted from post-reactions of oxygen with radicals in polymer deposits. The obtained membranes could be used for membrane distillation of juices. - Highlights: • Plasma deposited hydrophobic layer of flouropolymers. • Deposition degree affects the surface properties. • Hydrohilization of surface due to reaction of oxygen with entrapped radicals. • Possibility to use modified porous membrane for water distillation and apple juice concentration.

  5. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  6. Fast Waves Mode Conversion and Energy Deposition in Simulated, Pre-Heated, Neoclassical, Tight Aspect Ratio Tokamak Plasmas

    International Nuclear Information System (INIS)

    Bruma, C.; Cuperman, S.; Komoshvili, K.

    1999-01-01

    Some basic aspects of wave-plasma interaction of interest for tight aspect ratio spherical tokamaks are investigated theoretically. The following scenario is considered: A. Fast magnetosonic waves are launched by an external antenna into a simulated spherical Tokamak plasma; these waves are converted to Alfven waves at points (layer) satisfying the Alfven resonance condition. B. The simulated spherical tokamaks-plasma has a circular cross-section and toroidicity effects are simulated by Grad-Shafranov type, radially dependent axial magnetic field and its shear. (J. Actual equilibrium profiles (magnetic field, pressure and current) observed in the low field side (LFS) of spherical tokamaks (viz., START at Culham, UK) are used. D. The study is based on the numerical solution of the full e.m. wave equation which includes a quite general resistive MHD dielectric tensor, with consideration of equilibrium current and neoclassical effects. Two kinds of results will be presented: I. Proofs validating the computational algorithm used and including convergence and energy conservation. II. Exact quantitative results concerning (i) the structure and space dependence of the mode-converted Alfven waves and (ii) the basic features of the deposited p over . The dependence of the results on the launched wave characteristics (wave numbers, frequency and intensity) as well as on those of the equilibrium plasma (equilibrium current, neoclassical resistivity and electron inertia) will be discussed

  7. Iridium Coating Deposited by Double Glow Plasma Technique — Effect of Glow Plasma on Structure of Coating at Single Substrate Edge

    International Nuclear Information System (INIS)

    Wu Wangping; Chen Zhaofeng; Liu Yong

    2012-01-01

    Double glow plasma technique has a high deposition rate for preparing iridium coating. However, the glow plasma can influence the structure of the coating at the single substrate edge. In this study, the iridium coating was prepared by double glow plasma on the surface of single niobium substrate. The microstructure of iridium coating at the substrate edge was observed by scanning electron microscopy. The composition of the coating was confirmed by energy dispersive spectroscopy and X-ray diffraction. There was a boundary between the coating and the substrate edge. The covered area for the iridium coating at the substrate edge became fewer and fewer from the inner area to the outer flange-area. The bamboo sprout-like particles on the surface of the substrate edge were composed of elemental niobium. The substrate edge was composed of the Nb coating and there was a transition zone between the Ir coating and the Nb coating. The interesting phenomenon of the substrate edge could be attributed to the effects of the bias voltages and the plasma cloud in the deposition chamber. The substrate edge effect could be mitigated or eliminated by adding lots of small niobium plates around the substrate in a deposition process. (plasma technology)

  8. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  9. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  10. Diamond deposition using a planar radio frequency inductively coupled plasma

    Science.gov (United States)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  11. Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers

    DEFF Research Database (Denmark)

    Keller, Stephan Urs; Häfliger, Daniel; Boisen, Anja

    2008-01-01

    during fluorocarbon deposition, the surface free energy of the coating can be tuned to allow for uniform wetting during spin coating of arbitrary thin SU-8 films. Further, they define an optimal pressure regime for the release of thin polymer structures at high yield. They demonstrate the successful......Plasma-deposited fluorocarbon coatings are introduced as a convenient method for the dry release of polymer structures. In this method, the passivation process in a deep reactive ion etch reactor was used to deposit hydrophobic fluorocarbon films. Standard photolithography with the negative epoxy......-based photoresist SU-8 was used to fabricate polymer structures such as cantilevers and membranes on top of the nonadhesive release layer. The authors identify the plasma density as the main parameter determining the surface properties of the deposited fluorocarbon films. They show that by modifying the pressure...

  12. Plasma deposition of refractories

    International Nuclear Information System (INIS)

    Kudinov, V.V.; Ivanov, V.M.

    1981-01-01

    The problems of deposition, testing and application of plasma coating of refractory metals and oxides are considered. The process fundamentals, various manufacturing procedures and equipment for their realization are described in detail. Coating materials are given (Al, Mg, Al 2 O 3 , ZrO 2 , MgAlO 4 ) which are used in reactor engineering and their designated purposes are shown [ru

  13. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  14. Ultra-small platinum and gold nanoparticles by arc plasma deposition

    International Nuclear Information System (INIS)

    Kim, Sang Hoon; Jeong, Young Eun; Ha, Heonphil; Byun, Ji Young; Kim, Young Dok

    2014-01-01

    Highlights: • Ultra-small (<2 nm) and bigger platinum and gold nanoparticles were produced by arc plasma deposition (APD). • Size and coverage of deposited nanoparticles were easily controlled with APD parameters. • Crystalline structures of deposited nanoparticles emerged only when the particle size was bigger than ∼2 nm. - Abstract: Ultra-small (<2 nm) nanoparticles of platinum and gold were produced by arc plasma deposition (APD) in a systematic way and the deposition behavior was studied. Nanoparticles were deposited on two dimensional amorphous carbon and amorphous titania thin films and characterized by transmission electron microscopy (TEM). Deposition behavior of nanoparticles by APD was studied with discharge voltage (V), discharge condenser capacitance (C), and the number of plasma pulse shots (n) as controllable parameters. The average size of intrinsic nanoparticles generated by APD process was as small as 0.9 nm and deposited nanoparticles began to have crystal structures from the particle size of about 2 nm. V was the most sensitive parameter to control the size and coverage of generated nanoparticles compared to C and n. Size of APD deposited nanoparticles was also influenced by the nature of evaporating materials and substrates

  15. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  16. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  17. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  18. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  19. Solid oxide fuel cell electrolytes produced via very low pressure suspension plasma spray and electrophoretic deposition

    Science.gov (United States)

    Fleetwood, James D.

    Solid oxide fuel cells (SOFCs) are a promising element of comprehensive energy policies due to their direct mechanism for converting the oxidization of fuel, such as hydrogen, into electrical energy. Both very low pressure plasma spray and electrophoretic deposition allow working with high melting temperature SOFC suspension based feedstock on complex surfaces, such as in non-planar SOFC designs. Dense, thin electrolytes of ideal composition for SOFCs can be fabricated with each of these processes, while compositional control is achieved with dissolved dopant compounds that are incorporated into the coating during deposition. In the work reported, sub-micron 8 mole % Y2O3-ZrO2 (YSZ) and gadolinia-doped ceria (GDC), powders, including those in suspension with scandium-nitrate dopants, were deposited on NiO-YSZ anodes, via very low pressure suspension plasma spray (VLPSPS) at Sandia National Laboratories' Thermal Spray Research Laboratory and electrophoretic deposition (EPD) at Purdue University. Plasma spray was carried out in a chamber held at 320 - 1300 Pa, with the plasma composed of argon, hydrogen, and helium. EPD was characterized utilizing constant current deposition at 10 mm electrode separation, with deposits sintered from 1300 -- 1500 °C for 2 hours. The role of suspension constituents in EPD was analyzed based on a parametric study of powder loading, powder specific surface area, polyvinyl butyral (PVB) content, polyethyleneimine (PEI) content, and acetic acid content. Increasing PVB content and reduction of particle specific surface area were found to eliminate the formation of cracks when drying. PEI and acetic acid content were used to control suspension stability and the adhesion of deposits. Additionally, EPD was used to fabricate YSZ/GDC bilayer electrolyte systems. The resultant YSZ electrolytes were 2-27 microns thick and up to 97% dense. Electrolyte performance as part of a SOFC system with screen printed LSCF cathodes was evaluated with peak

  20. Power deposition to the pump limiters in Tore-Supra with ohmic plasmas

    International Nuclear Information System (INIS)

    Guilhem, D.; Chatelier, M.; Chappuis Fleury, I.; Klepper, C.

    1990-01-01

    The modification of power scrape-off-length, λq, and power deposition are studied both with the horizontal limiter alone and with the full set of 7 pump limiters for 1MW ohmic plasmas in TORE-SUPRA. By making spatially resolved infrared surface temperature measurements during the plasma discharge, the magnitude and distribution of the energy flux can be derived. For comparison, the surface temperature of the horizontal pump limiter is calculated with a finite element code using a 3D description of the field lines, an exponential scrape-off-layer, and the pump limiter geometry. From comparison of the infrared images of the limiter we derived that the λq for power deposition was slightly less than 9 mm (±1mm) which is in agreement with the predicted design value of 10 mm. For an 8 seconds discharge, the maximum surface temperature on the horizontal limiter is 450 0 C. Inserting the 7 limiters does not modify λq (which becomes 10 mm). The power is shared by all the limiters and the maximum surface temperature on the horizontal limiter decreased to 320 0 C. These λq values have been independently measured by the integrated energy deposition on the horizontal limiter and other internal structures 5 cm into the scrape-off layer. These values agree with the infrared measurements in the two cases

  1. Wettability of modified silica layers deposited on glass support activated by plasma

    Energy Technology Data Exchange (ETDEWEB)

    Terpiłowski, Konrad, E-mail: terpil@umcs.pl [Department of Physical Chemistry – Interfacial Phenomena, Faculty of Chemistry, Maria Curie-Sklodowska University, Lublin (Poland); Rymuszka, Diana [Department of Physical Chemistry – Interfacial Phenomena, Faculty of Chemistry, Maria Curie-Sklodowska University, Lublin (Poland); Goncharuk, Olena V.; Sulym, Iryna Ya.; Gun’ko, Vladimir M. [Chuiko Institute of Surface Chemistry, National Academy of Science of Ukraine, Kiev (Ukraine)

    2015-10-30

    Highlights: • New modified silica materials synthesis. • Support surface plasma activation. • Apparent surface free energy determination. • Equilibrium contact angle calculation. - Abstract: Fumed silica modified by hexamethyldisilazane [HDMS] and polydimethylsiloxane [PDMS] was dispersed in a polystyrene/chloroform solution. To increase adhesion between deposited silica layers and a glass surface, the latter was pretreated with air plasma for 30 s. The silica/polystyrene dispersion was deposited on the glass support using a spin coater. After deposition, the plates were dried in a desiccator for 24 h. Water advancing and receding contact angles were measured using the tilted plate method. The apparent surface free energy (γ{sub S}) was evaluated using the contact angle hysteresis approach. The surface topography was determined using the optical profilometry method. Contact angles changed from 59.7° ± 4.4 (at surface coverage with trimethylsilyl groups Θ = 0.14) to 155° ± 3.1 at Θ = 1. The value of γ{sub S} decreased from 51.3 ± 2.8 mJ/m{sup 2} (for the sample at the lowest value of Θ) to 1.0 ± 0.4 mJ/m{sup 2} for the most hydrophobic sample. Thus, some systems with a high degree of modification by HDMS showed superhydrophobicity, and the sliding angle amounted to about 16° ± 2.1.

  2. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  3. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  4. Plasma sprayed and electrospark deposited zirconium metal diffusion barrier coatings

    International Nuclear Information System (INIS)

    Hollis, Kendall J.; Pena, Maria I.

    2010-01-01

    Zirconium metal coatings applied by plasma spraying and electrospark deposition (ESD) have been investigated for use as diffusion barrier coatings on low enrichment uranium fuel for research nuclear reactors. The coatings have been applied to both stainless steel as a surrogate and to simulated nuclear fuel uranium-molybdenum alloy substrates. Deposition parameter development accompanied by coating characterization has been performed. The structure of the plasma sprayed coating was shown to vary with transferred arc current during deposition. The structure of ESD coatings was shown to vary with the capacitance of the deposition equipment.

  5. Ion energy loss at maximum stopping power in a laser-generated plasma

    International Nuclear Information System (INIS)

    Cayzac, W.

    2013-01-01

    In the frame of this thesis, a new experimental setup for the measurement of the energy loss of carbon ions at maximum stopping power in a hot laser-generated plasma has been developed and successfully tested. In this parameter range where the projectile velocity is of the same order of magnitude as the thermal velocity of the plasma free electrons, large uncertainties of up to 50% are present in the stopping-power description. To date, no experimental data are available to perform a theory benchmarking. Testing the different stopping theories is yet essential for inertial confinement fusion and in particular for the understanding of the alpha-particle heating of the thermonuclear fuel. Here, for the first time, precise measurements were carried out in a reproducible and entirely characterized beam-plasma configuration. It involved a nearly fully-stripped ion beam probing a homogeneous fully-ionized plasma. This plasma was generated by irradiating a thin carbon foil with two high-energy laser beams and features a maximum electron temperature of 200 eV. The plasma conditions were simulated with a two-dimensional radiative hydrodynamic code, while the ion-beam charge-state distribution was predicted by means of a Monte-Carlo code describing the charge-exchange processes of projectile ions in plasma. To probe at maximum stopping power, high-frequency pulsed ion bunches were decelerated to an energy of 0.5 MeV per nucleon. The ion energy loss was determined by a time-of-flight measurement using a specifically developed chemical-vapor-deposition diamond detector that was screened against any plasma radiation. A first experimental campaign was carried out using this newly developed platform, in which a precision better than 200 keV on the energy loss was reached. This allowed, via the knowledge of the plasma and of the beam parameters, to reliably test several stopping theories, either based on perturbation theory or on a nonlinear T-Matrix formalism. A preliminary

  6. Deposition Rate and Energy Enhancements of TiN Thin-Film in a Magnetized Sheet Plasma Source

    OpenAIRE

    Hamdi Muhyuddin D. Barra; Henry J. Ramos

    2011-01-01

    Titanium nitride (TiN) has been synthesized using the sheet plasma negative ion source (SPNIS). The parameters used for its effective synthesis has been determined from previous experiments and studies. In this study, further enhancement of the deposition rate of TiN synthesis and advancement of the SPNIS operation is presented. This is primarily achieved by the addition of Sm-Co permanent magnets and a modification of the configuration in the TiN deposition process. The ...

  7. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  8. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  9. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  10. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  11. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Wallenhorst, L.M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-01-01

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  12. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wallenhorst, L.M., E-mail: lena.wallenhorst@hawk-hhg.de [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Loewenthal, L.; Avramidis, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Gerhard, C. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany); Militz, H. [Wood Biology and Wood Products, Burckhardt Institute, Georg-August-University Göttingen, Büsgenweg 4, 37077 Göttingen (Germany); Ohms, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Viöl, W. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany)

    2017-07-15

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  13. Local thermodynamic equilibrium in rapidly heated high energy density plasmas

    International Nuclear Information System (INIS)

    Aslanyan, V.; Tallents, G. J.

    2014-01-01

    Emission spectra and the dynamics of high energy density plasmas created by optical and Free Electron Lasers (FELs) depend on the populations of atomic levels. Calculations of plasma emission and ionization may be simplified by assuming Local Thermodynamic Equilibrium (LTE), where populations are given by the Saha-Boltzmann equation. LTE can be achieved at high densities when collisional processes are much more significant than radiative processes, but may not be valid if plasma conditions change rapidly. A collisional-radiative model has been used to calculate the times taken by carbon and iron plasmas to reach LTE at varying densities and heating rates. The effect of different energy deposition methods, as well as Ionization Potential Depression are explored. This work shows regimes in rapidly changing plasmas, such as those created by optical lasers and FELs, where the use of LTE is justified, because timescales for plasma changes are significantly longer than the times needed to achieve an LTE ionization balance

  14. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  15. Positron deposition in plasmas by positronium beam ionization and transport of positrons in tokamak plasmas

    International Nuclear Information System (INIS)

    Murphy, T.J.

    1986-11-01

    In a recently proposed positron transport experiment, positrons would be deposited in a fusion plasma by forming a positronium (Ps) beam and passing it through the plasma. Positrons would be deposited as the beam is ionized by plasma ions and electrons. Radial transport of the positrons to the limiter could then be measured by detecting the gamma radiation produced by annihilation of positrons with electrons in the limiter. This would allow measurements of the transport of electron-mass particles and might shed some light on the mechanisms of electron transport in fusion plasmas. In this paper, the deposition and transport of positrons in a tokamak are simulated and the annihilation signal determined for several transport models. Calculations of the expected signals are necessary for the optimal design of a positron transport experiment. There are several mechanisms for the loss of positrons besides transport to the limiter. Annihilation with plasma electrons and reformation of positronium in positron-hydrogen collisions are two such processes. These processes can alter the signal and place restrictions ons on the plasma conditions in which positron transport experiments can be effectively performed

  16. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  17. Deposition of aluminium nanoparticles using dense plasma focus device

    International Nuclear Information System (INIS)

    Devi, Naorem Bilasini; Srivastava, M P; Roy, Savita

    2010-01-01

    Plasma route to nanofabrication has drawn much attention recently. The dense plasma focus (DPF) device is used for depositing aluminium nanoparticles on n-type Si (111) wafer. The plasma chamber is filled with argon gas and evacuated at a pressure of 80 Pa. The substrate is placed at distances 4.0 cm, 5.0 cm and 6.0 cm from the top of the central anode. The aluminium is deposited on Si wafer at room temperature with two focused DPF shots. The deposits on the substrate are examined for their morphological properties using atomic force microscopy (AFM). The AFM images have shown the formation of aluminium nanoparticles. From the AFM images, it is found that the size of aluminium nanoparticles increases with increase in distance between the top of anode and the substrate for same number of DPF shots.

  18. Plasma distribution of cathodic ARC deposition system

    International Nuclear Information System (INIS)

    Anders, S.; Raoux, S.; Krishnan, K.; MacGill, R.A.; Brown, I.G.

    1996-01-01

    The plasma distribution using a cathodic arc plasma source with and without magnetic macroparticle filter has been determined by depositing on a transparent plastic substrate and measuring the film absorption. It was found that the width of the distribution depends on the arc current, and it also depends on the cathode material which leads to a spatial separation of the elements when an alloy cathode is used. By applying a magnetic multicusp field near the exit of the magnetic filter, it was possible to modify the plasma distribution and obtain a flat plasma profile with a constant and homogeneous elemental distribution

  19. An Evaluation of Atmospheric-pressure Plasma for the Cost-Effective Deposition of Antireflection Coatings

    Energy Technology Data Exchange (ETDEWEB)

    Rob Sailer; Guruvenket Srinivasan; Kyle W. Johnson; Douglas L. Schulz

    2010-04-01

    Atmospheric-pressure plasma deposition (APPD) has previously been used to deposit various functional materials including polymeric surface modification layers, transparent conducting oxides, and photo catalytic materials. For many plasma polymerized coatings, reaction occurs via free radical mechanism where the high energy electrons from the plasma activate the olefinic carbon-carbon double bonds - a typical functional group in such precursors. The precursors for such systems are typically inexpensive and readily available and have been used in vacuum PECVD previously. The objectives are to investigate: (1) the effect of plasma power, gas composition and substrate temperature on the Si-based film properties using triethylsilane(TES) as the precursor; and (2) the chemical, mechanical, and optical properties of several experimental matrices based on Design of Experiment (DOE) principals. A simple APPD route has been utilized to deposit Si based films from an inexpensive precursor - Triethylsilane (TES). Preliminary results indicates formation of Si-C & Si-O and Si-O, Si-C & Si-N bonds with oxygen and nitrogen plasmas respectively. N{sub 2}-O{sub 2} plasma showed mixed trend; however oxygen remains a significant portion of all films, despite attempts to minimize exposure to atmosphere. SiN, SiC, and SiO ratios can be modified by the reaction conditions resulting in differing film properties. SE studies revealed that films with SiN bond possess refractive index higher than coatings with Si-O/Si-C bonds. Variable angle reflectance studies showed that SiOCN coatings offer AR properties; however thickness and refractive index optimization of these coatings remains necessary for application as potential AR coatings.

  20. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  1. Corrosion properties of plasma deposited high-alloy steel

    Czech Academy of Sciences Publication Activity Database

    Voleník, Karel; Pražák, M.; Kalabisová, E.; Kreislová, K.; Neufuss, Karel

    2002-01-01

    Roč. 47, - (2002), s. 243-254 ISSN 0001-7043 R&D Projects: GA ČR GA106/99/0298 Institutional research plan: CEZ:AV0Z2043910 Keywords : plasma deposits, high-alloy steel, polarization curves, corrosion test Subject RIV: BL - Plasma and Gas Discharge Physics

  2. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  3. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  4. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  5. Solution precursor plasma deposition of nanostructured ZnO coatings

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2011-01-01

    Highlights: → The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. → It is highly capable of developing tailorable nanostructures. → This technique can be employed to spray the coatings on any kind of substrates including polymers. → The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance (∼65-80%) and reflectivity (∼65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 mΩ cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  6. Solution precursor plasma deposition of nanostructured ZnO coatings

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States)

    2011-08-15

    Highlights: {yields} The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. {yields} It is highly capable of developing tailorable nanostructures. {yields} This technique can be employed to spray the coatings on any kind of substrates including polymers. {yields} The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance ({approx}65-80%) and reflectivity ({approx}65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 m{Omega} cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  7. Low pressure plasma spray deposition of W-Ni-Fe alloy

    International Nuclear Information System (INIS)

    Mutasim, Z.Z.; Smith, R.W.

    1991-01-01

    The production of net shape refractory metal structural preforms are increasing in importance in chemical processing, defense and aerospace applications. Conventional methods become limited for refractory metal processing due to the high melting temperatures and fabrication difficulties. Plasma spray forming, a high temperature process, has been shown to be capable of refractory metal powder consolidation in net shape products. The research reported here has evaluated this method for the deposition of heavy tungsten alloys. Plasma Melted Rapidly Solidified (PMRS) W 8%Ni-2%Fe refractory metal powders were spray formed using vacuum plasma spray (VPS) process and produced 99% dense, fine grain and homogeneous microstructures. In this paper plasma operating parameters (plasma arc gas type and flowrate plasma gun nozzle size and spray distance) were studied and their effects on deposit's density and microstructure are reported

  8. Effect of deposition strategy on the microstructure and mechanical properties of Inconel 625 superalloy fabricated by pulsed plasma arc deposition

    International Nuclear Information System (INIS)

    Xu, F.J.; Lv, Y.H.; Xu, B.S.; Liu, Y.X.; Shu, F.Y.; He, P.

    2013-01-01

    Highlights: ► PPAD Inconel 625 sample deposited with ICS strategy exhibits improved surface quality. ► ICS sample exhibits finer microstructure and improved mechanical properties. ► Higher level γ′ and γ″ phases are precipitated in the ICS sample. ► STA heat treatment reduced the concentration of Nb element. ► STA heat treatment improved the mechanical properties of PPAD Inconel 625. -- Abstract: Pulsed plasma arc deposition (PPAD), which combines pulsed plasma cladding with rapid prototyping, is a promising technology for manufacturing near net shape components due to its superiority in cost and convenience of processing. The aim of this study was to investigate the influences of interpass cooling strategy (ICS) and continuous deposition strategy (CDS) on microstructure and mechanical properties of the PPAD Inconel 625 non-ferrous alloy. The as-deposited samples in the two conditions were subjected to the post heat treatment: 980 °C solution treatment + direct aging (STA). The microstructures and mechanical properties of the samples were characterized by means of scanning electron microscopy (SEM) equipped with energy dispersive spectrometer (EDS), transmission electron microscopy (TEM), micro-hardness and tensile testers. It was found that the as-deposited microstructure exhibited homogenous cellular dendrite structure, which grew epitaxially along the deposition direction. The as-deposited microstructure of ICS sample revealed smaller dendritic arm spacing, less niobium segregation and discontinuous finer Laves phase in the interdendritic regions compared to the case of continuous deposition strategy (CDS). The ICS sample exhibited better mechanical properties than CDS sample. After STA heat treatment, a large amount of Laves particles in the interdendritic regions were dissolved, resulting in the reduction of Nb segregation and the precipitation of needle-like δ (Ni 3 Nb). The tensile and yield strength of the as-deposited samples were

  9. Antireflection coatings on plastics deposited by plasma ...

    Indian Academy of Sciences (India)

    In the ophthalmic industry, plastic lenses are rapidly displacing glass lenses ... Moreover, the plasma polymerization process allows deposition of optical films at room temperature, essential for plastics. ... Bulletin of Materials Science | News.

  10. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  11. Ion deposition by inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Hu, K.; Houk, R.S.

    1996-01-01

    An atmospheric pressure inductively coupled plasma (ICP) is used with a quadrupole mass spectrometer (MS) for ion deposition. The deposited element is introduced as a nebulized aqueous solution. Modifications to the ICP-MS device allow generation and deposition of a mass-resolved beam of 165 Ho + at 5x10 12 ions s -1 . The ICP is a universal, multielement ion source that can potentially be used for applications such as deposition of mixtures of widely varying stoichiometry or of alternating layers of different elements. copyright 1996 American Vacuum Society

  12. Relationship between energy deposition and shock wave phenomenon in an underwater electrical wire explosion

    Science.gov (United States)

    Han, Ruoyu; Zhou, Haibin; Wu, Jiawei; Qiu, Aici; Ding, Weidong; Zhang, Yongmin

    2017-09-01

    An experimental study of pressure waves generated by an exploding copper wire in a water medium is performed. We examined the effects of energy deposited at different stages on the characteristics of the resulting shock waves. In the experiments, a microsecond time-scale pulsed current source was used to explode a 300-μm-diameter, 4-cm-long copper wire with initial stored energies ranging from 500 to 2700 J. Our experimental results indicated that the peak pressure (4.5-8.1 MPa) and energy (49-287 J) of the shock waves did not follow a simple relationship with any electrical parameters, such as peak voltage or deposited energy. Conversely, the impulse had a quasi-linear relationship with the parameter Π. We also found that the peak pressure was mainly influenced by the energy deposited before separation of the shock wave front and the discharge plasma channel (DPC). The decay time constant of the pressure waveform was affected by the energy injection after the separation. These phenomena clearly demonstrated that the deposited energy influenced the expansion of the DPC and affected the shock wave characteristics.

  13. Calcium titanate (CaTiO{sub 3}) dielectrics prepared by plasma spray and post-deposition thermal treatment

    Energy Technology Data Exchange (ETDEWEB)

    Ctibor, Pavel [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Kotlan, Jiri, E-mail: kotlan@ipp.cas.cz [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Department of Electrotechnology, Faculty of Electrical Engineering, Czech Technical University in Prague, Technicka 2, Prague 6 (Czech Republic); Pala, Zdenek [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Sedlacek, Josef [Department of Electrotechnology, Faculty of Electrical Engineering, Czech Technical University in Prague, Technicka 2, Prague 6 (Czech Republic); Hajkova, Zuzana; Grygar, Tomas Matys [Institute of Inorganic Chemistry ASCR, v.v.i., Husinec-Rez 1001, Rez (Czech Republic)

    2015-12-15

    Highlights: • Calcium titanate was sprayed by two different plasma spray systems. • Significant improvement of dielectric properties after annealing was observed. • Calcium titanate self-supporting parts can be fabricated by plasma spraying. - Abstract: This paper studies calcium titanate (CaTiO{sub 3}) dielectrics prepared by plasma spray technology. A water stabilized plasma gun (WSP) as well as a widely used gas stabilized plasma gun (GSP) were employed in this study to deposit three sample sets at different spray conditions. Prepared specimens were annealed in air at atmospheric pressure for 2 h at various temperatures from 530 to 1170 °C. X-ray diffraction (XRD), Raman spectroscopy and porosity measurements were used for sample characterization. Dielectric spectroscopy was applied to obtain relative permittivity, conductivity and loss factor frequency dependence. Band gap energy was estimated from reflectance measurements. The work is focused on the explanation of changes in microstructure and properties of a plasma sprayed deposit after thermal annealing. Obtained results show significant improvement of dielectric properties after thermal annealing.

  14. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  15. High powered pulsed plasma enhanced deposition of thin film semiconductor and optical materials

    International Nuclear Information System (INIS)

    Llewellyn, I.P.; Sheach, K.J.A.; Heinecke, R.A.

    1993-01-01

    A glow discharge deposition technique is described which allows the deposition of a large range of high quality materials without the requirement for substrate heating. The method is differentiated from conventional plasma deposition techniques in that a much higher degree of dissociation is achieved in the gases prior to deposition, such that thermally activated surface reactions are no longer required in order to produce a dense film. The necessary discharge intensity (>300Wcm -3 ) is achieved using a high power radio frequency generator which is pulsed at a low duty cycle (1%) to keep the average energy of the discharge low (100W), in order to avoid the discharge heating the substrate. In addition, by varying the gas composition between discharge pulses, layered structures of materials can be produced, with a disordered interface about 8 A thick. Various uses of the technique in semiconductor and optical filter production are described, and the properties of films deposited using these technique are presented. (orig.)

  16. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  17. Interpretation of plasma impurity deposition probes. Analytic approximation

    Science.gov (United States)

    Stangeby, P. C.

    1987-10-01

    Insertion of a probe into the plasma induces a high speed flow of the hydrogenic plasma to the probe which, by friction, accelerates the impurity ions to velocities approaching the hydrogenic ion acoustic speed, i.e., higher than the impurity ion thermal speed. A simple analytic theory based on this effect provides a relation between impurity fluxes to the probe Γimp and the undisturbed impurity ion density nimp, with the hydrogenic temperature and density as input parameters. Probe size also influences the collection process and large probes are found to attract a higher flux density than small probes in the same plasma. The quantity actually measured, cimp, the impurity atom surface density (m-2) net-deposited on the probe, is related to Γimp and thus to nimp by taking into account the partial removal of deposited material caused by sputtering and the redeposition process.

  18. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    Science.gov (United States)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  19. Thermal plasma spheroidization and spray deposition of barium titanate powder and characterization of the plasma sprayable powder

    Energy Technology Data Exchange (ETDEWEB)

    Pakseresht, A.H., E-mail: amirh_pak@yahoo.com [Department of Ceramics, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Rahimipour, M.R. [Department of Ceramics, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Vaezi, M.R. [Department of Nanotechnology and Advanced Materials, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Salehi, M. [Department of Materials Engineering, Isfahan University of Technology, P.O. Box 84156-83111, Isfahan (Iran, Islamic Republic of)

    2016-04-15

    In this paper, atmospheric plasma spray method was used to produce dense plasma sprayable powder and thick barium titanate film. In this regard, the commercially feedstock powders were granulated and spheroidized by the organic binder and the thermal spray process, respectively. Scanning electron microscopy was used to investigate the microstructure of the produced powders and the final deposits. X-ray diffraction was also implemented to characterize phase of the sprayed powder. The results indicated that spheroidized powder had suitable flowability as well as high density. The micro-hardness of the film produced by the sprayed powders was higher than that of the film deposited by the irregular granules. Additionally, relative permittivity of the films was increased by decreasing the defects from 160 to 293 for film deposited using spheroidized powder. The reduction in the relative permittivity of deposits, in comparison with the bulk material, was due to the existence of common defects in the thermal spray process. - Highlights: • We prepare sprayable BaTiO{sub 3} powder with no or less inside voids for plasma spray application for first time. • The sprayable powder has good flow characteristics and high density. • Powder spheroidization via plasma spray improves the hardness and dielectric properties of the deposited film.

  20. Thermal plasma spheroidization and spray deposition of barium titanate powder and characterization of the plasma sprayable powder

    International Nuclear Information System (INIS)

    Pakseresht, A.H.; Rahimipour, M.R.; Vaezi, M.R.; Salehi, M.

    2016-01-01

    In this paper, atmospheric plasma spray method was used to produce dense plasma sprayable powder and thick barium titanate film. In this regard, the commercially feedstock powders were granulated and spheroidized by the organic binder and the thermal spray process, respectively. Scanning electron microscopy was used to investigate the microstructure of the produced powders and the final deposits. X-ray diffraction was also implemented to characterize phase of the sprayed powder. The results indicated that spheroidized powder had suitable flowability as well as high density. The micro-hardness of the film produced by the sprayed powders was higher than that of the film deposited by the irregular granules. Additionally, relative permittivity of the films was increased by decreasing the defects from 160 to 293 for film deposited using spheroidized powder. The reduction in the relative permittivity of deposits, in comparison with the bulk material, was due to the existence of common defects in the thermal spray process. - Highlights: • We prepare sprayable BaTiO_3 powder with no or less inside voids for plasma spray application for first time. • The sprayable powder has good flow characteristics and high density. • Powder spheroidization via plasma spray improves the hardness and dielectric properties of the deposited film.

  1. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  2. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  3. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  4. The application of magnetic self-filter to optimization of AIN film growth process during the impulse plasma deposition synthesis

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2016-03-01

    Full Text Available This work presents the very first results of the application of plasma magnetic filtering achieved by a coil coupled with an electrical circuit of a coaxial accelerator during the synthesis of A1N thin films by use of Impulse Plasma Deposition method (IPD. The uniqueness of this technical solution lies in the fact that the filter is not supplied, controlled and synchronized from any external device. Our solution uses the energy from the electrical circuit of plasma accelerator. The plasma state was described on the basis of OES studies. Estimation of the effects of plasma filtering on the film quality was carried out on the basis of characterization of structure morphology (SEM, phase and chemical composition (vibrational spectroscopy. Our work has shown that the use of the developed magnetic self-filter improved the structure of the AlN coatings synthesized under the condition of impulse plasma, especially by the minimization of the tendency to deposit metallic aluminum droplets and columnar growth.

  5. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  6. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  7. Characteristics of toroidal energy deposition asymmetries in ASDEX

    International Nuclear Information System (INIS)

    Evans, T.E.; Neuhauser, J.; Leuterer, F.; Mueller, E.R.

    1990-01-01

    Large toroidal and poloidal asymmetries with characteristics which are sensitively dependent on q a , the vertical position of the plasma, and the type of additional heating are observed in the energy flow to the ASDEX divertor target plates. The largest asymmetries and total energy depositions are observed during lower hybrid wave injection experiments with approximately 50% of the input energy going to the combined divertor targets and shields. A maximum localized energy density loading of 10 MJ/m 2 is typical under these conditions. Measurements of the asymmetries are consistent with a model in which magnetic islands and ergodicity due to intrinsic magnetic perturbations dominate the energy transpot across the primary magnetic separatrix. The results emphasize the essential role of resonant magnetic perturbations in determining the performance of tokamaks and demonstrate that non-axisymmetric effects caused by small perturbations become increasingly important in determining the transport properties as the injected power is increased. (orig.)

  8. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    CERN Document Server

    Martins, D R; Verdonck, P; Brown, I G

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  9. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    International Nuclear Information System (INIS)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the stopping and range of ions in matter code. We find film contamination of the order of 10 -4 -10 -3 , and the memory of the prior history of the deposition hardware can be relatively long lasting

  10. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    Energy Technology Data Exchange (ETDEWEB)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-08-13

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  11. Plasma Deposited Thin Iron Oxide Films as Electrocatalyst for Oxygen Reduction Reaction in Proton Exchange Membrane Fuel Cells

    Directory of Open Access Journals (Sweden)

    Lukasz JOZWIAK

    2017-02-01

    Full Text Available The possibility of using plasma deposited thin films of iron oxides as electrocatalyst for oxygen reduction reaction (ORR in proton exchange membrane fuel cells (PEMFC was examined. Results of energy-dispersive X-ray spectroscopy (EDX and X-ray photoelectron spectroscopy (XPS analysis indicated that the plasma deposit consisted mainly of FeOX structures with the X parameter close to 1.5. For as deposited material iron atoms are almost exclusively in the Fe3+ oxidation state without annealing in oxygen containing atmosphere. However, the annealing procedure can be used to remove the remains of carbon deposit from surface. The single cell test (SCT was performed to determine the suitability of the produced material for ORR. Preliminary results showed that power density of 0.23 mW/cm2 could be reached in the tested cell.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.14406

  12. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  13. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  14. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  15. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  16. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  17. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  18. Plasma Deposition and Characterization of Copper-doped Cobalt Oxide Nanocatalysts

    Directory of Open Access Journals (Sweden)

    Jacek TYCZKOWSKI

    2013-09-01

    Full Text Available A series of pure and copper-doped cobalt oxide films was prepared by plasma-enhanced metalorganic chemical vapor deposition (PEMOCVD. The effect of Cu-doping on the chemical structure and morphology of the deposited films was investigated. Raman and FTIR spectroscopies were used to characterize the chemical structure and morphology of the produced films. The bulk composition and homogeneity of the samples were investigated by energy dispersive X-ray microanalysis (EDX, and X-ray photoelectron spectroscopy (XPS was employed to assess the surface chemical composition of pure and doped materials. The obtained results permit to affirm that the PEMOCVD technique is a simple, versatile and efficient method for providing homogeneous layers of cobalt oxides with a different content of copper. It has been found that pure cobalt oxide films mainly contain Co3O4 in the form of nanoclusters whereas the films doped with Cu are much more complex, and CoOx (also Co3O4, mixed Co-Cu oxides and CuOx nanoclusters are detected in them. Preliminary catalytical tests show that Cu-doped cobalt oxide films allow to initiate catalytic combustion of n-hexane at a lower temperature compared to the pure cobalt oxide (Co3O4 films. From what has been stated above, the plasma-deposited thin films of Cu-doped cobalt oxides pave the way towards a new class of nanomaterials with interesting catalytic properties. DOI: http://dx.doi.org/10.5755/j01.ms.19.3.2320

  19. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  20. Plasma deposition of antimicrobial coating on organic polymer

    Science.gov (United States)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  1. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  2. Influence of substrate pre-treatments by Xe{sup +} ion bombardment and plasma nitriding on the behavior of TiN coatings deposited by plasma reactive sputtering on 100Cr6 steel

    Energy Technology Data Exchange (ETDEWEB)

    Vales, S., E-mail: sandra.vales@usp.br [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Brito, P., E-mail: ppbrito@gmail.com [Pontifícia Universidade Católica de Minas Gerais (PUC-MG), Av. Dom José Gaspar 500, 30535-901 Belo Horizonte, MG (Brazil); Pineda, F.A.G., E-mail: pipe8219@gmail.com [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Ochoa, E.A., E-mail: abigail_ochoa@hotmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Droppa, R., E-mail: roosevelt.droppa@ufabc.edu.br [Universidade Federal do ABC (UFABC), Av. dos Estados, 5001, Santo André, SP CEP 09210-580 (Brazil); Garcia, J., E-mail: jose.garcia@sandvik.com [Sandvik Coromant R& D, Lerkrogsvägen 19, SE-12680, Stockholm (Sweden); Morales, M., E-mail: monieriz@gmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Alvarez, F., E-mail: alvarez@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); and others

    2016-07-01

    In this paper the influence of pre-treating a 100Cr6 steel surface by Xe{sup +} ion bombardment and plasma nitriding at low temperature (380 °C) on the roughness, wear resistance and residual stresses of thin TiN coatings deposited by reactive IBAD was investigated. The Xe{sup +} ion bombardment was carried out using a 1.0 keV kinetic energy by a broad ion beam assistance deposition (IBAD, Kaufman cell). The results showed that in the studied experimental conditions the ion bombardment intensifies nitrogen diffusion by creating lattice imperfections, stress, and increasing roughness. In case of the combined pre-treatment with Xe{sup +} ion bombardment and subsequent plasma nitriding, the samples evolved relatively high average roughness and the wear volume increased in comparison to the substrates exposed to only nitriding or ion bombardment. - Highlights: • Effect of Xe ion bombardment and plasma nitriding on TiN coatings was investigated. • Xe ion bombardment with 1.0 KeV increases nitrogen retention in plasma nitriding. • 1.0 KeV ion impact energy causes sputtering, thus increasing surface roughness. • TiN coating wear is minimum after plasma nitriding due to lowest roughness.

  3. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  4. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  5. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  6. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  7. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  8. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  9. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  10. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  11. Deposition of titanium nitride on Si(1 0 0) wafers using plasma focus

    International Nuclear Information System (INIS)

    Hussain, Tousif; Ahmad, R.; Khan, I.A.; Siddiqui, Jamil; Khalid, Nida; Bhatti, Arshad Saleem; Naseem, Shahzad

    2009-01-01

    Titanium nitride thin films were deposited on Si(1 0 0) substrates by using a low energy (2.3 KJ) Mather-type plasma focus device. The composition of the deposited films was characterized by X-ray diffraction (XRD). The crystallite size has strong dependence on the numbers of focus shots. The crystallinity of TiN thin films is found to increase with increasing the number of focus shots. The effect of different number of focus shots on micro structural changes of thin films was characterized by Scanning Electron Microscope (SEM) and Atomic Force Microscope (AFM). SEM results showed net-like structure for film deposited for 15 numbers of shots, which are elongated grains of Si 3 N 4 in amorphous form embedded into TiN crystals. The average surface roughness was calculated from AFM images of the thin films. These results indicated that the average surface roughness increased for films deposited with increased number of focus shots. The least crystallite size and roughness are observed for film deposited with 25 focus shots.

  12. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  13. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  14. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  15. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  16. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  17. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  18. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  19. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  20. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  1. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  2. Fundamental processes of fuel removal by cyclotron frequency range plasmas and integral scenario for fusion application studied with carbon co-deposits

    Energy Technology Data Exchange (ETDEWEB)

    Möller, S., E-mail: s.moeller@fz-juelich.de [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Wauters, T. [Laboratory for Plasma Physics, ERM/KMS, TEC Partner, 1000 Brussels (Belgium); Kreter, A. [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Petersson, P.; Carrasco, A.G. [Fusion Plasma Physics, KTH Royal Institute of Technology, Teknikringen 31, 10044 Stockholm (Sweden)

    2015-08-15

    Plasma impact removal using radio frequency heated plasmas is a candidate method to control the co-deposit related tritium inventory in fusion devices. Plasma parameters evolve according to the balance of input power to losses (transport, radiation, collisions). Material is sputtered by the ion fluxes with impact energies defined by the plasma sheath. H{sub 2}, D{sub 2} and {sup 18}O{sub 2} plasmas are produced in the carbon limiter tokamak TEXTOR. Pre-characterised a-C:D layers are exposed to study local removal rates. The D{sub 2} plasma exhibits the highest surface release rate of 5.7 ± 0.9 ∗ 10{sup 19} D/m{sup 2}s. Compared to this the rate of the O{sub 2} plasma is 3-fold smaller due to its 11-fold lower ion flux density. Re-deposition of removed carbon is observed, indicating that pumping and ionisation are limiting the removal in TEXTOR. Presented models can explain the observations and allow tailoring removal discharges. An integral application scenario using ICWC and thermo-chemical removal is presented, allowing to remove 700 g T from a-C:DT co-deposits in 20 h with fusion compatible wall conditions using technical specifications similar to ITER.

  3. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  4. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  5. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  6. Effect of the ions energy in the physical properties of thin films of CNx deposited by laser ablation

    International Nuclear Information System (INIS)

    Arrieta C, A.; Escobar A, L.; Camps C, E.; Romero H, S.; Mejia H, J.A.; Gonzalez, P.R.; Camacho L, M.A.

    2004-01-01

    Thin films of carbon nitride were deposited using the laser ablation technique starting from a carbon target in atmosphere of N 2 , varying the fluence of the laser and maintaining fixed the distance target-substrate. It was diagnosed the formed plasma, being determined the average kinetic energy of the ions present in the plasma, as well as their density. The characterization of the deposited films includes composition, optical gap, chemical structure and microstructure. They were related the properties of the layers with the plasma parameters with the purpose of clarifying that paper plays in the growth of the layer. Additionally it was studied their thermoluminescent response to being excited with UV radiation. (Author)

  7. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  8. Energy confinement and MHD activity in shaped TCV plasmas with localised electron cyclotron heating

    International Nuclear Information System (INIS)

    Pochelon, A.; Alberti, S.; Angioni, C.

    2001-01-01

    Confinement in TCV (Tokamak a Configuration Variable) EC heated discharges is studied as a function of plasma shape, i.e. as a function of elongation 1.1<κ<2.15 and triangularity -0.65≤δ≤0.5. The electron energy confinement time is found to increase with elongation, in part due to the increase of plasma current with elongation. The beneficial effect of negative triangularities is most effective at low power and tends to reduce at the higher powers used. The large variety of sawtooth types observed in TCV for different power deposition locations from on axis to the q=1 region can be simulated with a model including a local power deposition, a growing m/n=1 island (convection and reconnection), plasma rotation and finite heat diffusivity across flux surfaces. (author)

  9. Application of plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Moon, J. S.; Park, H. S.; Song, K. C.; Lee, C. Y.; Kang, K. H.; Ryu, H. J.; Kim, H. S.; Yang, M. S.

    2001-01-01

    Yttria-stabilized-zirconia (m.p. 2670.deg. C), was deposited by induction plasma spraying system with a view to develop a new nuclear fuel fabrication technology. To fabricate the dense pellets, the spraying condition was optimized through the process parameters such as, chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position particle size and its morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed 97.11% theoretical density, when the sheath gas flow rate was Ar/H 2 120/20 L/min, probe position 8cm, particle size-75 μm and spraying distance 22cm. The microstructure of YSZ deposit by ICP was lamellae and columnar perpendicular to the spraying direction. In the bottom part near the substrate, small equiaxed grains bounded in a layer. In the middle part, relatively regular size of columnar grains with excellent bonding each other were distinctive

  10. Observation of non-uniform erosion and deposition phenomena on graphite after plasma exposure

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Grote, H.; Schneider, W.; Wienhold, P.; Seggern, J. von

    1999-01-01

    The modifications of fine grain isotropic graphite surfaces after plasma exposure have been investigated using surface analysis techniques with high spatial resolution in area and depth. The samples are graphite target tiles of ASDEX-upgrade and coated graphite collector samples exposed for special erosion/deposition experiments in the divertor plasma of ASDEX-upgrade or in the scrape-off plasma of TEXTOR-94. In addition, a graphite sample was exposed to a low temperature, clean deuterium plasma to study the modifications of the surface morphology during plasma exposure. The results give clear indications of non-uniform erosion and deposition processes. The change of the surface morphology during these processes is discussed. (orig.)

  11. Deposition of hybrid organic-inorganic composite coatings using an atmospheric plasma jet system.

    Science.gov (United States)

    Dembele, Amidou; Rahman, Mahfujur; Reid, Ian; Twomey, Barry; MacElroy, J M Don; Dowling, Denis P

    2011-10-01

    The objective of this study is to investigate the influence of alcohol addition on the incorporation of metal oxide nanoparticles into nm thick siloxane coatings. Titanium oxide (TiO2) nanoparticles with diameters of 30-80 nm were incorporated into an atmospheric plasma deposited tetramethylorthosilicate (TMOS) siloxane coating. The TMOS/TiO2 coating was deposited using the atmospheric plasma jet system known as PlasmaStream. In this system the liquid precursor/nanoparticle mixture is nebulised into the plasma. It was observed that prior to being nebulised the TiO2 particles agglomerated and settled over time in the TMOS/TiO2 mixture. In order to obtain a more stable nanoparticle/TMOS suspension the addition of the alcohols methanol, octanol and pentanol to this mixture was investigated. The addition of each of these alcohols was found to stabilise the nanoparticle suspension. The effect of the alcohol was therefore assessed with respect to the properties of the deposited coatings. It was observed that coatings deposited from TMOS/TiO2, with and without the addition of methanol were broadly similar. In contrast the coatings deposited with octanol and pentanol addition to the TMOS/TiO2 mixture were significantly thicker, for a given set of deposition parameters and were also more homogeneous. This would indicate that the alcohol precursor was incorporated into the plasma polymerised siloxane. The incorporation of the organic functionality from the alcohols was confirmed from FTIR spectra of the coatings. The difference in behaviour with alcohol type is likely to be due to the lower boiling point of methanol (65 degrees C), which is lower than the maximum plasma temperature measured at the jet orifice (77 degrees C). This temperature is significantly lower than the 196 degrees C and 136 degrees C boiling points of octanol and pentanol respectively. The friction of the coatings was determined using the Pin-on-disc technique. The more organic coatings deposited with

  12. Tailored adhesion behavior of polyelectrolyte thin films deposited on plasma-treated poly(dimethylsiloxane) for functionalized membranes

    Energy Technology Data Exchange (ETDEWEB)

    Bassil, Joelle, E-mail: joelle.bassil@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Alem, Halima, E-mail: halima.alem@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Henrion, Gérard, E-mail: gerard.henrion@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Roizard, Denis, E-mail: denis.roizard@univ-lorraine.fr [Laboratoire Réactions et Génie des Procédés (LRGP), UMR CNRS 7274, ENSIC, Université de Lorraine, 1 rue Grandville, 54011 Nancy (France)

    2016-04-30

    Graphical abstract: - Highlights: • The surface of PDMS membrane was first modified by Ar/O{sub 2} plasma to increase its surface energy. • Subsequently, a homogeneous multilayer of the well-known couple of polyelectrolyte PDADMAC/PSS was deposited on the plasma treated PDMS. • The relation between the parameters of the modification processes and the morphology, wettability, structure and adhesion of the polyelectrolytes layers based PDMS membranes is investigated and enlightened. - Abstract: Completely homogenous films formed via the layer-by-layer assembly of poly(diallyldimethylammonium chloride) (PDADMAC) and the poly(styrene sulfonate) were successfully obtained on plasma-treated poly(dimethylsiloxane) (PDMS) substrates. To modify the hydrophobicity of the PDMS surface, a cold plasma treatment was previously applied to the membrane, which led to the creation of hydrophilic groups on the surface of the membrane. PDMS wettability and surface morphology were successfully correlated with the plasma parameters. A combination of contact angle measurements, scanning electron microscopy (SEM) and atomic force microscopy (AFM) analysis was used to demonstrate that homogeneous and hydrophilic surfaces could be achieved on PDMS cold-plasma-treated membranes. The stability of the assembled PEL layer on the PDMS was evaluated using a combination of pull-off testing and X-ray photoelectron spectroscopy (XPS), which confirmed the relevance of a plasma pre-treatment as the adhesion of the polyelectrolyte multilayers was greatly enhanced when the deposition was completed on an activated PDMS surface at 80 W for 5 min.

  13. Evolution of α-particle distribution in burning plasmas including energy dependent α-transport effects

    International Nuclear Information System (INIS)

    Kamelander, G.; Sigmar, D.; Woloch, F.

    1991-09-01

    This report resumes the essential results of a common OEFZS/MIT (Plasma Fusion Center) project to investigate fusion alpha transport. A computer code has been developed going beyond standard FOKKER-PLANCK-codes assuming that the fusion products give their energy to the plasma on the place of their birth. The present transport code admits the calculation of the α-distribution function. By means of the distribution function the energy deposition rates are calculated. The time-evolution of the α-distribution function has been evaluated for an ignited plasma. A description of the transport code, of the subroutines and of the input data as well as a listing is enclosed to this report. (Authors)

  14. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  15. Collisional particle-in-cell modeling for energy transport accompanied by atomic processes in dense plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, R.; Beg, F. N. [Center for Energy Research, University of California, San Diego, California 92093 (United States); Leblanc, P.; Sentoku, Y. [Department of Physics, University of Nevada, Reno, Nevada 89557 (United States); Wei, M. S. [General Atomics, San Diego, California 92121 (United States)

    2013-07-15

    Fully relativistic collisional Particle-in-Cell (PIC) code, PICLS, has been developed to study extreme energy density conditions produced in intense laser-solid interaction. Recent extensions to PICLS, such as the implementation of dynamic ionization, binary collisions in a partially ionized plasma, and radiative losses, enhance the efficacy of simulating intense laser plasma interaction and subsequent energy transport in resistive media. Different ionization models are introduced and benchmarked against each other to check the suitability of the model. The atomic physics models are critical to determine the energy deposition and transport in dense plasmas, especially when they consist of high Z (atomic number) materials. Finally we demonstrate the electron transport simulations to show the importance of target material on fast electron dynamics.

  16. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  17. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  18. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  19. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  20. WC-Co coatings deposited by the electro-thermal chemical spray method

    Energy Technology Data Exchange (ETDEWEB)

    Zhitomirsky, V.N. [Tel Aviv Univ. (Israel). Faculty of Engineering; Wald, S.; Rabani, L.; Zoler, D. [Propulsion Physics Division, SOREQ NRC, 81800, Yavne (Israel); Factor, M.; Roman, I. [School of Applied Sciences, The Hebrew University, 91904, Jerusalem (Israel); Cuperman, S.; Bruma, C. [School of Physics and Astronomy, Tel-Aviv University, 69978, Tel-Aviv (Israel)

    2000-10-02

    A novel thermal spray technology - an electro-thermal chemical spray (ETCS) for producing hard coatings is presented. The experimental coating apparatus consists of a machine gun barrel, a cartridge containing the coating material in powder form, a solid propellant, and a plasma ignition system. The plasma ignition system produces plasma in pulsed mode to ignite the solid propellant. On ignition, the drag force exerted by the combustion gases accelerates the powder particles towards the substrate. Using the ETCS technique, the process of single-shot WC-Co coating deposition on stainless steel substrate was studied. The influence of process parameters (plasma energy, mass of the solid propellant and the coated powder, distance between the gun muzzle and the substrate) on the coating structure and some of its properties were investigated. It was shown that ECTS technique effectively deposited the WC-Co coating with deposition thicknesses of 100-200 {mu}m per shot, while deposition yield of {proportional_to}70% was attained. The WC-Co coatings consisted of carbide particles distributed in amorphous matrix. The powder particle velocity was found to depend on the solid propellant mass and was weakly dependent on the plasma energy, while the particle processing temperature was strongly dependent on the plasma energy and almost independent of the solid propellant mass. Whilst increasing the solid propellant mass from 5 to 7 g, the deposition rate and yield correspondingly increased. When increasing the plasma energy, the temperature of the powder particles increased, the average carbide particle size decreased and their shape became more rounded. The deposition yield and microhardness at first increased and then achieved saturation by increasing the plasma energy. (orig.)

  1. Development of a high energy pulsed plasma simulator for the study of liquid lithium trenches

    Energy Technology Data Exchange (ETDEWEB)

    Jung, S., E-mail: jung73@illinois.edu [Department of Nuclear, Plasma, and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana 61801 (United States); Christenson, M.; Curreli, D. [Department of Nuclear, Plasma, and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana 61801 (United States); Bryniarski, C. [Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana 61801 (United States); Andruczyk, D.; Ruzic, D.N. [Department of Nuclear, Plasma, and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana 61801 (United States)

    2014-12-15

    Highlights: • A pulse device for a liquid lithium trench study is developed. • It consists of a coaxial plasma gun, a theta pinch, and guiding magnets. • A large energy enhancement is observed with the use of the plasma gun. • A further increase in energy and velocity is observed with the theta pinch. - Abstract: To simulate detrimental events in a tokamak and provide a test-stand for a liquid-lithium infused trench (LiMIT) device [1], a pulsed plasma source utilizing a theta pinch in conjunction with a coaxial plasma accelerator has been developed. The plasma is characterized using a triple Langmuir probe, optical methods, and a calorimeter. Clear advantages have been observed with the application of a coaxial plasma accelerator as a pre-ionization source. The experimental results of the plasma gun in conjunction with the existing theta pinch show a significant improvement from the previous energy deposition by a factor of 14 or higher, resulting in a maximum energy and heat flux of 0.065 ± 0.002 MJ/m{sup 2} and 0.43 ± 0.01 GW/m{sup 2}. A few ways to further increase the plasma heat flux for LiMIT experiments are discussed.

  2. Development of a high energy pulsed plasma simulator for the study of liquid lithium trenches

    International Nuclear Information System (INIS)

    Jung, S.; Christenson, M.; Curreli, D.; Bryniarski, C.; Andruczyk, D.; Ruzic, D.N.

    2014-01-01

    Highlights: • A pulse device for a liquid lithium trench study is developed. • It consists of a coaxial plasma gun, a theta pinch, and guiding magnets. • A large energy enhancement is observed with the use of the plasma gun. • A further increase in energy and velocity is observed with the theta pinch. - Abstract: To simulate detrimental events in a tokamak and provide a test-stand for a liquid-lithium infused trench (LiMIT) device [1], a pulsed plasma source utilizing a theta pinch in conjunction with a coaxial plasma accelerator has been developed. The plasma is characterized using a triple Langmuir probe, optical methods, and a calorimeter. Clear advantages have been observed with the application of a coaxial plasma accelerator as a pre-ionization source. The experimental results of the plasma gun in conjunction with the existing theta pinch show a significant improvement from the previous energy deposition by a factor of 14 or higher, resulting in a maximum energy and heat flux of 0.065 ± 0.002 MJ/m 2 and 0.43 ± 0.01 GW/m 2 . A few ways to further increase the plasma heat flux for LiMIT experiments are discussed

  3. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  4. New system for vacuum deposition of refractory materials using an atmospheric-pressure inductively coupled plasma

    International Nuclear Information System (INIS)

    Merkle, B.D.; Kniseley, R.N.; Schmidt, F.A.

    1987-01-01

    We have successfully developed a technique utilizing an atmospheric-pressure inductively coupled plasma combined with a low-pressure deposition chamber for deposition of thin films. The equipment and method of operation are discussed. Refractory powders (Nb and Y 2 O 3 ) were injected into the plasma and deposited as Nb and substoichiometric yttrium oxide, YO/sub 1.49/, onto Fe and Cu substrates. The substoichiometric yttrium oxide deposit adhered well to the Fe and Cu substrates, while the Nb deposit adhered well to the Fe only. The Nb deposit on the Cu substrate flaked and peeled probably because of stresses induced from the thermal expansion mismatch between the Nb and Cu. Further studies will be undertaken to better understand the processes occurring in this type of plasma-coating system in order to optimize the instrumental parameters for particular coating applications

  5. Modeling high-density-plasma deposition of SiO{sub 2} in SiH{sub 4}/O{sub 2}/Ar

    Energy Technology Data Exchange (ETDEWEB)

    Meeks, E.; Larson, R.S. [Sandia National Labs., Livermore, CA (United States); Ho, P.; Apblett, C. [Sandia National Labs., Albuquerque, NM (United States); Han, S.M.; Edelberg, E.; Aydil, E. [Univ. of California, Santa Barbara, CA (United States)

    1997-03-01

    The authors have compiled sets of gas-phase and surface reactions for use in modeling plasma-enhanced chemical vapor deposition of silicon dioxide from silane, oxygen and argon gas mixtures in high-density-plasma reactors. They have applied the reaction mechanisms to modeling three different kinds of high-density plasma deposition chambers, and tested them by comparing model predictions to a variety of experimental measurements. The model simulates a well mixed reactor by solving global conservation equations averaged across the reactor volume. The gas-phase reaction mechanism builds from fundamental electron-impact cross section data available in the literature, and also includes neutral-molecule, ion-ion, and ion-molecule reaction paths. The surface reaction mechanism is based on insight from attenuated total-reflection Fourier-transform infrared spectroscopy experiments. This mechanism describes the adsorption of radical species on an oxide surface, ion-enhanced reactions leading to species desorption from the surface layer, radical abstractions competing for surface sites, and direct energy-dependent ion sputtering of the oxide material. Experimental measurements of total ion densities, relative radical densities as functions of plasma operating conditions, and net deposition-rate have been compared to model predictions to test and modify the chemical kinetics mechanisms. Results show good quantitative agreement between model predictions and experimental measurements.

  6. Factors affecting the adhesion of microwave plasma deposited siloxane films on polycarbonate

    International Nuclear Information System (INIS)

    Muir, B.W.; Thissen, H.; Simon, G.P.; Murphy, P.J.; Griesser, H.J.

    2006-01-01

    The effects of a radiofrequency oxygen plasma pretreatment and residual water content in the substrate on the adhesion of microwave plasma deposited tetramethyldisiloxane thin films on Bisphenol-A polycarbonate (BPA-PC) were investigated. Samples were characterised using a crosshatch adhesion test, optical and electron microscopy, and X-ray photoelectron spectroscopy. It was found that the use of a low power (5 W) and low treatment time (0.1 s) oxygen plasma can improve adhesion while greater treatment times (1-30 s) and higher oxygen plasma powers (40 W) resulted in a decreased level of adhesion. In addition, it was shown that a BPA-PC water content greater than 90 ppm resulted in rapid adhesion failure of deposited films at the substrate-plasma polymer interface during outdoor weathering. All films degraded substantially when exposed to environmental weathering, indicating ageing reactions within the plasma polymer films themselves, and at the bulk polymer-coating interface

  7. Developing a plasma focus research training system for the fusion energy age

    International Nuclear Information System (INIS)

    Lee, S.

    2014-01-01

    The 3 kJ UNU/ICTP Plasma Focus Facility is the most significant device associated with the AAAPT (Asian African Association for Plasma Training). In original and modified/upgraded form it has trained generations of plasma focus (PF) researchers internationally, producing many PhD theses and peer-reviewed papers. The Lee Model code was developed for the design of this PF. This code has evolved to cover all PF machines for design, interpretation and optimization, for derivation of radiation scaling laws; and to provide insights into yield scaling limitations, radiative collapse, speed-enhanced and current-stepped PF variants. As example of fresh perspectives derivable from this code, this paper presents new results on energy transfers of the axial and radial phases of generalized PF devices. As the world moves inexorably towards the Fusion Energy Age it becomes ever more important to train plasma fusion researchers. A recent workshop in Nepal shows that demand for such training continues. Even commercial project development consultants are showing interest. We propose that the AAAPT-proven research package be upgraded, by modernizing the small PF for extreme modes of operation, switchable from the typical strong-focus mode to a slow-mode which barely pinches, thus producing a larger, more uniform plasma stream with superior deposition properties. Such a small device would be cost-effective and easily duplicated, and have the versatility of a range of experiments from intense multi-radiation generation and target damage studies to superior advanced-materials deposition. The complementary code is used to reference experiments up to the largest existing machine. This is ideal for studying machine limitations and scaling laws and to suggest new experiments. Such a modernized versatile PF machine complemented by the universally versatile code would extend the utility of the PF experience; so that AAAPT continues to provide leadership in pulsed plasma research training in

  8. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  9. Plasma exposure behavior of re-deposited tungsten on structural materials of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yu-Ping; Wang, Jing [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Zhou, Hai-Shan, E-mail: haishanzhou@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Feng [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Li, Zeng-De [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Li, Xiao-Chun; Lu, Tao [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Hao-Dong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Ding, Fang; Mao, Hong-Min; Zhao, Ming-Zhong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Lin, Chen-Guang [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Luo, Guang-Nan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Hefei Center for Physical Science and Technology, Hefei 230031 (China); Hefei Science Center of Chinese Academy of Science, Hefei 230027 (China)

    2017-05-15

    To evaluate the effects of re-deposited tungsten (W) on the surface modification and hydrogen isotope retention behavior of fusion structural materials, the plasma exposure behavior of re-deposited W samples prepared by magnetron sputtering on the F82H steel, the V-5Cr-5Ti alloy as well as bare substrate samples was investigated. All the samples were exposed to 367 shots of deuterium plasmas in the 2015 spring EAST campaign. After the plasma exposure, large area of W layer was exfoliated, while big blisters were found at the interface between the remaining W layer and the substrate materials. The deuterium retention behavior of the samples with re-deposited W layer was characterized by thermal desorption spectroscopy and compared with the bare substrate samples.

  10. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  11. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  12. Influence of plasma density on the chemical composition and structural properties of pulsed laser deposited TiAlN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Quiñones-Galván, J. G.; Camps, Enrique [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apartado Postal 18-1027, México D.F. C.P. 11801 (Mexico); Muhl, S. [Instituto de Investigaciones en Materiales, UNAM, México D.F. C.P. 04510 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, Apdo. Postal 307, C.P. 45101 Zapopan, Jalisco (Mexico); Campos-González, E. [Departamento de Física, CINVESTAV-IPN, Apdo. Postal 14-740, México D.F. 07360 (Mexico)

    2014-05-15

    Incorporation of substitutional Al into the TiN lattice of the ternary alloy TiAlN results in a material with improved properties compared to TiN. In this work, TiAlN thin films were grown by the simultaneous ablation of Ti and Al targets in a nitrogen containing reactive atmosphere. The deposit was formed on silicon substrates at low deposition temperature (200 °C). The dependence of the Al content of the films was studied as a function of the ion density of the plasma produced by the laser ablation of the Al target. The plasma parameters were measured by means of a planar Langmuir probe and optical emission spectroscopy. The chemical composition of the films was measured by energy dispersive X-ray spectroscopy. The results showed a strong dependence of the amount of aluminum incorporated in the films with the plasma density. The structural characterization of the deposits was carried out by Raman spectroscopy, X-ray diffraction, and transmission electron microscopy, where the substitutional incorporation of the Al into the TiN was demonstrated.

  13. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  14. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  15. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  16. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  17. Effect of plasma energy on enhancing biocompatibility and hemocompatibility of diamond-like carbon film with various titanium concentrations

    International Nuclear Information System (INIS)

    Cheng, H.-C.; Chiou, S.-Y.; Liu, C.-M.; Lin, M.-H.; Chen, C.-C.; Ou, K.-L.

    2009-01-01

    This investigation develops and explores a new method for depositing a DLC film containing titanium. A bioactive DLC film with titanium dopant (Ti-DLC) was formed by co-sputtering. To determine the properties of DLC films with and without Ti, the specimens were evaluated by material analyses and cell culture. The multilayered nanocrystal TiC was embedded in the amorphous DLC matrix. Microtwins were present between TiC and Ti-DLC. They relaxed residual stress and improved the adhesion of Ti-DLC to the TiC film. The Ti-DLC film proliferates more effectively than Ti or DLC, revealing that the biocompatibility of Ti-DLC clearly exceeds that of DLC, Ti and TiC films. The Ti-DLC film proliferates more effectively than Ti, TiC or DLC film, revealing that the biocompatibility of Ti-DLC clearly exceeds that of DLC and Ti film. In addition, the higher deposited plasma energies were, more densification the films were. It is believed that high plasma energy enhanced the film densification, and then improves surface contact area of adsorbing proteins. It is believed that enhancing cell attachment and subsequently inducing cell proliferation and cell differentiation is related with plasma energy during deposition of Ti-DLC films.

  18. Films deposited from reactive sputtering of aluminum acetylacetonate under low energy ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Battaglin, Felipe Augusto Darriba; Prado, Eduardo Silva; Cruz, Nilson Cristino da; Rangel, Elidiane Cipriano, E-mail: elidiane@sorocaba.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Sorocaba, SP (Brazil). Lab. de Plasmas Tecnologicos; Caseli, Luciano [Universidade Federal de Sao Paulo (UNIFESP), Diadema, SP (Brazil). Instituto de Ciencias Ambientais, Quimicas e Farmaceuticas; Silva, Tiago Fiorini da; Tabacniks, Manfredo Harri [Universidade de Sao Paulo (USP), SP (Brazil). Instituto de Fisica

    2017-07-15

    Films were deposited from aluminum acetylacetonate (Al(acac)3 ) using a methodology involving reactive sputtering and low energy ion bombardment. The plasma was generated by the application of radiofrequency power to the powder containing electrode and simultaneously, negative pulses were supplied to the electrode where the substrates were attached. It was investigated the effect of the duty cycle of the pulses (Δ) on the properties of the coatings. Association of ion bombardment to the deposition process increased film thickness, structure reticulation and organic content. Ions from the deposition environment were implanted at the film-air interface or underneath it. Morphology and topography were altered depending on Δ. Considering the enhancement of Δ, it affected the flux of ions reaching the depositing interface and then the deposition rate, H content, crosslinking degree and surface microstructure. Alumina groups were detected in the infrared spectra, whereas the precipitation of amorphous alumina was confirmed by X-ray diffraction. (author)

  19. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  20. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  1. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  2. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  3. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  4. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  5. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described for electron beam heating of a high-density plasma to drive a fast liner. An annular or solid relativistic electron beam is used to heat a plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the plasma then converges on a fast liner to explosively or ablatively drive the liner to implosion. (U.K.)

  6. Formation of microchannels from low-temperature plasma-deposited silicon oxynitride

    Science.gov (United States)

    Matzke, Carolyn M.; Ashby, Carol I. H.; Bridges, Monica M.; Manginell, Ronald P.

    2000-01-01

    A process for forming one or more fluid microchannels on a substrate is disclosed that is compatible with the formation of integrated circuitry on the substrate. The microchannels can be formed below an upper surface of the substrate, above the upper surface, or both. The microchannels are formed by depositing a covering layer of silicon oxynitride over a mold formed of a sacrificial material such as photoresist which can later be removed. The silicon oxynitride is deposited at a low temperature (.ltoreq.100.degree. C.) and preferably near room temperature using a high-density plasma (e.g. an electron-cyclotron resonance plasma or an inductively-coupled plasma). In some embodiments of the present invention, the microchannels can be completely lined with silicon oxynitride to present a uniform material composition to a fluid therein. The present invention has applications for forming microchannels for use in chromatography and electrophoresis. Additionally, the microchannels can be used for electrokinetic pumping, or for localized or global substrate cooling.

  7. Energy deposition in NSRR test fuels

    International Nuclear Information System (INIS)

    Ohnishi, Nobuaki; Tanzawa, Sadamitsu; Tanzawa, Tomio; Kitano, Teruaki; Okazaki, Shuji

    1978-02-01

    Interpretation of fuel performance data collected during inpile testing in the NSRR requires a knowledge of the energy deposition or enthalpy increase in each sample tested. The report describes the results of absolute measurement of fission products and contents of uranium in irradiated test fuels which were performed to determine the energy deposition. (auth.)

  8. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  9. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  10. Hidden parameters in the plasma deposition of microcrystalline silicon solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Rech, B.; Schmitz, R.; Klomfass, J.; Dingemans, G.; Finger, F.; Houben, L.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2007-01-01

    The effect of process parameters on the plasma deposition of µc-Si:H solar cells is reviewed in this article. Several in situ diagnostics are presented, which can be used to study the process stability as an additional parameter in the deposition process. The diagnostics were used to investigate the

  11. Deposition of mullite and mullite-like coatings on silicon carbide by dual-source metal plasma immersion. Topical report, October 1995--September 1996

    Energy Technology Data Exchange (ETDEWEB)

    Brown, I.G.; Monteiro, O.R. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Mullite and mullite-like coatings on silicon carbide have been produced by a Metal Plasma Immersion Ion Implantation and Deposition (Mepiiid) technique based on two cathodic vacuum arc sources and concurrent pulse biasing of the substrate in an oxygen atmosphere. The deposition was carried out at oxygen partial pressures of between 0.66 and 3.33 Pa. The Al:Si ratio in the films varied from 1:1 to 8:1 and was controlled by varying the pulse duration of the separate plasma guns. High bias voltage was used early in the deposition process in order to produce atomic mixing at the film-substrate interface, while lower bias voltage was used later in the deposition; low ion energy allows control of the physical properties of the film as well as faster deposition rates. The as-deposited films were amorphous, and crystalline mullite was formed by subsequent annealing at 1,100 C for 2 hours in air. Strong adhesion between the mullite and the SiC was achieved, in some cases exceeding the 70 MPa instrumental limit of the pull-tester.

  12. Supersonic Plasma Spray Deposition of CoNiCrAlY Coatings on Ti-6Al-4V Alloy

    Science.gov (United States)

    Caliari, F. R.; Miranda, F. S.; Reis, D. A. P.; Essiptchouk, A. M.; Filho, G. P.

    2017-06-01

    Plasma spray is a versatile technology used for production of environmental and thermal barrier coatings, mainly in the aerospace, gas turbine, and automotive industries, with potential application in the renewable energy industry. New plasma spray technologies have been developed recently to produce high-quality coatings as an alternative to the costly low-pressure plasma-spray process. In this work, we studied the properties of as-sprayed CoNiCrAlY coatings deposited on Ti-6Al-4V substrate with smooth surface ( R a = 0.8 μm) by means of a plasma torch operating in supersonic regime at atmospheric pressure. The CoNiCrAlY coatings were evaluated in terms of their surface roughness, microstructure, instrumented indentation, and phase content. Static and dynamic depositions were investigated to examine their effect on coating characteristics. Results show that the substrate surface velocity has a major influence on the coating properties. The sprayed CoNiCrAlY coatings exhibit low roughness ( R a of 5.7 μm), low porosity (0.8%), excellent mechanical properties ( H it = 6.1 GPa, E it = 155 GPa), and elevated interface toughness (2.4 MPa m1/2).

  13. Influence of damping on proton energy loss in plasmas of all degeneracies

    International Nuclear Information System (INIS)

    Barriga-Carrasco, Manuel D.

    2007-01-01

    The purpose of the present paper is to describe the effects of electron-electron collisions on the stopping power of plasmas of any degeneracy. Plasma targets are considered fully ionized so electronic stopping is only due to the free electrons. We focus our analysis on plasmas which electronic density is around solid values n e ≅10 23 cm -3 and which temperature is around T≅10 eV; these plasmas are in the limit of weakly coupled plasmas. This type of plasma has not been studied extensively though it is very important for inertial confinement fusion. The electronic stopping is obtained from an exact quantum mechanical evaluation, which takes into account the degeneracy of the target plasma, and later it is compared with common classical and degenerate approximations. Differences are around 30% in some cases which can produce bigger mistakes in further energy deposition and projectile range studies. Then we consider electron-electron collisions in the exact quantum mechanical electronic stopping calculation. Now the maximum stopping occurs at velocities smaller than for the calculations without considering collisions for all kinds of plasmas analyzed. The energy loss enhances for velocities smaller than the velocity at maximum while decreases for higher velocities. Latter effects are magnified with increasing collision frequency. Differences with the same results for the case of not taking into account collisions are around 20% in the analyzed cases

  14. SiOx Ink-Repellent Layer Deposited by Radio Frequency (RF) Plasmas in Continuous Wave and Pulse Mode

    International Nuclear Information System (INIS)

    Chen Qiang; Fu Yabo; Pang Hua; Zhang Yuefei; Zhang Guangqiu

    2007-01-01

    Low surface energy layers, proposed application for non-water printing in computer to plate (CTP) technology, are deposited in both continuous wave and pulse radio frequency (13.56 MHz) plasma with hexamethyldisiloxane (HMDSO) as precursor. It is found that the plasma mode dominates the polymer growth rate and the surface composition. Derived from the spectra of X-ray photoelectron spectroscopy (XPS) and combined with printable test it is concluded that concentration of Si in coatings plays an important role for the ink printability and the ink does not adhere on the surface with high silicon concentration

  15. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  16. Atomic layer deposition of ruthenium on plasma-treated vertically aligned carbon nanotubes for high-performance ultracapacitors.

    Science.gov (United States)

    Kim, Jun Woo; Kim, Byungwoo; Park, Suk Won; Kim, Woong; Shim, Joon Hyung

    2014-10-31

    It is challenging to realize a conformal metal coating by atomic layer deposition (ALD) because of the high surface energy of metals. In this study, ALD of ruthenium (Ru) on vertically aligned carbon nanotubes (CNTs) was carried out. To activate the surface of CNTs that lack surface functional groups essential for ALD, oxygen plasma was applied ex situ before ALD. X-ray photoelectron spectroscopy and Raman spectroscopy confirmed surface activation of CNTs by the plasma pretreatment. Transmission electron microscopy analysis with energy-dispersive x-ray spectroscopy composition mapping showed that ALD Ru grew conformally along CNTs walls. ALD Ru/CNTs were electrochemically oxidized to ruthenium oxide (RuOx) that can be a potentially useful candidate for use in the electrodes of ultracapacitors. Electrode performance of RuOx/CNTs was evaluated using cyclic voltammetry and galvanostatic charge-discharge measurements.

  17. On the excess energy of nonequilibrium plasma

    International Nuclear Information System (INIS)

    Timofeev, A. V.

    2012-01-01

    The energy that can be released in plasma due to the onset of instability (the excess plasma energy) is estimated. Three potentially unstable plasma states are considered, namely, plasma with an anisotropic Maxwellian velocity distribution of plasma particles, plasma with a two-beam velocity distribution, and an inhomogeneous plasma in a magnetic field with a local Maxwellian velocity distribution. The excess energy can serve as a measure of the degree to which plasma is nonequilibrium. In particular, this quantity can be used to compare plasmas in different nonequilibrium states.

  18. A possible method of carbon deposit mapping on plasma facing components using infrared thermography

    International Nuclear Information System (INIS)

    Mitteau, R.; Spruytte, J.; Vallet, S.; Travere, J.M.; Guilhem, D.; Brosset, C.

    2007-01-01

    The material eroded from the surface of plasma facing components is redeposited partly close to high heat flux areas. At these locations, the deposit is heated by the plasma and the deposition pattern evolves depending on the operation parameters. The mapping of the deposit is still a matter of intense scientific activity, especially during the course of experimental campaigns. A method based on the comparison of surface temperature maps, obtained in situ by infrared cameras and by theoretical modelling is proposed. The difference between the two is attributed to the thermal resistance added by deposited material, and expressed as a deposit thickness. The method benefits of elaborated imaging techniques such as possibility theory and fuzzy logics. The results are consistent with deposit maps obtained by visual inspection during shutdowns

  19. Cobalt oxide-based catalysts deposited by cold plasma for proton exchange membrane fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Kazimierski, P.; Jozwiak, L.; Sielski, J.; Tyczkowski, J., E-mail: jacek.tyczkowski@p.lodz.pl

    2015-11-02

    In proton exchange membrane fuel cells (PEMFC), both the anodic hydrogen oxidation reaction and the cathodic oxygen reduction reaction (ORR) require appropriate catalysts. So far, platinum-based catalysts are still the best option for this purpose. However, because these catalysts are too expensive for making commercially viable fuel cells, extensive research over the past decade has focused on developing noble metal-free alternative catalysts. In this paper, an approach based on cobalt oxide films fabricated by plasma-enhanced metal-organic chemical vapor deposition is presented. Such a material can be used to prepare catalysts for ORR in PEMFC. The films containing CoO{sub X} were deposited on a carbon paper thereby forming the electrode. Morphology and atomic composition of the films were investigated by scanning electron microscopy and energy-dispersive X-ray spectroscopy, respectively. The possibility of their application as the electro-catalyst for ORR in PEMFC was investigated and the electro-catalytic activities were evaluated by the electrochemical measurements and single cell tests. It was found that the fuel cell with Pt as the anode catalyst and CoO{sub X} deposit as the cathode catalyst was characterized by the open circuit voltage of 635 mV, Tafel slope of approx. 130 mV/dec and the maximum power density of 5.3 W/m{sup 2}. - Highlights: • Cobalt oxide catalyst for proton exchange membrane fuel cells was plasma deposited. • The catalyst exhibits activity for the oxygen reduction reaction. • Morphology and atomic composition of the catalyst were determined.

  20. Scaling experiments on plasma opening switches for inductive energy storage applications

    International Nuclear Information System (INIS)

    Boller, J.R.; Commisso, R.J.; Cooperstein, G.

    1983-01-01

    A new type of fast opening switch for use with pulsed power accelerators is examined. This Plasma Opening Switch (POS) utilizes an injected carbon plasma to conduct large currents (circa 1 MA) for up to 100 ns while a vacuum inductor (circa 100 nH) is charged. The switch is then capable of opening on a short (circa 10 ns) timescale and depositing the stored energy into a load impedance. Output pulse widths and power levels are determined by the storage inductance and the load impedance. The switch operation is studied in detail both analytically and experimentally. Experiments are performed at the 5 kJ stored energy level on the Gamble I generator and at the 50 kJ level on the Gamble II generator. Results of both experiments are reported and the scaling of switch operation is discussed

  1. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties.

    Science.gov (United States)

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-02-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive ( Enterococcus faecalis ) and -negative ( Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation.

  2. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  3. Effects of target electron collisions on energy loss straggling in plasmas of all degeneracies

    Energy Technology Data Exchange (ETDEWEB)

    Barriga Carrasco, Manuel D. [E.T.S.I. Industriales, Universisdad de Castilla La Mancha, Ciudad Real E13071 (Spain)]. E-mail: ManuelD.Barriga@uclm.es

    2007-07-01

    The purpose of the present paper is to describe the effects of target electron collisions on the energy loss straggling in plasmas of any degeneracy. We focus our analysis on targets that are in the limit of weakly coupled electron gases, where the random phase approximation can be applied. This type of plasmas targets has not been studied extensively, though they are very important for inertial confinement fusion. The energy loss straggling is obtained from an exact quantum mechanical evaluation, which takes into account the degeneracy of the target plasma, and later it is compared with common classical and degenerate approximations. Also we consider electron collisions in the exact quantum mechanical straggling calculation. Now the maximum straggling occurs at velocities smaller than for the calculations without considering collisions for all kinds of plasmas analyzed. The straggling remains equal or enhances for velocities less than or equal to the velocity at maximum while is slightly decreases for higher velocities. Differences are significant in all cases, that can let large errors creep on in further energy deposition and projectile range studies.

  4. Effects of target electron collisions on energy loss straggling in plasmas of all degeneracies

    International Nuclear Information System (INIS)

    Barriga Carrasco, Manuel D.

    2007-01-01

    The purpose of the present paper is to describe the effects of target electron collisions on the energy loss straggling in plasmas of any degeneracy. We focus our analysis on targets that are in the limit of weakly coupled electron gases, where the random phase approximation can be applied. This type of plasmas targets has not been studied extensively, though they are very important for inertial confinement fusion. The energy loss straggling is obtained from an exact quantum mechanical evaluation, which takes into account the degeneracy of the target plasma, and later it is compared with common classical and degenerate approximations. Also we consider electron collisions in the exact quantum mechanical straggling calculation. Now the maximum straggling occurs at velocities smaller than for the calculations without considering collisions for all kinds of plasmas analyzed. The straggling remains equal or enhances for velocities less than or equal to the velocity at maximum while is slightly decreases for higher velocities. Differences are significant in all cases, that can let large errors creep on in further energy deposition and projectile range studies

  5. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  6. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  7. Transport and deposition of injected hydrocarbons in plasma generator PSI-2

    International Nuclear Information System (INIS)

    Bohmeyer, W.; Naujoks, D.; Markin, A.; Arkhipov, I.; Koch, B.; Schroeder, D.; Fussmann, G.

    2005-01-01

    The transport and deposition of hydrocarbons were studied in the stationary plasma of plasma generator PSI-2. CH 4 or C 2 H 4 were injected into the plasma at different positions in the target chamber. After an interaction between the plasma and the hydrocarbons, different species are produced, some of them having high sticking probabilities and forming a:CH films on a temperature controlled collector. The film growth is studied in situ for different plasma parameters. The 3D Monte Carlo code ERO including three different sets of atomic data is used to describe the formation of hydrocarbon films

  8. Monte Carlo charged-particle tracking and energy deposition on a Lagrangian mesh.

    Science.gov (United States)

    Yuan, J; Moses, G A; McKenty, P W

    2005-10-01

    A Monte Carlo algorithm for alpha particle tracking and energy deposition on a cylindrical computational mesh in a Lagrangian hydrodynamics code used for inertial confinement fusion (ICF) simulations is presented. The straight line approximation is used to follow propagation of "Monte Carlo particles" which represent collections of alpha particles generated from thermonuclear deuterium-tritium (DT) reactions. Energy deposition in the plasma is modeled by the continuous slowing down approximation. The scheme addresses various aspects arising in the coupling of Monte Carlo tracking with Lagrangian hydrodynamics; such as non-orthogonal severely distorted mesh cells, particle relocation on the moving mesh and particle relocation after rezoning. A comparison with the flux-limited multi-group diffusion transport method is presented for a polar direct drive target design for the National Ignition Facility. Simulations show the Monte Carlo transport method predicts about earlier ignition than predicted by the diffusion method, and generates higher hot spot temperature. Nearly linear speed-up is achieved for multi-processor parallel simulations.

  9. Deposition of waste kaolin in aluminum alloy by electrolytic plasma technique

    International Nuclear Information System (INIS)

    Palinkas, Fabiola Bergamasco da Silva Marcondes; Antunes, Maria Lucia Pereira; Cruz, Nilson Cristino; Rangel, Elidiane Cipriano; Souza, Jose Antonio da Silva

    2016-01-01

    Full text: Kaolin is a widely explored mineral for various industrial purposes and its processing generates up to 90% of waste, corresponding to 500 thousand tons annually. The Deposition of Kaolin residue on aluminum alloys by electrolytic plasma has objective of a valorization of the residue. It was evaluated the mineralogical composition by X-ray diffraction (XRD), using PANalytical diffractometer X'Pert Pro. The scanning electron microscopy (SEM) and the spectrometry of dispersive of energy (EDS) evaluated the morphology and elementary chemical composition by microscope scanning electron JEOL JSM-6010LA. The Infrared Spectroscopy (FTIR) has used a Spectrometer the Perkin-Elmer 1760X FT-IR with spectral range 4000-400 cm -1 . XRD results indicate peaks of kaolinite as the main constituent. The morphology of the particles correspond to pseudo-hexagonal lamellar crystals characteristic of kaolinite, analysis by EDS allows to identify the composition of the particles as Al and Si. The samples were deposited at concentrations of 5, 10 and 15 mg of the residue and each concentration were considered deposition times of 5, 10 and 15 minutes. Tests evaluate the films as the wettability, chemical composition, morphology, mechanical strength and corrosion resistance. Results indicate the presence of kaolinite, alumina and mullite in the obtained coatings. (author)

  10. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  11. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  12. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  13. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  14. A novel method of calculating the energy deposition curve of nanosecond pulsed surface dielectric barrier discharge

    International Nuclear Information System (INIS)

    He, Kun; Wang, Xinying; Lu, Jiayu; Cui, Quansheng; Pang, Lei; Di, Dongxu; Zhang, Qiaogen

    2015-01-01

    To obtain the energy deposition curve is very important in the fields to which nanosecond pulse dielectric barrier discharges (NPDBDs) are applied. It helps the understanding of the discharge physics and fast gas heating. In this paper, an equivalent circuit model, composed of three capacitances, is introduced and a method of calculating the energy deposition curve is proposed for a nanosecond pulse surface dielectric barrier discharge (NPSDBD) plasma actuator. The capacitance C d and the energy deposition curve E R are determined by mathematically proving that the mapping from C d to E R is bijective and numerically searching one C d that satisfies the requirement for E R to be a monotonically non-decreasing function. It is found that the value of capacitance C d varies with the amplitude of applied pulse voltage due to the change of discharge area and is dependent on the polarity of applied voltage. The bijectiveness of the mapping from C d to E R in nanosecond pulse volumetric dielectric barrier discharge (NPVDBD) is demonstrated and the feasibility of the application of the new method to NPVDBD is validated. This preliminarily shows a high possibility of developing a unified approach to calculate the energy deposition curve in NPDBD. (paper)

  15. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  16. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  17. Reduced energy conservation law for magnetized plasma

    International Nuclear Information System (INIS)

    Sosenko, P.P.; Decyk, V.K.

    1994-01-01

    A global energy conservation law for a magnetized plasma is studied within the context of a quasiparticle description. A reduced energy conservation law is derived for low-frequency, as compared to the gyromagnetic frequency, plasma motions with regard to both non-uniform mean flows and fluctuations in the plasma. The mean value of plasma energy is calculated and sufficient stability conditions for non-equilibrium plasmas are derived. (orig.)

  18. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde; Etudes des plasmas organoscilicies dilues en oxygene utilises pour la deposition d'oxyde de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Magni, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO{sub 2} film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO{sub 2} were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH{sub x} (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH{sub 4}) and acetylene (C{sub 2}H{sub 2}), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH{sub x}, such as formaldehyde (CH{sub 2}O), formic acid (CH{sub 2}O{sub 2}), carbon monoxide (CO), carbon dioxide (CO{sub 2}) and water. Moreover it is shown that the CO{sub 2} results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO{sub 2} were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous

  19. Low temperature (< 100 °C) deposited P-type cuprous oxide thin films: Importance of controlled oxygen and deposition energy

    International Nuclear Information System (INIS)

    Li, Flora M.; Waddingham, Rob; Milne, William I.; Flewitt, Andrew J.; Speakman, Stuart; Dutson, James; Wakeham, Steve; Thwaites, Mike

    2011-01-01

    With the emergence of transparent electronics, there has been considerable advancement in n-type transparent semiconducting oxide (TSO) materials, such as ZnO, InGaZnO, and InSnO. Comparatively, the availability of p-type TSO materials is more scarce and the available materials are less mature. The development of p-type semiconductors is one of the key technologies needed to push transparent electronics and systems to the next frontier, particularly for implementing p–n junctions for solar cells and p-type transistors for complementary logic/circuits applications. Cuprous oxide (Cu 2 O) is one of the most promising candidates for p-type TSO materials. This paper reports the deposition of Cu 2 O thin films without substrate heating using a high deposition rate reactive sputtering technique, called high target utilisation sputtering (HiTUS). This technique allows independent control of the remote plasma density and the ion energy, thus providing finer control of the film properties and microstructure as well as reducing film stress. The effect of deposition parameters, including oxygen flow rate, plasma power and target power, on the properties of Cu 2 O films are reported. It is known from previously published work that the formation of pure Cu 2 O film is often difficult, due to the more ready formation or co-formation of cupric oxide (CuO). From our investigation, we established two key concurrent criteria needed for attaining Cu 2 O thin films (as opposed to CuO or mixed phase CuO/Cu 2 O films). First, the oxygen flow rate must be kept low to avoid over-oxidation of Cu 2 O to CuO and to ensure a non-oxidised/non-poisoned metallic copper target in the reactive sputtering environment. Secondly, the energy of the sputtered copper species must be kept low as higher reaction energy tends to favour the formation of CuO. The unique design of the HiTUS system enables the provision of a high density of low energy sputtered copper radicals/ions, and when combined with a

  20. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  1. Increase in the energy absorption of pulsed plasma by the formation of tungsten nanostructure

    Science.gov (United States)

    Sato, D.; Ohno, N.; Domon, F.; Kajita, S.; Kikuchi, Y.; Sakuma, I.

    2017-06-01

    The synergistic effects of steady-state and pulsed plasma irradiation to material have been investigated in the device NAGDIS-PG (NAGoya DIvertor Simulator with Plasma Gun). The duration of the pulsed plasma was ~0.25 ms. To investigate the pulsed plasma heat load on the materials, we developed a temperature measurement system using radiation from the sample in a high time resolution. The heat deposited in response to the transient plasma on a tungsten surface was revealed by using this system. When the nanostructures were formed by helium plasma irradiation, the temperature increase on the bulk sample was enhanced. The result suggested that the amount of absorbed energy on the surface was increased by the formation of nanostructures. The possible mechanisms causing the phenomena are discussed with the calculation of a sample temperature in response to the transient heat load.

  2. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  3. Plasma polymerization at different positions in an asymmetric ethylene discharge

    International Nuclear Information System (INIS)

    Trieschmann, Jan; Hegemann, Dirk

    2011-01-01

    The characteristics of plasma polymerization are investigated in an asymmetric, capacitively coupled plasma discharge. Here, the deposition in different plasma zones, i.e. on the driven electrode, within the plasma bulk and the plasma sheath as well as approximately at the plasma-sheath edge, is investigated. Principal expectations are perfectly met, though new interesting dependences of the obtained a-C : H coatings with respect to film properties and deposition rates are also found. That is, the deposition rates as measured on thin, small glass slides placed directly on the electrode are considerably higher than everywhere else in the plasma, yet only single-sided. In contrast, the deposition rates on the samples within the plasma are lowered depending on the exact placement, while a double-sided coating is obtained. Furthermore, film properties, such as the film density, are highly dependent on the sample placement in the plasma, which can even be higher under floating conditions. With simple physical arguments we are able to show the relations between the deposition rate and the energy input into the plasma as well as between the energy density during film growth and the film density itself.

  4. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  5. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  6. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  7. Scaling of energy deposition in fast ignition targets

    International Nuclear Information System (INIS)

    Welch, Dale R.; Slutz, Stephen A.; Mehlhorn, Thomas Alan; Campbell, Robert B.

    2005-01-01

    We examine the scaling to ignition of the energy deposition of laser generated electrons in compressed fast ignition cores. Relevant cores have densities of several hundred g/cm 3 , with a few keV initial temperature. As the laser intensities increase approaching ignition systems, on the order of a few 10 21 W/cm 2 , the hot electron energies expected to approach 100MeV. Most certainly anomalous processes must play a role in the energy transfer, but the exact nature of these processes, as well as a practical way to model them, remain open issues. Traditional PIC explicit methods are limited to low densities on current and anticipated computing platforms, so the study of relevant parameter ranges has received so far little attention. We use LSP to examine a relativistic electron beam (presumed generated from a laser plasma interaction) of legislated energy and angular distribution is injected into a 3D block of compressed DT. Collective effects will determine the stopping, most likely driven by magnetic field filamentation. The scaling of the stopping as a function of block density and temperature, as well as hot electron current and laser intensity is presented. Sub-grid models may be profitably used and degenerate effects included in the solution of this problem.

  8. Floating harmonic probe measurements in the low-temperature plasma jet deposition system

    Czech Academy of Sciences Publication Activity Database

    Zanáška, M.; Hubička, Zdeněk; Čada, Martin; Kudrna, Pavel; Tichý, M.

    2018-01-01

    Roč. 51, č. 2 (2018), s. 1-8, č. článku 025205. ISSN 0022-3727 R&D Projects: GA ČR(CZ) GA15-00863S Institutional support: RVO:68378271 Keywords : plasma diagnostic * floating harmonic probe * Langmuir probe * hollow cathode * non-conducting film deposition Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics ) Impact factor: 2.588, year: 2016

  9. Effect of additional sample bias in Meshed Plasma Immersion Ion Deposition (MPIID) on microstructural, surface and mechanical properties of Si-DLC films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Mingzhong [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Tian, Xiubo, E-mail: xiubotian@163.com [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Li, Muqin [School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Gong, Chunzhi [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Wei, Ronghua [Southwest Research Institute, San Antonio, TX 78238 (United States)

    2016-07-15

    Highlights: • A novel Meshed Plasma Immersion Ion Deposition is proposed. • The deposited Si-DLC films possess denser structures and high deposition rate. • It is attributed to ion bombardment of the deposited films. • The ion energy can be independently controlled by an additional bias (novel set up). - Abstract: Meshed Plasma Immersion Ion Deposition (MPIID) using cage-like hollow cathode discharge is a modified process of conventional PIID, but it allows the deposition of thick diamond-like carbon (DLC) films (up to 50 μm) at a high deposition rate (up to 6.5 μm/h). To further improve the DLC film properties, a new approach to the MPIID process is proposed, in which the energy of ions incident to the sample surface can be independently controlled by an additional voltage applied between the samples and the metal meshed cage. In this study, the meshed cage was biased with a pulsed DC power supply at −1350 V peak voltage for the plasma generation, while the samples inside the cage were biased with a DC voltage from 0 V to −500 V with respect to the cage to study its effect. Si-DLC films were synthesized with a mixture of Ar, C{sub 2}H{sub 2} and tetramethylsilane (TMS). After the depositions, scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectrons spectroscopy (XPS), Raman spectroscopy and nanoindentation were used to study the morphology, surface roughness, chemical bonding and structure, and the surface hardness as well as the modulus of elasticity of the Si-DLC films. It was observed that the intense ion bombardment significantly densified the films, reduced the surface roughness, reduced the H and Si contents, and increased the nanohardness (H) and modulus of elasticity (E), whereas the deposition rate decreased slightly. Using the H and E data, high values of H{sup 3}/E{sup 2} and H/E were obtained on the biased films, indicating the potential excellent mechanical and tribological properties of the films. In this

  10. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  11. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described of providing electron beam heating of a high-density plasma to drive a fast liner to implode a structured microsphere. An annular relativistic electron beam is used to heat an annular plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the annular plasma then converges on a fast liner to explosively or ablatively drive the liner to convergence to implode the structured microsphere. (U.K.)

  12. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  13. Hydrogen retention properties of co-deposition under high-density plasmas in TRIAM-1M

    International Nuclear Information System (INIS)

    Tokitani, M.; Miyamoto, M.; Tokunaga, K.; Fujiwara, T.; Yoshida, N.; Sakamoto, M.; Zushi, H.; Hanada, K.; TRIAM Group,; Nagata, S.; Tsuchiya, B.

    2007-01-01

    Retention of hydrogen in co-deposits formed under high-density plasma discharge in TRIAM-1M was studied. In order to quantify the retained hydrogen, material probe experiments were performed under the high-density (n at e ∼10 19 m -3 ) discharges. After the exposure to the plasma, the quantitative analysis of deposition, hydrogen retention, and microscopic modification of specimens were performed by means of ion beam analysis and transmission electron microscopy. The co-deposits mainly consisted of Mo. The deposition rate of Mo was about ten times higher than that of the low-density discharge case. The hydrogen concentrations (H/Mo) retained in the co-deposits were 0.06-0.17, which was much higher than that in bulk-Mo and almost equal to the low-density case. These results indicate that as long as the co-deposition layers are continuously formed, strong wall pumping in TRIAM-1M is maintained during the discharges

  14. Induction plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Bae, K. K.; Lee, J. W.; Kim, T. K.; Yang, M. S.

    1998-01-01

    A study on induction plasma deposition with ceramic materials, yttria-stabilized-zirconia ZrO 2 -Y 2 O 3 (m.p. 2640 degree C), was conducted with a view of developing a new method for nuclear fuel fabrication. Before making dense pellets of more than 96%T.D., the spraying condition was optimized through the process parameters, such as chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position, particle size and powders of different morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed a 97.11% theoretical density when the sheath gas flow rate was Ar/H 2 120/20 l/min, probe position 8cm, particle size -75 μm and spraying distance 22cm by AMDRY146 powder. The degree of influence of the main effects on density were powder morphology, particle size, sheath gas composition, plate power and spraying distance, in that order. Among the two parameter interactions, the sheath gas composition and chamber pressure affects density greatly. By using the multi-pellets mold of wheel type, the pellet density did not exceed 94%T.D., owing to the spraying angle

  15. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  16. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  17. Coaxial carbon plasma gun deposition of amorphous carbon films

    Science.gov (United States)

    Sater, D. M.; Gulino, D. A.; Rutledge, S. K.

    1984-01-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented.

  18. Coaxial carbon plasma gun deposition of amorphous carbon films

    International Nuclear Information System (INIS)

    Sater, D.M.; Gulino, D.A.

    1984-03-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented

  19. BIRTH: a beam deposition code for non-circular tokamak plasmas

    International Nuclear Information System (INIS)

    Otsuka, Michio; Nagami, Masayuki; Matsuda, Toshiaki

    1982-09-01

    A new beam deposition code has been developed which is capable of calculating fast ion deposition profiles including the orbit correction. The code incorporates any injection geometry and a non-circular cross section plasma with a variable elongation and an outward shift of the magnetic flux surface. Typical cpu time on a DEC-10 computer is 10 - 20 seconds and 5 - 10 seconds with and without the orbit correction, respectively. This is shorter by an order of magnitude than that of other codes, e.g., Monte Carlo codes. The power deposition profile calculated by this code is in good agreement with that calculated by a Monte Carlo code. (author)

  20. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  1. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  2. GORGON - a computer code for the calculation of energy deposition and the slowing down of ions in cold materials and hot dense plasmas

    International Nuclear Information System (INIS)

    Long, K.A.; Moritz, N.; Tahir, N.A.

    1983-11-01

    The computer code GORGON, which calculates the energy deposition and slowing down of ions in cold materials and hot plasmas is described, and analyzed in this report. This code is in a state of continuous development but an intermediate stage has been reached where it is considered useful to document the 'state of the art' at the present time. The GORGON code is an improved version of a code developed by Zinamon et al. as part of a more complex program system for studying the hydrodynamic motion of plane metal targets irradiated by intense beams of protons. The improvements made in the code were necessary to improve its usefulness for problems related to the design and burn of heavy ion beam driven inertial confinement fusion targets. (orig./GG) [de

  3. Experimental investigation on the energy deposition and morphology of the electrical explosion of copper wire in vacuum

    International Nuclear Information System (INIS)

    Shi, Zongqian; Shi, Yuanjie; Wang, Kun; Jia, Shenli

    2016-01-01

    This paper presents the experimental results of the electrical explosion of copper wires in vacuum using negative nanosecond-pulsed current with magnitude of 1–2 kA. The 20 μm-diameter copper wires with different lengths are exploded with three different current rates. A laser probe is applied to construct the shadowgraphy and interferometry diagnostics to investigate the distribution and morphology of the exploding product. The interference phase shift is reconstructed from the interferogram, by which the atomic density distribution is calculated. Experimental results show that there exist two voltage breakdown modes depending on the amount of the specific energy deposition. For the strong-shunting mode, shunting breakdown occurs, leading to the short-circuit-like current waveform. For the weak-shunting mode with less specific energy deposition, the plasma generated during the voltage breakdown is not enough to form a conductive plasma channel, resulting in overdamped declining current waveform. The influence of the wire length and current rate on the characteristics of the exploding wires is also analyzed.

  4. Experimental investigation on the energy deposition and morphology of the electrical explosion of copper wire in vacuum

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Zongqian; Shi, Yuanjie; Wang, Kun; Jia, Shenli [State Key Laboratory of Electrical Insulation and Power Equipment, Xi' an Jiaotong University, Shanxi 710049 (China)

    2016-03-15

    This paper presents the experimental results of the electrical explosion of copper wires in vacuum using negative nanosecond-pulsed current with magnitude of 1–2 kA. The 20 μm-diameter copper wires with different lengths are exploded with three different current rates. A laser probe is applied to construct the shadowgraphy and interferometry diagnostics to investigate the distribution and morphology of the exploding product. The interference phase shift is reconstructed from the interferogram, by which the atomic density distribution is calculated. Experimental results show that there exist two voltage breakdown modes depending on the amount of the specific energy deposition. For the strong-shunting mode, shunting breakdown occurs, leading to the short-circuit-like current waveform. For the weak-shunting mode with less specific energy deposition, the plasma generated during the voltage breakdown is not enough to form a conductive plasma channel, resulting in overdamped declining current waveform. The influence of the wire length and current rate on the characteristics of the exploding wires is also analyzed.

  5. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  6. Laser ablation and deposition of wide bandgap semiconductors: plasma and nanostructure of deposits diagnosis

    Science.gov (United States)

    Sanz, M.; López-Arias, M.; Rebollar, E.; de Nalda, R.; Castillejo, M.

    2011-12-01

    Nanostructured CdS and ZnS films on Si (100) substrates were obtained by nanosecond pulsed laser deposition at the wavelengths of 266 and 532 nm. The effect of laser irradiation wavelength on the surface structure and crystallinity of deposits was characterized, together with the composition, expansion dynamics and thermodynamic parameters of the ablation plume. Deposits were analyzed by environmental scanning electron microscopy, atomic force microscopy and X-ray diffraction, while in situ monitoring of the plume was carried out with spectral, temporal and spatial resolution by optical emission spectroscopy. The deposits consist of 25-50 nm nanoparticle assembled films but ablation in the visible results in larger aggregates (150 nm) over imposed on the film surface. The aggregate free films grown at 266 nm on heated substrates are thicker than those grown at room temperature and in the former case they reveal a crystalline structure congruent with that of the initial target material. The observed trends are discussed in reference to the light absorption step, the plasma composition and the nucleation processes occurring on the substrate.

  7. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  8. Modification of optical and electrical properties of chemical bath deposited SnS using O{sub 2} plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gómez, A. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Martínez, H., E-mail: hm@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico); Calixto-Rodríguez, M. [Centro de Investigación en Energía, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Avellaneda, D. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, México (Mexico); Reyes, P.G. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Flores, O. [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico)

    2013-06-15

    In this paper, we report modifications of structural and optical, electrical properties that occur in tin sulphide (SnS) treated in O{sub 2} plasma. The SnS thin films were deposited by chemical bath deposition technique. The samples were treated in an O{sub 2} plasma discharge at 3 Torr of pressure discharge, a discharge voltage of 2.5 kV and 20 mA of discharge current. The prepared and treated thin films were characterized by X-ray diffraction, scanning electron microscopy and energy dispersive X-ray analysis. The photoconductivity and electrical effects of SnS have been studied. The SnS thin films had an orthorhombic crystalline structure. With the plasma treatment the optical gap and electrical properties of the SnS films changed from 1.61 to 1.84 eV, for 3.9 × 10{sup 5} to 10.42 Ω cm, respectively. These changes can be attributed to an increase in electron density, percolation effects due to porosity, surface degradation/etching that is an increase in surface roughness, where some structural changes related to crystallinity occurs like a high grain size as revealed by SEM images.

  9. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  10. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  11. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  12. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  13. Atmospheric pulsed laser deposition of plasmonic nanoparticle films of silver with flowing gas and flowing atmospheric plasma

    Science.gov (United States)

    Khan, T. M.; Pokle, A.; Lunney, J. G.

    2018-04-01

    Two methods of atmospheric pulsed laser deposition of plasmonic nanoparticle films of silver are described. In both methods the ablation plume, produced by a 248 nm, 20 ns excimer laser in gas, is strongly confined near the target and forms a nanoparticle aerosol. For both the flowing gas, and the atmospheric plasma from a dielectric barrier discharge plasma source, the aerosol is entrained in the flow and carried to a substrate for deposition. The nanoparticle films produced by both methods were examined by electron microscopy and optical absorption spectroscopy. With plasma assistance, the deposition rate was significantly enhanced and the film morphology altered. With argon gas, isolated nanoparticles of 20 nm size were obtained, whereas in argon plasma, the nanoparticles are aggregated in clusters of 90 nm size. Helium gas also leads to the deposition of isolated nanoparticles, but with helium plasma, two populations of nanoparticles are observed: one of rounded particles with a mean size of 26 nm and the other of faceted particles with a mean size 165 nm.

  14. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  15. Research on high energy density plasmas and applications

    International Nuclear Information System (INIS)

    1999-01-01

    Recently, technologies on lasers, accelerators, and pulse power machines have been significantly advanced and input power density covers the intensity range from 10 10 W/cm 2 to higher than 10 20 W/cm 2 . As the results, high pressure gas and solid targets can be heated up to very high temperature to create hot dense plasmas which have never appeared on the earth. The high energy density plasmas opened up new research fields such as inertial confinement fusion, high brightness X-ray radiation sources, interiors of galactic nucleus,supernova, stars and planets, ultra high pressure condensed matter physics, plasma particle accelerator, X-ray laser, and so on. Furthermore, since these fields are intimately connected with various industrial sciences and technologies, the high energy density plasma is now studied in industries, government institutions, and so on. This special issue of the Journal of Plasma Physics and Nuclear Fusion Research reviews the high energy density plasma science for the comprehensive understanding of such new fields. In May, 1998, the review committee for investigating the present status and the future prospects of high energy density plasma science was established in the Japan Society of Plasma Science and Nuclear Fusion Research. We held three committee meetings to discuss present status and critical issues of research items related to high energy density plasmas. This special issue summarizes the understandings of the committee. This special issue consists of four chapters: They are Chapter 1: Physics important in the high energy density plasmas, Chapter 2: Technologies related to the plasma generation; drivers such as lasers, pulse power machines, particle beams and fabrication of various targets, Chapter 3: Plasma diagnostics important in high energy density plasma experiments, Chapter 4: A variety of applications of high energy density plasmas; X-ray radiation, particle acceleration, inertial confinement fusion, laboratory astrophysics

  16. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  17. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde

    International Nuclear Information System (INIS)

    Magni, D.

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO 2 film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO 2 were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH x (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH 4 ) and acetylene (C 2 H 2 ), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH x , such as formaldehyde (CH 2 O), formic acid (CH 2 O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ) and water. Moreover it is shown that the CO 2 results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO 2 were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous phase. Particle formation in oxygen-diluted HMDSO

  18. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  19. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  20. Monte Carlo simulation of energy deposition by low-energy electrons in molecular hydrogen

    Science.gov (United States)

    Heaps, M. G.; Furman, D. R.; Green, A. E. S.

    1975-01-01

    A set of detailed atomic cross sections has been used to obtain the spatial deposition of energy by 1-20-eV electrons in molecular hydrogen by a Monte Carlo simulation of the actual trajectories. The energy deposition curve (energy per distance traversed) is quite peaked in the forward direction about the entry point for electrons with energies above the threshold of the electronic states, but the peak decreases and broadens noticeably as the electron energy decreases below 10 eV (threshold for the lowest excitable electronic state of H2). The curve also assumes a very symmetrical shape for energies below 10 eV, indicating the increasing importance of elastic collisions in determining the shape of the curve, although not the mode of energy deposition.

  1. Enhanced energy deposition symmetry by hot electron transport

    International Nuclear Information System (INIS)

    Wilson, D.; Mack, J.; Stover, E.; VanHulsteyn, D.; McCall, G.; Hauer, A.

    1981-01-01

    High energy electrons produced by resonance absorption carry the CO 2 laser energy absorbed in a laser fusion pellet. The symmetrization that can be achieved by lateral transport of the hot electrons as they deposit their energy is discussed. A K/sub α/ experiment shows a surprising symmetrization of energy deposition achieved by adding a thin layer of plastic to a copper sphere. Efforts to numerically model this effect are described

  2. Corrosion properties of plasma deposited nickel and nickel-based alloys

    Czech Academy of Sciences Publication Activity Database

    Voleník, Karel; Pražák, M.; Kalabisová, E.; Kreislová, K.; Had, J.; Neufuss, Karel

    2003-01-01

    Roč. 48, č. 3 (2003), s. 215-226 ISSN 0001-7043 R&D Projects: GA ČR GA106/99/0298 Institutional research plan: CEZ:AV0Z2043910 Keywords : plasma deposits, nickel, nickel-based alloys Subject RIV: JK - Corrosion ; Surface Treatment of Materials

  3. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  6. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  7. Simulation study of depositing the carbon film on nanoparticles in the magnetized methane plasma

    Science.gov (United States)

    Mohammadzadeh, Hosein; Pourali, Nima; Ebadi, Zahra

    2018-03-01

    Plasma coating of nanoparticles in low-temperature magnetized methane plasma is studied by a simulation approach. To this end, by using the global model, the electron temperature and concentration of different species considered in this plasma are determined in the center of a capacitively coupled discharge. Then, the plasma-wall transition region in the presence of an oblique magnetic field is simulated by the multi-component fluid description. Nanoparticles with different radii are injected into the transition region and surface deposition and heating models, as well as dynamics and charging models, are employed to examine the coating process. The results of the simulation show that the non-spherical growth of nanoparticles is affected by the presence of the magnetic field, as with passing time, an oscillating increase is seen in the thickness of the film deposited on nanoparticles. Also, it is shown that the uniformity of the deposited film is dependent on the rotation velocity of nanoparticles. Generally, the obtained results imply that the sphericity of nanoparticles and uniformity of the film coated on them are controllable by the magnitude and orientation of the magnetic field.

  8. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  9. Thermal energy storage in granular deposits

    Science.gov (United States)

    Ratuszny, Paweł

    2017-10-01

    Energy storage technology is crucial for the development of the use of renewable energy sources. This is a substantial constraint, however it can, to some extent, be solved by storing energy in its various forms: electrical, mechanical, chemical and thermal. This article presents the results of research in thermal properties of granular deposits. Correlation between temperature changes in the stores over a period of time and their physical properties has been studied. The results of the research have practical application in designing thermal stores based on bulk materials and ground deposits. Furthermore, the research results are significant for regeneration of the lower ground sources for heat pumps and provide data for designing ground heat exchangers for ventilation systems.

  10. Metastable phases in yttrium oxide plasma spray deposits and their effect on coating properties

    International Nuclear Information System (INIS)

    Gourlaouen, V.; Schnedecker, G.; Boncoeur, M.; Lejus, A.M.; Collongues, R.

    1993-01-01

    Yttrium oxide coatings were obtained by plasma spray. Structural investigations on these deposits show that, due to the drastic conditions of this technique, a minor monoclinic B phase is formed in the neighborhood of the major cubic C form. The authors discuss here the influence of different plasma spray parameters on the amount of the B phase formed. They describe also the main properties of Y 2 O 3 B and C phases in these deposits such as structural characteristics, thermal stability and mechanical behavior

  11. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  12. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  13. Properties of deposited layer formed by interaction with Be seeded D–He mixture plasma and tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Tokunaga, K., E-mail: tokunaga@riam.kyushu-u.ac.jp [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Baldwin, M.J.; Nishijima, D.; Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nagata, S. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Tsuchiya, B. [Department of General Education, Faculty of Science and Technology, Meiji University, 1-501 Shiogamaguchi, Tempaku-ku, Nagoya, 468-8502 (Japan); Kurishita, H. [International Research Center for Nuclear Materials Science, IMR, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Fujiwara, T.; Araki, K.; Miyamoto, Y. [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Ohno, N. [School of Engineering, Nagoya University, Nagoya 464-8603 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan)

    2013-11-15

    Be-seeded, high-flux, deuterium/helium mixture plasma exposure experiments on tungsten target materials have been performed to simulate ITER all tungsten divertor erosion/modification and deposition phenomena. The exposure conditions are kept fixed at a typical low-ion-energy of 60 eV and a flux of 3–6 × 10{sup 22}/m{sup 2}/s. Sample temperature is 1123 K and plasma exposure times spanning 1050–10,100 s are explored. The typical ratio of He/D ions is 0.2 and Be content is 0.2%. A He-induced nanostructure layer is formed on the exposure surfaces of tungsten materials and the surface of the nanostructure is covered by a thin layer of Be and O. A fraction of the re-eroded Be from the target is deposited on a glassy carbon plate with line of sight to the tungsten target. Rutherford backscattering spectrometry analyses show that the Be redeposit layer is in the form of laminae. Small amounts of Mo, W and C are also found in the redeposited Be layer. Elastic recoil detection analyses show that D, He and H are also included in the redeposited Be layer.

  14. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  15. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  16. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  17. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  18. Time-resolved measurements of highly-polymerised negative ions in rf silane plasma deposition experiments

    International Nuclear Information System (INIS)

    Howling, A.A.; Sansonnens, L.; Dorier, J.L.; Hollenstein, C.

    1993-07-01

    The time-resolved fluxes of negative polysilicon hydride ions from a power-modulated rf silane plasma have been measured by quadrupole mass spectrometry and modeled using a simple polymerisation scheme. Experiments were performed with plasma parameters suitable for high-quality amorphous silicon deposition. Polysilicon hydride anions diffuse from the plasma with low energy (approximately 0.5 eV) during the afterglow after the electron density has decayed and the sheath fields have collapsed. The mass-dependence of the temporal behavior of the anion loss flux demonstrates that the plasma composition is influenced by the modulation frequency. The negative species attain much higher masses than the positive or neutral species, and anions containing as many as sixteen silicon atoms have been observed, corresponding to the 500 amu limit of the mass spectrometer. This suggests that negative ions could be the precursors to particle formation. Ion-molecule and ion-ion reactions are discussed and a simple negative ion polymerisation scheme is proposed which qualitatively reproduces the experimental results. The model shows that the densities of high mass negative ions in the plasma are strongly reduced by modulation frequencies near 1 kHz. Each plasma period is then too short for the polymerisation chain to propagate to high masses before the elementary anions are lost in each subsequent afterglow period. This explains why modulation of the rf power can reduce particle contamination. We conclude that, for the case of silane rf plasmas, the initiation steps which ultimately lead to particle contamination proceed by negative ion polymerisation. (author) 15 figs., 72 refs

  19. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  20. Deposition and surface characterization of nanoparticles of zinc oxide using dense plasma focus device in nitrogen atmosphere

    International Nuclear Information System (INIS)

    Malhotra, Yashi; Srivastava, M P; Roy, Savita

    2010-01-01

    Nanoparticles of zinc oxide from zinc oxide pellets in the nitrogen plasma atmosphere are deposited on n and p type silicon substrates using Dense Plasma Focus device. The hot and dense nitrogen plasma formed during the focus phase ionizes the ZnO pellet, which then move upward in a fountain like shape and gets deposited on substrates which are placed above the top of the anode. Structural and surface properties of the deposited ZnO are investigated using X-ray diffraction and Atomic force microscope (AFM). X-ray spectra shows the diffraction plane (002) of ZnO nanoparticles deposited on Si with few shots in nitrogen atmosphere. AFM investigations revealed that there are nanoparticles of size between 15-80 nm on n-Si and p-Si substrates. The deposition on n-type Si is better than the p-type Si can be seen from AFM images, this may be due to different orientation of silicon.

  1. Ionizing Energy Depositions After Fast Neutron Interactions in Silicon

    CERN Document Server

    Bergmann, Benedikt; Caicedo, Ivan; Kierstead, James; Takai, Helio; Frojdh, Erik

    2016-01-01

    In this study we present the ionizing energy depositions in a 300 μm thick silicon layer after fast neutron impact. With the Time-of-Flight (ToF) technique, the ionizing energy deposition spectra of recoil silicons and secondary charged particles were assigned to (quasi-)monoenergetic neutron energies in the range from 180 keV to hundreds of MeV. We show and interpret representative measured energy spectra. By separating the ionizing energy losses of the recoil silicon from energy depositions by products of nuclear reactions, the competition of ionizing (IEL) and non-ionizing energy losses (NIEL) of a recoil silicon within the silicon lattice was investigated. The data give supplementary information to the results of a previous measurement and are compared with different theoretical predictions.

  2. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Surface characterization of hydrophobic thin films deposited by inductively coupled and pulsed plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Lee, Ji-Hye; Kim, Kang-Jin; Lee, Yeonhee

    2009-01-01

    Different fluorocarbon thin films were deposited on Si substrates using a plasma-polymerization method. Fluorine-containing hydrophobic thin films were obtained by inductively coupled plasma (ICP) and pulsed plasma (PP) with a mixture of fluorocarbon precursors C 2 F 6 , C 3 F 8 , and c-C 4 F 8 and the unsaturated hydrocarbons of C 2 H 2 . The influence on the fluorocarbon surfaces of the process parameters for plasma polymerization, including the gas ratio and the plasma power, were investigated under two plasma-polymerized techniques with different fluorocarbon gas precursors. The hydrophobic properties, surface morphologies, and chemical compositions were elucidated using water contact angle measurements, field emission-scanning electron microscope, x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR), and time-of-flight secondary ion mass spectrometry (TOF-SIMS). In this study, the ICP technique provides coarser grained films and more hydrophobic surfaces as well as a higher deposition rate compared to the PP technique. XPS, FT-IR, and TOF-SIMS analyses indicated that the ICP technique produced more fluorine-related functional groups, including CF 2 and CF 3 , on the surface. From the curve-fitted XPS results, fluorocarbon films grown under ICP technique exhibited less degree of cross-linking and higher CF 2 concentrations than those grown under PP technique.

  5. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  6. Comparison study of biomimetic strontium-doped calcium phosphate coatings by electrochemical deposition and air plasma spray: morphology, composition and bioactive performance.

    Science.gov (United States)

    Li, Ling; Lu, Xia; Meng, Yizhi; Weyant, Christopher M

    2012-10-01

    In this study, strontium-doped calcium phosphate coatings were deposited by electrochemical deposition and plasma spray under different process parameters to achieve various coating morphologies. The coating composition was investigated by energy dispersive X-ray spectroscopy and X-ray diffraction. The surface morphologies of the coatings were studied through scanning electron microscopy while the cytocompatibility and bioactivity of the strontium-doped calcium phosphate coatings were evaluated using bone cell culture using MC3T3-E1 osteoblast-like cells. The addition of strontium leads to enhanced proliferation suggesting the possible benefits of strontium incorporation in calcium phosphate coatings. The morphology and composition of deposited coatings showed a strong influence on the growth of cells.

  7. Vacuum arc plasma generation and thin film deposition from a TiB{sub 2} cathode

    Energy Technology Data Exchange (ETDEWEB)

    Zhirkov, Igor, E-mail: igozh@ifm.liu.se; Petruhins, Andrejs; Naslund, Lars-Ake; Rosen, Johanna [Thin Film Physics Division, Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden); Kolozsvári, Szilard; Polcik, Peter [PLANSEE Composite Materials GmbH, Siebenbürgerstraße 23, 86983 Lechbruck am See (Germany)

    2015-11-02

    We have studied the utilization of TiB{sub 2} cathodes for thin film deposition in a DC vacuum arc system. We present a route for attaining a stable, reproducible, and fully ionized plasma flux of Ti and B by removal of the external magnetic field, which leads to dissipation of the vacuum arc discharge and an increased active surface area of the cathode. Applying a magnetic field resulted in instability and cracking, consistent with the previous reports. Plasma analysis shows average energies of 115 and 26 eV, average ion charge states of 2.1 and 1.1 for Ti and B, respectively, and a plasma ion composition of approximately 50% Ti and 50% B. This is consistent with measured resulting film composition from X-ray photoelectron spectroscopy, suggesting a negligible contribution of neutrals and macroparticles to the film growth. Also, despite the observations of macroparticle generation, the film surface is very smooth. These results are of importance for the utilization of cathodic arc as a method for synthesis of metal borides.

  8. Development of high energy pulsed plasma simulator for plasma-lithium trench experiment

    Science.gov (United States)

    Jung, Soonwook

    To simulate detrimental events in a tokamak and provide a test-stand for a liquid lithium infused trench (LiMIT) device, a pulsed plasma source utilizing a theta pinch in conjunction with a coaxial plasma accelerator has been developed. An overall objective of the project is to develop a compact device that can produce 100 MW/m2 to 1 GW/m2 of plasma heat flux (a typical heat flux level in a major fusion device) in ~ 100 mus (≤ 0.1 MJ/m2) for a liquid lithium plasma facing component research. The existing theta pinch device, DEVeX, was built and operated for study on lithium vapor shielding effect. However, a typical plasma energy of 3 - 4 kJ/m2 is too low to study an interaction of plasma and plasma facing components in fusion devices. No or little preionized plasma, ringing of magnetic field, collisions of high energy particles with background gas have been reported as the main issues. Therefore, DEVeX is reconfigured to mitigate these issues. The new device is mainly composed of a plasma gun for a preionization source, a theta pinch for heating, and guiding magnets for a better plasma transportation. Each component will be driven by capacitor banks and controlled by high voltage / current switches. Several diagnostics including triple Langmuir probe, calorimeter, optical emission measurement, Rogowski coil, flux loop, and fast ionization gauge are used to characterize the new device. A coaxial plasma gun is manufactured and installed in the previous theta pinch chamber. The plasma gun is equipped with 500 uF capacitor and a gas puff valve. The increase of the plasma velocity with the plasma gun capacitor voltage is consistent with the theoretical predictions and the velocity is located between the snowplow model and the weak - coupling limit. Plasma energies measured with the calorimeter ranges from 0.02 - 0.065 MJ/m2 and increases with the voltage at the capacitor bank. A cross-check between the plasma energy measured with the calorimeter and the triple probe

  9. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  10. A global plasma model for reactive deposition of compound films by modulated pulsed power magnetron sputtering discharges

    Science.gov (United States)

    Zheng, B. C.; Wu, Z. L.; Wu, B.; Li, Y. G.; Lei, M. K.

    2017-05-01

    A spatially averaged, time-dependent global plasma model has been developed to describe the reactive deposition of a TiAlSiN thin film by modulated pulsed power magnetron sputtering (MPPMS) discharges in Ar/N2 mixture gas, based on the particle balance and the energy balance in the ionization region, and considering the formation and erosion of the compound at the target surface. The modeling results show that, with increasing the N2 partial pressure from 0% to 40% at a constant working pressure of 0.3 Pa, the electron temperature during the strongly ionized period increases from 4 to 7 eV and the effective power transfer coefficient, which represents the power fraction that effectively heats the electrons and maintains the discharge, increases from about 4% to 7%; with increasing the working pressure from 0.1 to 0.7 Pa at a constant N2 partial pressure of 25%, the electron temperature decreases from 10 to 4 eV and the effective power transfer coefficient decreases from 8% to 5%. Using the modeled plasma parameters to evaluate the kinetic energy of arriving ions, the ion-to-neutral flux ratio of deposited species, and the substrate heating, the variations of process parameters that increase these values lead to an enhanced adatom mobility at the target surface and an increased input energy to the substrate, corresponding to the experimental observation of surface roughness reduction, the microstructure transition from the columnar structure to the dense featureless structure, and the enhancement of phase separation. At higher N2 partial pressure or lower working pressure, the modeling results demonstrate an increase in electron temperature, which shifts the discharge balance of Ti species from Ti+ to Ti2+ and results in a higher return fraction of Ti species, corresponding to the higher Al/Ti ratio of deposited films at these conditions. The modeling results are well correlated with the experimental observation of the composition variation and the microstructure

  11. Effect of Energy Input on the Characteristic of AISI H13 and D2 Tool Steels Deposited by a Directed Energy Deposition Process

    Science.gov (United States)

    Park, Jun Seok; Park, Joo Hyun; Lee, Min-Gyu; Sung, Ji Hyun; Cha, Kyoung Je; Kim, Da Hye

    2016-05-01

    Among the many additive manufacturing technologies, the directed energy deposition (DED) process has attracted significant attention because of the application of metal products. Metal deposited by the DED process has different properties than wrought metal because of the rapid solidification rate, the high thermal gradient between the deposited metal and substrate, etc. Additionally, many operating parameters, such as laser power, beam diameter, traverse speed, and powder mass flow rate, must be considered since the characteristics of the deposited metal are affected by the operating parameters. In the present study, the effect of energy input on the characteristics of H13 and D2 steels deposited by a direct metal tooling process based on the DED process was investigated. In particular, we report that the hardness of the deposited H13 and D2 steels decreased with increasing energy input, which we discuss by considering microstructural observations and thermodynamics.

  12. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    Science.gov (United States)

    Huang, Yanwei; Zhang, Qun; Xi, Junhua; Ji, Zhenguo

    2012-07-01

    Transparent p-type Li0.25Ni0.75O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li0.25Ni0.75O/n-SnO2:W was fabricated by depositing n-SnO2:W on top of the p-Li0.25Ni0.75O, which exhibits typical rectifying current-voltage characteristics.

  13. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    International Nuclear Information System (INIS)

    Huang Yanwei; Zhang Qun; Xi Junhua; Ji Zhenguo

    2012-01-01

    Transparent p-type Li 0.25 Ni 0.75 O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li 0.25 Ni 0.75 O/n-SnO 2 :W was fabricated by depositing n-SnO 2 :W on top of the p-Li 0.25 Ni 0.75 O, which exhibits typical rectifying current-voltage characteristics.

  14. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  15. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  16. Development of solid oxide fuel cells by applying DC and RF plasma deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Schiller, G.; Henne, R.; Lang, M.; Mueller, M. [Deutsches Zentrum fuer Luft- und Raumfahrt (DLR), Institut fuer Technische Thermodynamik, Postfach 800370, 70503 Stuttgart (Germany)

    2004-04-01

    Based on advanced plasma deposition technology with both DC and RF plasmas DLR Stuttgart has developed a concept of a planar SOFC with consecutive deposition of all layers of a thin-film cell onto a porous metallic substrate support. This concept is an alternative approach to conventionally used sintering techniques for SOFC fabrication without needing any sintering steps or other thermal post-treatment. Furthermore, is has the potential to be developed into an automated continous production process. For both stationary and mobile applications, adequate stack designs and stack technologies have been developed. Future development work will focus on light-weight stacks to be applied as an Auxillary Power Unit (APU) for on-board electricity supply in passenger cars and airplanes. This paper describes the plasma deposition technologies used for cell fabrication and the DLR spray concept including the resulting stack designs. The current status of development and recent progress with respect to materials development and electrochemical characterization of single cells and short-stacks is presented. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  17. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  18. Low energy plasma observations at synchronous orbit

    International Nuclear Information System (INIS)

    Reasoner, D.L.; Lennartsson, W.

    1977-08-01

    The University of California at San Diego Auroral Particles Experiment on the ATS-6 Satellite in synchronous orbit has detected a low-energy plasma population which is separate and distinct from both the ring current and plasma sheet populations. These observations suggest that this plasma is the outer zone of the plasmasphere. During magnetically active periods, this low energy plasma is often observed flowing sunward. In the dusk sector, enhanced plasma flow is often observed for 1-2 hours prior to the onset of a substorm-associated particle injection. (author)

  19. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Part I: Theory and description of model capabilities

    Science.gov (United States)

    Raffray, A. René; Federici, Gianfranco

    1997-04-01

    RACLETTE (Rate Analysis Code for pLasma Energy Transfer Transient Evaluation), a comprehensive but relatively simple and versatile model, was developed to help in the design analysis of plasma facing components (PFCs) under 'slow' high power transients, such as those associated with plasma vertical displacement events. The model includes all the key surface heat transfer processes such as evaporation, melting, and radiation, and their interaction with the PFC block thermal response and the coolant behaviour. This paper represents part I of two sister and complementary papers. It covers the model description, calibration and validation, and presents a number of parametric analyses shedding light on and identifying trends in the PFC armour block response to high plasma energy deposition transients. Parameters investigated include the plasma energy density and deposition time, the armour thickness and the presence of vapour shielding effects. Part II of the paper focuses on specific design analyses of ITER plasma facing components (divertor, limiter, primary first wall and baffle), including improvements in the thermal-hydraulic modeling required for better understanding the consequences of high energy deposition transients in particular for the ITER limiter case.

  20. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Pt. I. Theory and description of model capabilities

    International Nuclear Information System (INIS)

    Raffray, A.R.; Federici, G.

    1997-01-01

    For pt.II see ibid., p.101-30, 1997. RACLETTE (Rate Analysis Code for pLasma Energy Transfer Transient Evaluation), a comprehensive but relatively simple and versatile model, was developed to help in the design analysis of plasma facing components (PFCs) under 'slow' high power transients, such as those associated with plasma vertical displacement events. The model includes all the key surface heat transfer processes such as evaporation, melting, and radiation, and their interaction with the PFC block thermal response and the coolant behaviour. This paper represents part I of two sister and complementary papers. It covers the model description, calibration and validation, and presents a number of parametric analyses shedding light on and identifying trends in the PFC armour block response to high plasma energy deposition transients. Parameters investigated include the plasma energy density and deposition time, the armour thickness and the presence of vapour shielding effects. Part II of the paper focuses on specific design analyses of ITER plasma facing components (divertor, limiter, primary first wall and baffle), including improvements in the thermal-hydraulic modeling required for better understanding the consequences of high energy deposition transients in particular for the ITER limiter case. (orig.)

  1. Streaming metal plasma generation by vacuum arc plasma guns

    International Nuclear Information System (INIS)

    MacGill, R.A.; Dickinson, M.R.; Anders, A.; Monteiro, O.R.; Brown, I.G.

    1998-01-01

    We have developed several different embodiments of repetitively pulsed vacuum arc metal plasma gun, including miniature versions, multicathode versions that can produce up to 18 different metal plasma species between which one can switch, and a compact high-duty cycle well-cooled version, as well as a larger dc gun. Plasma guns of this kind can be incorporated into a vacuum arc ion source for the production of high-energy metal ion beams, or used as a plasma source for thin film formation and for metal plasma immersion ion implantation and deposition. The source can also be viewed as a low-energy metal ion source with ion drift velocity in the range 20 - 200 eV depending on the metal species used. Here we describe the plasma sources that we have developed, the properties of the plasma generated, and summarize their performance and limitations. copyright 1998 American Institute of Physics

  2. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  3. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  4. Improvement of ZnO TCO film growth for photovoltaic devices by reactive plasma deposition (RPD)

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Shirakata, S.; Matsubara, K.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2005-01-01

    Reactive plasma deposition (RPD) is a technique for depositing a thin film on a substrate using a pressure-slope type plasma ion gun. This method offers the advantage of low-ion damage, low deposition temperature, large area deposition and high growth rates. Ga-doped zinc oxide (ZnO) thin film was grown on a moving glass substrate by RPD. Evaporation of very small quantity of tungsten from anode electrode by plasma collision lets the resistivity of grown ZnO transparent conductive oxide (TCO) film to increase. However, no reduction of carrier concentration was observed but only reduction of carrier mobility. It indicates that reduction of evaporation of tungsten from anode electrode induces increase of carrier mobility without any increase of carrier concentration. After installation of an anode cooling system in order to avoid the tungsten evaporation, increase of the mobility (37 cm 2 /Vsec) was observed and the lowest resistivity (2.0x10 -4 no. OMEGAno. cm) film was obtained from large size grown ZnO TCO of 200x200 mm at low growth temperature of 200 deg. C with high growth rate of 24 no. muno. m/h

  5. Deposition of Composite LSCF-SDC and SSC-SDC Cathodes by Axial-Injection Plasma Spraying

    Science.gov (United States)

    Harris, Jeffrey; Qureshi, Musab; Kesler, Olivera

    2012-06-01

    The performance of solid oxide fuel cell cathodes can be improved by increasing the number of electrochemical reaction sites, by controlling microstructures, or by using composite materials that consist of an ionic conductor and a mixed ionic and electronic conductor. LSCF (La0.6Sr0.4Co0.2Fe0.8O3-δ) and SSC (Sm0.5Sr0.5CoO3) cathodes were manufactured by axial-injection atmospheric plasma spraying, and composite cathodes were fabricated by mixing SDC (Ce0.8Sm0.2O1.9) into the feedstock powders. The plasma power was varied by changing the proportion of nitrogen in the plasma gas. The microstructures of cathodes produced with different plasma powers were characterized by scanning electron microscopy and gas permeation measurements. The deposition efficiencies of these cathodes were calculated based on the mass of the sprayed cathode. Particle surface temperatures were measured in-flight to enhance understanding of the relationship between spray parameters, microstructure, and deposition efficiency.

  6. Comparison of cryogenic (hydrogen) and TESPEL (polystyrene) pellet particle deposition in a magnetically confined plasma

    Science.gov (United States)

    McCarthy, K. J.; Tamura, N.; Combs, S. K.; Panadero, N.; Ascabíbar, E.; Estrada, T.; García, R.; Hernández Sánchez, J.; López Fraguas, A.; Navarro, M.; Pastor, I.; Soleto, A.; TJ-II Team

    2017-10-01

    A cryogenic pellet injector (PI) and tracer encapsulated solid pellet (TESPEL) injector system has been operated in combination on the stellarator TJ-II. This unique arrangement has been created by piggy-backing a TESPEL injector onto the backend of a pipe-gun-type PI. The combined injector provides a powerful new tool for comparing ablation and penetration of polystyrene TESPEL pellets and solid hydrogen pellets, as well as for contrasting subsequent pellet particle deposition and plasma perturbation under analogous plasma conditions. For instance, a significantly larger increase in plasma line-averaged electron density, and electron content, is observed after a TESPEL pellet injection compared with an equivalent cryogenic pellet injection. Moreover, for these injections from the low-magnetic-field side of the plasma cross-section, TESPEL pellets deposit electrons deeper into the plasma core than cryogenic pellets. Finally, the physics behind these observations and possible implications for pellet injection studies are discussed.

  7. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  8. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  9. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  10. Plasma technology directory

    International Nuclear Information System (INIS)

    Ward, P.P.; Dybwad, G.L.

    1995-01-01

    The Plasma Technology Directory has two main goals: (1) promote, coordinate, and share plasma technology experience and equipment within the Department of Energy; and (2) facilitate technology transfer to the commercial sector where appropriate. Personnel are averaged first by Laboratory and next by technology area. The technology areas are accelerators, cleaning and etching deposition, diagnostics, and modeling

  11. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  12. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  13. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  14. Formation of vertically aligned carbon nanostructures in plasmas: numerical modelling of growth and energy exchange

    Energy Technology Data Exchange (ETDEWEB)

    Denysenko, I; Azarenkov, N A, E-mail: idenysenko@yahoo.com [School of Physics and Technology, V N Karazin Kharkiv National University, 4 Svobody sq., 61077 Kharkiv (Ukraine)

    2011-05-04

    Results on modelling of the plasma-assisted growth of vertically aligned carbon nanostructures and of the energy exchange between the plasma and the growing nanostructures are reviewed. Growth of carbon nanofibres and single-walled carbon nanotubes is considered. Focus is made on studies that use the models based on mass balance equations for species, which are adsorbed on catalyst nanoparticles or walls of the nanostructures. It is shown that the models can be effectively used for the study and optimization of nanostructure growth in plasma-enhanced chemical vapour deposition. The results from these models are in good agreement with the available experimental data on the growth of nanostructures. It is discussed how input parameters for the models may be obtained.

  15. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  16. Boron erosion and carbon deposition due to simultaneous bombardment with deuterium and carbon ions in plasmas

    International Nuclear Information System (INIS)

    Ohya, K.; Kawata, J.; Wienhold, P.; Karduck, P.; Rubel, M.; Seggern, J. von

    1999-01-01

    Erosion of boron out of a thin film exposed to deuterium edge plasmas and the simultaneous carbon deposition have been investigated in the tokamak TEXTOR-94 and simulated by means of a dynamic Monte Carlo code. The calculated results are compared with some observations (colorimetry, spectroscopy and AES) during and after the exposures. The implantation of carbon impurities strongly changes the effective boron sputtering yield of the film, which results into a lowering of the film erosion and a formation of thick carbon deposits. A strong decrease in the observed BII line emission around a surface location far from the plasma edge can be explained by a carbon deposition on the film. The calculated carbon depth profiles in the film, depending on the distance of the exposed surface from the plasma edge, are in reasonable agreement with measurements by AES after the exposures. Although simultaneous surface erosion and carbon deposition can be simulated, the calculated erosion rate is larger, by a factor of 2, than the observations by colorimetry at the early stage of the exposure

  17. Effects of bias voltage on the corrosion resistance of titanium nitride thin films fabricated by dynamic plasma immersion ion implantation-deposition

    International Nuclear Information System (INIS)

    Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2002-01-01

    Dynamic plasma-based thin-film deposition incorporating ion mixing and plasma immersion is an effective technique to synthesize nitride-based hard films. We have fabricated TiN films using a filtered titanium vacuum arc in a nitrogen plasma environment. A pulsed high voltage is applied to the target for a short time when the metallic arc is fired to attain simultaneous plasma deposition and ion mixing. We investigate the dependence of the corrosion resistance and interfacial structure of the treated samples on the applied voltage. Our Auger results reveal an oxygen-rich surface film due to the non-ultra-high-vacuum conditions and high affinity of oxygen to titanium. The corrosion current is reduced by two orders of magnitude comparing the sample processed at 8 kV to the untreated sample, but the 23 kV sample unexpectedly shows worse results. The pitting potential diminishes substantially although the corrosion current is similar to that observed in the 8 kV sample. The polarization test data are consistent with our scanning electron microscopy observation, corroborating the difference in the pitting distribution and appearance. This anomalous behavior is believed to be due to the change in the chemical composition as a result of high-energy ion bombardment

  18. Process development for synthesis and plasma spray deposition of LaPO4 and YPO4 for nuclear applications

    International Nuclear Information System (INIS)

    Chakravarthy, Y.; Sreekumar, K.P.; Jayakumar, S.; Thiyagarajan, T.K.; Ananthapadmanabhan, P.V.; Das, A.K.; Gantayet, L.M.; Krishnan, K.

    2009-01-01

    Rare earth phosphates are geologically very stable and considered as potential matrix material for nuclear waste disposal and also for many high temperature thermal barrier and corrosion barrier applications involving molten metals. This paper focuses on developmental studies related to synthesis, thermal stability and plasma spray deposition of LaPO 4 and YPO 4 . The rare earth phosphates were synthesized by chemical method from their respective oxide materials using ortho phosphoric acid. The as-precipitated powders were converted to thermal spray grade powder by compaction, sintering and crushing. Thermal stability of these phosphates up to their melting point was determined by arc plasma melting, followed by X-ray diffraction. Results indicate that LaPO 4 and YPO 4 melt congruently without decomposition. Plasma spray deposition was carried out using the in-house 40 kW atmospheric plasma spray system. Adherent coatings could be deposited on various substrates by optimizing the plasma spray parameters. (author)

  19. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.

    Science.gov (United States)

    Knoops, Harm C M; Braeken, Eline M J; de Peuter, Koen; Potts, Stephen E; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M M

    2015-09-09

    Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300-500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si=1.4±0.1, mass density=2.9±0.1 g/cm3, refractive index=1.96±0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temperature window from room temperature to 200 °C can be a solution, where relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.

  20. Plasma response to electron energy filter in large volume plasma device

    International Nuclear Information System (INIS)

    Sanyasi, A. K.; Awasthi, L. M.; Mattoo, S. K.; Srivastava, P. K.; Singh, S. K.; Singh, R.; Kaw, P. K.

    2013-01-01

    An electron energy filter (EEF) is embedded in the Large Volume Plasma Device plasma for carrying out studies on excitation of plasma turbulence by a gradient in electron temperature (ETG) described in the paper of Mattoo et al. [S. K. Mattoo et al., Phys. Rev. Lett. 108, 255007 (2012)]. In this paper, we report results on the response of the plasma to the EEF. It is shown that inhomogeneity in the magnetic field of the EEF switches on several physical phenomena resulting in plasma regions with different characteristics, including a plasma region free from energetic electrons, suitable for the study of ETG turbulence. Specifically, we report that localized structures of plasma density, potential, electron temperature, and plasma turbulence are excited in the EEF plasma. It is shown that structures of electron temperature and potential are created due to energy dependence of the electron transport in the filter region. On the other hand, although structure of plasma density has origin in the particle transport but two distinct steps of the density structure emerge from dominance of collisionality in the source-EEF region and of the Bohm diffusion in the EEF-target region. It is argued and experimental evidence is provided for existence of drift like flute Rayleigh-Taylor in the EEF plasma

  1. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  2. Impulse Plasma In Surface Engineering - a review

    Science.gov (United States)

    Zdunek, K.; Nowakowska-Langier, K.; Chodun, R.; Okrasa, S.; Rabinski, M.; Dora, J.; Domanowski, P.; Halarowicz, J.

    2014-11-01

    The article describes the view of the plasma surface engineering, assuming the role of non-thermal energy effects in the synthesis of materials and coatings deposition. In the following study it was underlined that the vapor excitation through the application of an electric field during coatings deposition gives new possibilities for coatings formation. As an example the IPD method was chosen. During the IPD (Impulse Plasma Deposition) the impulse plasma is generated in the coaxial accelerator by strong periodic electrical pulses. The impulse plasma is distributed in the form of energetic plasma pockets. Due to the almost completely ionization of gas, the nucleation of new phases takes place on ions directly in the plasma itself. As a result the coatings of metastable materials with nano-amorphous structure and excellent adhesion to the non-heated intentionally substrates could be deposited. Recently the novel way of impulse plasma generation during the coatings deposition was proposed and developed by our group. An efficient tool for plasma process control, the plasma forming gas injection to the interelectrode space was used. Periodic changing the gas pressure results in increasing both the degree of dispersion and the dynamics of the plasma pulses. The advantage of the new technique in deposition of coatings with exceptionally good properties has been demonstrated in the industrial scale not only in the case of the IPD method but also in the case of very well known magnetron sputtering method.

  3. Deposition of polymer films in low pressure reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.

    1981-12-11

    Sputtering and plasma polymerization have found wide application as deposition techniques and have been extensively studied. R.f. sputtering of plastics, in particular of polytetrafluoroethylene, are discussed in the first part of this paper. In the second part, the general concept of plasma polymerization is considered and some examples of applications of plasma-polymerized films are presented. Special attention is paid to fluorocarbon and fluorochlorocarbon films. It has been suggested that these films could be used in thin film capacitors or as passivating layers for integrated circuits. In the optical field some of these films have been used as convenient moisture-resistant, protective and antireflecting coatings. Their mechanical properties have also been examined with the intention of using them for reducing surface friction. More recently some metals have been incorporated into fluorocarbon films to obtain layers with novel properties. Experiments in which films were prepared by the plasma polymerization of certain Freons are described. Some electrical and optical properties of these films are presented. High dielectric losses were obtained in a metal/film/metal sandwich configuration and the possible influence of ambient atmospheric effects on these measurements is discussed.

  4. Synthesis of multicomponent metallic layers during impulse plasma deposition

    Directory of Open Access Journals (Sweden)

    Nowakowska-Langier Katarzyna

    2015-12-01

    Full Text Available Pulsed plasma in the impulse plasma deposition (IPD synthesis is generated in a coaxial accelerator by strong periodic electrical pulses, and it is distributed in a form of energetic plasma packets. A nearly complete ionization of gas, in these conditions of plasma generation, favors the nucleation of new phase of ions and synthesis of metastable materials in a form of coatings which are characterized by amorphous and/or nanocrystalline structure. In this work, the Fe–Cu alloy, which is immiscible in the state of equilibrium, was selected as a model system to study the possibility of formation of a non-equilibrium phase during the IPD synthesis. Structural characterization of the layers was done by means of X-ray diffraction and conversion-electron Mössbauer spectroscopy. It was found that supersaturated solid solutions were created as a result of mixing and/or alloying effects between the layer components delivered to the substrate independently and separately in time. Therefore, the solubility in the Fe–Cu system was largely extended in relation to the equilibrium conditions, as described by the equilibrium phase diagram in the solid state.

  5. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  6. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  7. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  8. Structure of ELMs in MAST and the implications for energy deposition

    International Nuclear Information System (INIS)

    Kirk, A; Wilson, H R; Akers, R; Conway, N J; Counsell, G F; Cowley, S C; Dowling, J; Dudson, B; Field, A; Lott, F; Lloyd, B; Martin, R; Meyer, H; Price, M; Taylor, D; Walsh, M

    2005-01-01

    This paper presents a description of the spatial and temporal structure of edge-localized modes (ELMs) observed in the MAST tokamak. Filamentary enhancements of visible light are observed on photographic images of the plasma obtained during ELMs. Comparisons with simulations show that these filaments are consistent with following field lines at the outboard edge of the plasma. The toroidal mode number of these filaments has been extracted from a study of the discrete peaks observed in the ion saturation current recorded by a mid-plane reciprocating probe. A study of the time delay of these peaks with respect to the onset of the ELM has been used to calculate an effective radial velocity for the expansion of the filaments. A comparison of this derived radial velocity as a function of distance from the last closed flux surface with simulations indicates that the filament is accelerating away from the plasma. Evidence for the temporal evolution of the ELM comes from studies of outboard mid-plane Thomson scattering density profiles. In addition, a study of the toroidal velocity as a function of radius shows that during an ELM the strong velocity shear near the edge of the plasma, normally present in H-modes, is strongly reduced. The picture that emerges is that the ELM can be viewed as being composed of filamentary structures that are generated on a 100 μs timescale, accelerate away from the plasma edge, are extended along a field line and have a typical toroidal mode number ∼10. The implications of these filaments for the energy deposition on plasma facing components are discussed

  9. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  10. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  11. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  12. Plasma Process Modeling for Integrated Circuits Manufacturing

    OpenAIRE

    M. Meyyappan; T. R. Govindan

    1998-01-01

    A reactor model for plasma-based deposition and etching is presented. Two-dimensional results are discussed in terms of plasma density, ion flux, and ion energy. Approaches to develop rapid CAD-type models are discussed.

  13. Advances in energy deposition theory

    International Nuclear Information System (INIS)

    Paretzke, H.G.

    1980-01-01

    In light of the fields of radiation protection and dosimetric problems in medicine, advances in the area of microscopic target related studies are discussed. Energy deposition is discussed with emphasis upon track structures of electrons and heavy charged particles and track computer calculations

  14. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  15. Investigations on the pyrolysis of hydrocarbons in the inductive coupled RF-plasma and the deposited pyrocarbon

    International Nuclear Information System (INIS)

    Eisgruber, H.; Mazurkiewicz, M.; Nickel, H.

    1979-08-01

    The pyrocarbon coatings of the nuclear fuel particles for the High-Temperature Reactor (HTR) are produced by pyrolysis of hydrocarbons under high temperatures. The investigations of the inductive coupled argon or argon/hydrocarbon-plasma performed in the frame of this work deliver a contribution for the clarification of pyrolysis processes and the production of pyrolytic carbons in the plasma of an electric discharge. The argon-plasma, as high-temperature source, is diagnosed theoretically and emission-spectroscopically. To the pure argon-plasma the various hydrocarbons are added. Due to the thermal decomposition the carbon is separated in solid form. The structure of the deposited pyrocarbon is composed of different components. The depositions are characterised with the principles in use at the IRW and are assigned to the fluidized bed pyrocarbons as fas as possible. (orig.) [de

  16. Response of plasma facing components in Tokamaks due to intense energy deposition using Particle-In-Cell (PIC) methods

    Science.gov (United States)

    Genco, Filippo

    Damage to plasma-facing components (PFC) due to various plasma instabilities is still a major concern for the successful development of fusion energy and represents a significant research obstacle in the community. It is of great importance to fully understand the behavior and lifetime expectancy of PFC under both low energy cycles during normal events and highly energetic events as disruptions, Edge-Localized Modes (ELM), Vertical Displacement Events (VDE), and Run-away electron (RE). The consequences of these high energetic dumps with energy fluxes ranging from 10 MJ/m2 up to 200 MJ/m 2 applied in very short periods (0.1 to 5 ms) can be catastrophic both for safety and economic reasons. Those phenomena can cause a) large temperature increase in the target material b) consequent melting, evaporation and erosion losses due to the extremely high heat fluxes c) possible structural damage and permanent degradation of the entire bulk material with probable burnout of the coolant tubes; d) plasma contamination, transport of target material into the chamber far from where it was originally picked. The modeling of off-normal events such as Disruptions and ELMs requires the simultaneous solution of three main problems along time: a) the heat transfer in the plasma facing component b) the interaction of the produced vapor from the surface with the incoming plasma particles c) the transport of the radiation produced in the vapor-plasma cloud. In addition the moving boundaries problem has to be considered and solved at the material surface. Considering the carbon divertor as target, the moving boundaries are two since for the given conditions, carbon doesn't melt: the plasma front and the moving eroded material surface. The current solution methods for this problem use finite differences and moving coordinates system based on the Crank-Nicholson method and Alternating Directions Implicit Method (ADI). Currently Particle-In-Cell (PIC) methods are widely used for solving

  17. Science of mineral deposits and economics of energy

    International Nuclear Information System (INIS)

    Mackowsky, M.T.

    1978-01-01

    The availability of fossile energy carriers is investigated with regard to raw material reserves and their know deposits, by means of output and consumption. According to the author's opinion its discussion should have a priority over all discussions concerning energy crisis, energy supply and environmental protection. The author also touches the high measure of political problems beside the geoscientifical and technological problems of raw material supply. He briefly points to the general situation on the energy market with the help of data on stocks and consumption as given by the 10th International Energy Conference 1977 at Istambul and eventually deals with topics on mineral deposits science and uranium production. (HK) [de

  18. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  19. Relationship between plasma parameters and film microstructure in radio frequency magnetron sputter deposition of barium strontium titanate

    Science.gov (United States)

    Panda, B.; Dhar, A.; Nigam, G. D.; Bhattacharya, D.; Ray, S. K.

    1998-01-01

    Radio frequency magnetron sputtered Ba0.8Sr0.2TiO3 thin films have been deposited on silicon and Si/SiO2/SiN/Pt substrates. The analysis of plasma discharge has been carried out using the Langmuir probe technique. Both the pressure and power have been found to influence the ion density and self-bias of the target. Introduction of oxygen into the discharge effectively decreases the ion density. The structural and electrical properties have been investigated using x-ray diffraction, atomic force microscopy of deposited films and capacitance-voltage, conductance-voltage, and current density-electric field characteristics of fabricated capacitors. The growth and orientation of the films have been found to depend upon the type of substrates and deposition temperatures. The texture in the film is promoted at a pressure 0.25 Torr with a moderately high value of ion density and low ion bombardment energy. Films deposited on Si/SiO2/SiN/Pt substrate have shown higher dielectric constant (191) and lower leakage current density (2.8×10-6 A/cm2 at 100 kV/cm) compared to that on silicon.

  20. Magnetic energy density and plasma energy density in the Venus wake

    Science.gov (United States)

    Perez De Tejada, H. A.; Durand-Manterola, H. J.; Lundin, R.; Barabash, S.; Zhang, T.; Reyes-Ruiz, M.; Sauvaud, J.

    2013-05-01

    Magnetic energy density and plasma energy density in the Venus wake H. Pérez-de-Tejada1, H. Durand-Manterola1, R. Lundin2, S. Barabash2, T. L. Zhang3, A. Sauvaud4, M. Reyes-Ruiz5. 1 - Institute of Geophysics, UNAM, México, D. F. 2 - Swedish Institute of Space Physics, Umea, Sweden 3 - Space Research Institute, Graz, Austria 4 - CESR, Toulouse, France 5 - Institute of Astronomy, UNAM, Ensenada, México Measurements conducted in the Venus wake with the magnetometer and the Aspera-4 plasma instrument of the Venus Express spacecraft show that average values of the kinetic energy density of the plasma in that region are comparable to average local values of the magnetic energy density. Observations were carried out in several orbits of the Venus Express near the midnight plane and suggest that the total energy content in the Venus wake is distributed with nearly comparable values between the plasma and the magnetic field. Processes associated with the solar wind erosion of planetary ions from the polar magnetic regions of the ionosphere are involved in the comparable distribution of both energy components.

  1. Temperature effect on hydrocarbon deposition on molybdenum mirrors under ITER-relevant long-term plasma operation

    NARCIS (Netherlands)

    Rapp, J.; van Rooij, G. J.; Litnovsky, A.; Marot, L.; De Temmerman, G.; Westerhout, J.; Zoethout, E.

    2009-01-01

    Optical diagnostics in ITER will rely on mirrors near the plasma and the deterioration of the reflectivity is a concern. The effect of temperature on the deposition efficiency of hydrocarbons under long-term operation conditions similar to ITER was investigated in the linear plasma generator

  2. Optical study of plasma sprayed hydroxyapatite coatings deposited at different spray distance

    Science.gov (United States)

    Belka, R.; Kowalski, S.; Żórawski, W.

    2017-08-01

    Series of hydroxyapatite (HA) coatings deposited on titanium substrate at different spray (plasma gun to workpiece) distance were investigated. The optical methods as dark field confocal microscopy, Raman/PL and UV-VIS spectroscopy were used for study the influence of deposition process on structural degradation of HA precursor. The hydroxyl group concentration was investigated by study the OH mode intensity in the Raman spectra. Optical absorption coefficients at near UV region were analyzed by Diffuse Reflectance Spectroscopy. PL intensity observed during Raman measurement was also considered as relation to defects concentration and degradation level. It was confirmed the different gunsubstrate distance has a great impact on structure of deposited HA ceramics.

  3. Equilibrium fluctuation energy of gyrokinetic plasma

    International Nuclear Information System (INIS)

    Krommes, J.A.; Lee, W.W.; Oberman, C.

    1985-11-01

    The thermal equilibrium electric field fluctuation energy of the gyrokinetic model of magnetized plasma is computed, and found to be smaller than the well-known result (k)/8π = 1/2T/[1 + (klambda/sub D/) 2 ] valid for arbitrarily magnetized plasmas. It is shown that, in a certain sense, the equilibrium electric field energy is minimum in the gyrokinetic regime. 13 refs., 2 figs

  4. Comprehensive simulation of vertical plasma instability events and their serious damage to ITER plasma facing components

    International Nuclear Information System (INIS)

    Hassanein, A.; Sizyuk, T.

    2008-01-01

    Safe and reliable operation is still one of the major challenges in the development of the new generation of ITER-like fusion reactors. The deposited plasma energy during major disruptions, edge-localized modes (ELMs) and vertical displacement events (VDEs) causes significant surface erosion, possible structural failure and frequent plasma contamination. While plasma disruptions and ELM will have no significant thermal effects on the structural materials or coolant channels because of their short deposition time, VDEs having longer-duration time could have a destructive impact on these components. Therefore, modelling the response of structural materials to VDE has to integrate detailed energy deposition processes, surface vaporization, phase change and melting, heat conduction to coolant channels and critical heat flux criteria at the coolant channels. The HEIGHTS 3D upgraded computer package considers all the above processes to specifically study VDE in detail. Results of benchmarking with several known laboratory experiments prove the validity of HEIGHTS implemented models. Beryllium and tungsten are both considered surface coating materials along with copper structure and coolant channels using both smooth tubes with swirl tape insert. The design requirements and implications of plasma facing components are discussed along with recommendations to mitigate and reduce the effects of plasma instabilities on reactor components.

  5. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  6. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  7. Energy expenditures of plasma method of isotope separation

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    1986-01-01

    The estimations are performed of specific energy expenditares in isotope separation of binary mixtures in different plasma systems with weak medium ionization (plasma centrifuge, gas discharge system with travelling magnetic field, direct current discharge). Potential advantages of plasma centrifuge over other gas discharge facilities are pointed out. The comparison of specific energy expenditure values in case of using plasma and conventional methods of isotope separation is carried out

  8. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  9. High energy plasma accelerators

    International Nuclear Information System (INIS)

    Tajima, T.

    1985-05-01

    Colinear intense laser beams ω 0 , kappa 0 and ω 1 , kappa 1 shone on a plasma with frequency separation equal to the electron plasma frequency ω/sub pe/ are capable of creating a coherent large longitudinal electric field E/sub L/ = mc ω/sub pe//e of the order of 1GeV/cm for a plasma density of 10 18 cm -3 through the laser beat excitation of plasma oscillations. Accompanying favorable and deleterious physical effects using this process for a high energy beat-wave accelerator are discussed: the longitudinal dephasing, pump depletion, the transverse laser diffraction, plasma turbulence effects, self-steepening, self-focusing, etc. The basic equation, the driven nonlinear Schroedinger equation, is derived to describe this system. Advanced accelerator concepts to overcome some of these problems are proposed, including the plasma fiber accelerator of various variations. An advanced laser architecture suitable for the beat-wave accelerator is suggested. Accelerator physics issues such as the luminosity are discussed. Applications of the present process to the current drive in a plasma and to the excitation of collective oscillations within nuclei are also discussed

  10. Integrated models for plasma/material interaction during loss of plasma confinement

    International Nuclear Information System (INIS)

    Hassanein, A.

    1998-01-01

    A comprehensive computer package, High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS), has been developed to evaluate the damage incurred on plasma-facing materials during loss of plasma confinement. The HEIGHTS package consists of several integrated computer models that follow the start of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the energy deposited. The package includes new models to study turbulent plasma behavior in the SOL and predicts the plasma parameters and conditions at the divertor plate. Full two-dimensional comprehensive radiation magnetohydrodynamic models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. A brief description of the HEIGHTS package and its capabilities are given in this work with emphasis on turbulent plasma behavior in the SOL during disruptions

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  13. Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, H.; Detavenier, C.; Straten, O. van der; Rossnagel, S.M.; Kellock, A.J.; Park, D.-G.

    2005-01-01

    TaN x diffusion barriers with good barrier properties at subnanometer thickness were deposited by plasma-enhanced atomic layer deposition (PE-ALD) from pentakis(dimethylamino)Ta. Hydrogen and/or nitrogen plasma was used as reactants to produce TaN x thin films with a different nitrogen content. The film properties including the carbon and oxygen impurity content were affected by the nitrogen flow during the process. The deposited film has nanocrystalline grains with hydrogen-only plasma, while the amorphous structure was obtained for nitrogen plasma. The diffusion barrier properties of deposited TaN films for Cu interconnects have been studied by thermal stress test based on synchrotron x-ray diffraction. The results indicate that the PE-ALD TaN films are good diffusion barriers even at a small thickness as 0.6 nm. Better diffusion barrier properties were obtained for higher nitrogen content. Based on a diffusion kinetics analysis, the nanocrystalline microstructure of the films was responsible for the better diffusion barrier properties compared to polycrystalline PE-ALD TaN films deposited from TaCl 5

  14. Simultaneous Power Deposition Detection of Two EC Beams with the BIS Analysis in Moving TCV Plasmas

    Science.gov (United States)

    Curchod, L.; Pochelon, A.; Decker, J.; Felici, F.; Goodman, T. P.; Moret, J.-M.; Paley, J. I.

    2009-11-01

    Modulation of power amplitude is a widespread to determine the radial absorption profile of externally launched power in fusion plasmas. There are many techniques to analyze the plasma response to such a modulation. The break-in-slope (BIS) analysis can draw an estimated power deposition profile for each power step up. In this paper, the BIS analysis is used to monitor the power deposition location of one or two EC power beams simultaneously in a non-stationary plasma being displaced vertically in the TCV tokamak vessel. Except from radial discrepancies, the results have high time resolution and compare well with simulations from the R2D2-C3PO-LUKE ray-tracing and Fokker-Planck code suite.

  15. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  16. In situ plasma diagnostics study of a commercial high-power hollow cathode magnetron deposition tool

    International Nuclear Information System (INIS)

    Meng Liang; Raju, Ramasamy; Flauta, Randolph; Shin, Hyungjoo; Ruzic, David N.; Hayden, Douglas B.

    2010-01-01

    Using a newly designed and built plasma diagnostic system, the plasma parameters were investigated on a commercial 200 mm high-power hollow cathode magnetron (HCM) physical vapor deposition tool using Ta target under argon plasma. A three dimensional (3D) scanning radio frequency (rf)-compensated Langmuir probe was constructed to measure the spatial distribution of the electron temperature (T e ) and electron density (n e ) in the substrate region of the HCM tool at various input powers (2-15 kW) and pressures (10-70 mTorr). The T e was in the range of 1-3 eV, scaling with decreasing power and decreasing pressure. Meanwhile, n e was in the range of 4x10 10 -1x10 12 cm -3 scaling with increasing power and decreasing pressure. As metal deposits on the probe during the probe measurements, a self-cleaning plasma cup was designed and installed in the chamber to clean the tungsten probe tip. However, its effectiveness in recovering the measured plasma parameters was hindered by the metal layer deposited on the insulating probe tube which was accounted for the variation in the plasma measurements. Using a quartz crystal microbalance combined with electrostatic filters, the ionization fraction of the metal flux was measured at various input power of 2-16 kW and pressure of 5-40 mTorr. The metal ionization fraction reduced significantly with the increasing input power and decreasing gas pressure which were attributed to the corresponding variation in the ionization cross section and the residence time of the sputtered atoms in the plasma, respectively. Both the metal neutral and ion flux increased at higher power and lower pressure. The 3D measurements further showed that the ionization fraction decreased when moving up from the substrate to the cathode.

  17. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  18. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  19. Energy transport in laser produced plasmas

    International Nuclear Information System (INIS)

    Key, M.H.

    1989-06-01

    The study of energy transport in laser produced plasmas is of great interest both because it tests and develops understanding of several aspects of basic plasma physics and also because it is of central importance in major applications of laser produced plasmas including laser fusion, the production of intense X-ray sources, and X-ray lasers. The three sections cover thermal electrons (energy transport in one dimension, plane targets and lateral transport from a focal spot, thermal smoothing, thermal instabilities), hot electrons (preheating in one dimension, lateral transport from a focal spot) and radiation (preheating in one dimension, lateral transport and smoothing, instabilities). (author)

  20. Deposition probe measurements of impurities injected into a tokamak plasma

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Grote, H.; Herrmann, A.

    1985-01-01

    Impurity confinement behaviour has been studied by using a deposition probe in conjunction with pellet injection. Generally, an exponential decay of the impurity efflux and nearly symmetric ion/electron side toroidal flows have been observed. During phases of strong plasma disturbances, asymmetric flow is seen, indicative of edge transport and prompt recycling from local sources. The application of ECRH may cause such disturbances. (author)

  1. Expected energy fluxes onto ITER Plasma Facing Components during disruption thermal quenches from multi-machine data comparisons

    International Nuclear Information System (INIS)

    Loarte, A.; Andrew, P.; Matthews, G.F.; Paley, J.; Riccardo, V.; Counsell, G.; Eich, T.; Fuchs, C.; Gruber, O.; Herrmann, A.; Pautasso, G.; Federici, G.; Finken, K.H.; Maddaluno, G.; Whyte, D.

    2005-01-01

    A comparison of the power flux characteristics during the thermal quench of plasma disruptions among various tokamak experiments has been carried out and conclusions for ITER have been drawn. It is generally observed that the energy of the plasma at the thermal quench is much smaller than that of a full performance plasma. The timescales for power fluxes onto PFCs during the thermal quench, as determined by IR measurements, are found to scale with device size but not to correlate with pre-disruptive plasma characteristics. The profiles of the thermal quench power fluxes are very broad for diverted discharges, typically a factor of 5-10 broader than that measured during 'normal' plasma operation, while for limiter discharges this broadening is absent. The combination of all the above factors is used to derive the expected range of power fluxes on the ITER divertor target during the thermal quench. The new extrapolation derived in this paper indicates that the average disruption in ITER will deposit an energy flux approximately one order of magnitude lower than previously thought. The evaluation of the ITER divertor lifetime with these revised specifications is carried out. (author)

  2. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  3. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  4. Nuclear-plus-interference-scattering effect on the energy deposition of multi-MeV protons in a dense Be plasma.

    Science.gov (United States)

    Wang, Zhigang; Fu, Zhenguo; He, Bin; Hu, Zehua; Zhang, Ping

    2016-09-01

    The nuclear plus interference scattering (NIS) effect on the stopping power of hot dense beryllium (Be) plasma for multi-MeV protons is theoretically investigated by using the generalized Brown-Preston-Singleton (BPS) model, in which a NIS term is taken into account. The analytical formula of the NIS term is detailedly derived. By using this formula, the density and temperature dependence of the NIS effect is numerically studied, and the results show that the NIS effect becomes more and more important with increasing the plasma temperature or density. Different from the cases of protons traveling through the deuterium-tritium plasmas, for a Be plasma, a prominent oscillation valley structure is observed in the NIS term when the proton's energy is close to E_{p}=7MeV. Furthermore, the penetration distance is remarkably reduced when the NIS term is considered.

  5. TLD gamma-ray energy deposition measurements in the zero energy fast reactor ZEBRA

    International Nuclear Information System (INIS)

    Knipe, A.D.

    1977-01-01

    A recent study of gamma-ray energy deposition was carried out in the Zebra reactor at AEE Winfrith during a collaborative programme between the UKAEA and PNC of Japan. The programme was given the title MOZART. This paper describes the TLD experiments in the MOZART MZB assembly and discusses the technique and various corrections necessary to relate the measured quantity to the calculated energy deposition

  6. Superconducting and structural properties of plasma sprayed YBaCuO layers deposited on metallic substrates

    NARCIS (Netherlands)

    Hemmes, Herman K.; Jäger, D; Smithers, M.A.; Smithers, M.; van der Veer, J.; van der Veer, J.M.; Stover, D.; Rogalla, Horst

    1993-01-01

    The properties of plasma sprayed Y-Ba-Cu-O coatings deposited on metallic substrates are studied. Stainless steel, nickel steels and pure nickel are used as substrate. Y-Ba-Cu-O deposited on stainless steel and nickel steel reacts with the substrate. This interaction can be suppressed by using an

  7. Energy Balance in DC Arc Plasma Melting Furnace

    International Nuclear Information System (INIS)

    Zhao Peng; Meng Yuedong; Yu Xinyao; Chen Longwei; Jiang Yiman; Nie Guohua; Chen Mingzhou

    2009-01-01

    In order to treat hazardous municipal solid waste incinerator's (MSWI) fly ash, a new DC arc plasma furnace was developed. Taking an arc of 100 V/1000 A DC as an example, the heat transfer characteristics of the DC arc plasma, ablation of electrodes, heat properties of the fly ash during melting, heat transfer characteristics of the flue gas, and heat loss of the furnace were analyzed based on the energy conservation law, so as to achieve the total heat information and energy balance during plasma processing, and to provide a theoretical basis for an optimized design of the structure and to improve energy efficiency. (plasma technology)

  8. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  9. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  10. Effects of Energy Deposition Characteristics on Localised Forced Ignition of Homogeneous Mixtures

    Directory of Open Access Journals (Sweden)

    Dipal Patel

    2015-06-01

    Full Text Available The effects of the characteristic width of the energy deposition profile and the duration of energy deposition by the ignitor on localised forced ignition of stoichiometric and fuel-lean homogeneous mixtures have been analysed using simplified chemistry three-dimensional compressible Direct Numerical Simulation (DNS for different values of root-mean-square turbulent velocity fluctuation. The localised forced ignition is modelled using a source term in the energy transport equation, which deposits energy in a Gaussian manner from the centre of the ignitor over a stipulated period of time. It has been shown that the width of ignition energy deposition and the duration over which ignition energy is deposited have significant influences on the success of ignition and subsequent flame propagation. An increase in the width of ignition energy deposition (duration of energy deposition for a given amount of ignition energy has been found to have a detrimental effect on the ignition event, which may ultimately lead to misfire. Moreover, an increase in u′ gives rise to augmented heat transfer rate from the hot gas kernel, which in turn leads to a reduction in the extent of overall burning for both stoichiometric and fuel-lean homogeneous mixtures but the detrimental effects of high values of u′ on localised ignition are particularly prevalent for fuel-lean mixtures.

  11. Vacuum arc plasma thrusters with inductive energy storage driver

    Science.gov (United States)

    Krishnan, Mahadevan (Inventor)

    2009-01-01

    A plasma thruster with a cylindrical inner and cylindrical outer electrode generates plasma particles from the application of energy stored in an inductor to a surface suitable for the formation of a plasma and expansion of plasma particles. The plasma production results in the generation of charged particles suitable for generating a reaction force, and the charged particles are guided by a magnetic field produced by the same inductor used to store the energy used to form the plasma.

  12. Modification of low temperature deposited LiMn2O4 thin film cathodes by oxygen plasma irradiation

    International Nuclear Information System (INIS)

    Chen, Chen Chung; Chiu, Kuo-Feng; Lin, Kun Ming; Lin, Hsin Chih

    2009-01-01

    Lithium manganese oxides have been deposited by radio frequency magnetron sputter deposition with relatively lower annealing temperatures and then post-treated with a radio frequency (rf) driven oxygen plasma. Following oxygen plasma irradiation, the film properties were modified, and the performance of the thin film cathode has been enhanced. The electrochemical properties of the treated thin-film cathodes were characterized and compared. The results showed that the samples with moderate plasma treatment also maintained good cyclic properties as cycled at a wide range potential window of 2.0 V-4.5 V. Its electrochemical properties were significantly improved by this process, even though the films were prepared under low annealing temperature.

  13. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  14. Simulation of damage to tokamaks plasma facing components during intense abnormal power deposition

    International Nuclear Information System (INIS)

    Genco, F.; Hassanein, A.

    2014-01-01

    Highlights: • HEIGHTS-PIC a new technique based on particle in cell method to study disruptions events, ELMS and VDE is benchmarked in this paper with the use of the MK-200 experiments. • Disruptions simulations results for erosion and erosion rate are proposed showing good agreement with published experimental available data for such conditions. • Results are also compared with other published results produced by FOREV1/FOREV2 computer package and the original HEIGHTS computer package. • Accuracy of the simulations results is proposed with specific aim to address the use of number of super particles adopted versus computational time. - Abstract: Intense power deposition on plasma facing components (PFC) is expected in tokamaks during loss of confinement events such as disruptions, vertical displacement events (VDE), runaway electrons (RE), or during normal operating conditions such as edge-localized modes (ELM). These highly energetic events are damaging enough to hinder long term operation and may not be easily mitigated without loss of structural or functional performance of the PFC. Surface erosion, melted/ablated-vaporized material splashing, and material transport into the bulk plasma are reliability-threatening for the machine and system performance. A novel particle-in-cell (PIC) technique has been developed and integrated into the existing HEIGHTS package in order to obtain a global view of the plasma evolution upon energy impingement. This newly developed PIC technique is benchmarked against plasma gun experimental data, the original HEIGHTS computer package, and laser experiments. Benchmarking results are shown in this paper for various relevant reactor and experimental devices. The evolution of the plasma vapor cloud is followed temporally and results are explained and commented as a function of the computational time needed and the accuracy of the calculation

  15. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  16. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  17. Optimization of laser energy deposition for single-shot high aspect-ratio microstructuring of thick BK7 glass

    Energy Technology Data Exchange (ETDEWEB)

    Garzillo, Valerio; Grigutis, Robertas [Dipartimento di Scienza e Alta Tecnologia, University of Insubria, Via Valleggio 11, I-22100 Como (Italy); Jukna, Vytautas [Centre de Physique Theorique, CNRS, Ecole Polytechnique, Université Paris-Saclay, F-91128 Palaiseau (France); LOA, ENSTA-ParisTech, CNRS, Ecole Polytechnique, Université Paris Saclay, F-91762 Palaiseau (France); Couairon, Arnaud [Centre de Physique Theorique, CNRS, Ecole Polytechnique, Université Paris-Saclay, F-91128 Palaiseau (France); Di Trapani, Paolo [Dipartimento di Scienza e Alta Tecnologia, University of Insubria and CNISM UdR Como, Via Valleggio 11, I-22100 Como (Italy); Jedrkiewicz, Ottavia, E-mail: ottavia.jedrkiewicz@ifn.cnr.it [Istituto di Fotonica e Nanotecnologie, CNR and CNISM UdR Como, Via Valleggio 11, I-22100 Como (Italy)

    2016-07-07

    We investigate the generation of high aspect ratio microstructures across 0.7 mm thick glass by means of single shot Bessel beam laser direct writing. We study the effect on the photoinscription of the cone angle, as well as of the energy and duration of the ultrashort laser pulse. The aim of the study is to optimize the parameters for the writing of a regular microstructure due to index modification along the whole sample thickness. By using a spectrally resolved single pulse transmission diagnostics at the output surface of the glass, we correlate the single shot material modification with observations of the absorption in different portions of the retrieved spectra, and with the absence or presence of spectral modulation. Numerical simulations of the evolution of the Bessel pulse intensity and of the energy deposition inside the sample help us interpret the experimental results that suggest to use picosecond pulses for an efficient and more regular energy deposition. Picosecond pulses take advantage of nonlinear plasma absorption and avoid temporal dynamics effects which can compromise the stationarity of the Bessel beam propagation.

  18. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  19. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  20. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  1. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  2. Voltage uniformity study in large-area reactors for RF plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sansonnens, L.; Pletzer, A.; Magni, D.; Howling, A.A.; Hollenstein, C. [Ecole Polytechnique Federale, Lausanne (Switzerland). Centre de Recherche en Physique des Plasma (CRPP); Schmitt, J.P.M. [Balzers Process Systems, Palaiseau (France)

    1996-09-01

    Non-uniform voltage distribution across the electrode area results in inhomogeneous thin-film RF plasma deposition in large area reactors. In this work, a two-dimensional analytic model for the calculation of the voltage distribution across the electrode area is presented. The results of this model are in good agreement with measurements performed without plasma at 13.56 MHz and 70 MHz in a large area reactor. The principal voltage inhomogeneities are caused by logarithmic singularities in the vicinity of RF connections and not by standing waves. These singularities are only described by a two-dimensional model and cannot be intuitively predicted by analogy to a one-dimensional case. Plasma light emission measurements and thickness homogeneity studies of a-Si:H films show that the plasma reproduces these voltage inhomogeneities. Improvement of the voltage uniformity is investigated by changing the number and position of the RF connections. (author) 13 figs., 20 refs.

  3. Plasma interactions determine the composition in pulsed laser deposited thin films

    Science.gov (United States)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  4. The role of plasma induced substrate heating during high rate deposition of microcrystalline solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Schmitz, R.; Appenzeller, W.; Rech, B.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2006-01-01

    A 13.56 MHz parallel plate hydrogen-dild. silane plasma, operated at high pressure and high power, was used to deposit microcryst. silicon solar cells with efficiencies of 6-9% at high deposition rates of 0.4-1.2 nm/s. In this regime new challenges arise regarding temp. control, since the high

  5. Dynamic behavior of detached recombining plasmas during ELM-like plasma heat pulses in the divertor plasma simulator NAGDIS-II

    International Nuclear Information System (INIS)

    Uesugi, Y.; Hattori, N.; Nishijima, D.; Ohno, N.; Takamura, S.

    2001-01-01

    It has been recognized that the ELMs associated with a good confinement at the edge, such as H-mode, must bring an enormous energy to the divertor target plate through SOL and detached plasmas. The understanding of the ELM energy transport through SOL to the divertor target is rather poor at the moment, which leads to an ambiguous estimation of the deposited heat load on the divertor target in ITER. In the present work the ELM-like plasma heat pulse is generated by rf heating in a linear divertor plasma simulator. Energetic electrons with an energy range 10-40 eV are effectively generated by rf heating in low temperature plasmas with (T e )< ∼1 eV. It is observed experimentally that the energetic electrons ionize the highly excited Rydberg atoms quickly, bringing a rapid increase of the ion particle flux to the target, and make the detached plasmas attached to the target. Detailed physical processes about the interaction between the heat pulse with conduction and convection, and detached recombining plasmas are discussed

  6. Plasma synthesis of hard materials with energetic ions

    International Nuclear Information System (INIS)

    Monteiro, Othon R.

    1999-01-01

    Recent developments in plasma synthesis of hard materials using metal plasma immersion ion implantation and deposition are described. We have produced and characterized a variety of films including doped and undoped DLC (diamond-like carbon) and metal carbides. By using multiple plasma sources operated either synchronously or asynchronously, different metal plasma species can be either blended or linked so as to form mixed-composition films or multilayer structures, and by control of the depositing ion energy, interfaces can be made sharp or graded and the film morphology and microstructure can be widely tailored. Plasma compositional uniformity is important to produce homogeneous films, and therefore effective mixing of plasma streams produced by the filtered cathodic vacuum arcs is very important. Specific systems described here include amorphic diamond, and TiC. We outline the deposition technique employed in this investigation, and summarize the results of the characterization of the films

  7. Deposition of LDH on plasma treated polylactic acid to reduce water permeability

    KAUST Repository

    Bugatti, Valeria

    2013-04-01

    A simple and scalable deposition process was developed to prepare polylactic acid (PLA) coatings with enhanced water barrier properties for food packaging applications. This method based on electrostatic interactions between the positively charged layers of layered double hydroxides (LDHs) modified with ionic liquids (ILs) and the negatively charged plasma treated polylactic acid leads to homogeneous, stable, and highly durable coatings. Deposition of the LDH coatings increases the surface hydrophobicity of the neat PLA, which results to a decrease in water permeability by about 35%. © 2013 Elsevier Inc.

  8. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  9. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  10. Burning plasmas in ITER for energy source

    International Nuclear Information System (INIS)

    Inoue, Nobuyuki

    2002-01-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  11. Burning plasmas in ITER for energy source

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Nobuyuki [Atomic Energy Commission, Tokyo (Japan)

    2002-10-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  12. Deposition of a-SiC:H using organosilanes in an argon/hydrogen plasma

    International Nuclear Information System (INIS)

    Maya, L.

    1993-01-01

    Selected organosilanes were examined as precursors for the deposition of amorphous hydrogenated silicon carbide in an argon/hydrogen plasma. Effect of process variables on the quality of the films was established by means of FTIR, Auger spectroscopy, XPS, XRD, chemical analysis, and weight losses upon pyrolysis. For a given power level there is a limiting feeding rate of the precursor under which operation of the system is dominated by thermodynamics and leads to high quality silicon carbide films that are nearly stoichiometric and low in hydrogen. Beyond that limit, carbosilane polymer formation and excessive hydrogen incorporation takes place. The hydrogen content of the plasma affects the deposition rate and the hydrogen content of the film. In the thermodynamically dominated regime the nature of the precursor has no effect on the quality of the film, it affects only the relative utilization efficiency

  13. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  14. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  15. The Energy Balance of Plasma in a Coaxial Plasma Opening Switch

    International Nuclear Information System (INIS)

    Xu Xiang; Wang Younian

    2006-01-01

    The two-dimensional energy balance in a coaxial plasma opening switch (POS) is studied based on the single-fluid magnetohydrodynamic (MHD) equations coupled with the generalized Ohm's law. The energy transfers between the plasma and the magnetic field are considered during the penetration of the magnetic field as the Ohmic heating is included in the energy-balance equation. The focus is on the energy partition between the magnetic-field energy and the dissipated magnetic-field energy in a high-density POS with different rise-in-time electric currents at the generator boundary. The simulation code is tested in two cases: the constant-in-time current case and the linear rise-in-time current case. For the sinusoidally rise-in-time current similar to that of the experiments, it is shown that at the end of the conduction phase the dissipated magnetic-field energy is 36.5% of the input electromagnetic energy, which is consistent with the experimental results

  16. Plasma-deposited aluminum-doped zinc oxide : controlling nucleation, growth and electrical properties

    NARCIS (Netherlands)

    Ponomarev, M.

    2012-01-01

    In this work, the Expanding Thermal Plasma (ETP) deposition technique was employed to study the growth development of ZnO:Al thin film as a transparent conductive oxide layer for sustainable applications. Characteristic for ETP-grown ZnO:Al is a gradually reducing resistivity of the growing layer

  17. Deposition of Al N and Ti N thin films on substrates of stainless steel SS304 using plasma focus device

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Soukieh, M.; Abou Kharoub, M.; Al-Sadat, W.

    2006-06-01

    A 2.8 kJ plasma focus device was used to deposit thin films of aluminium nitride Al N and titanium nitride Ti N on a stainless steel 394 substrate, in order to improve its surface properties. The deposition process was carried out using various number of nitrogen plasma shots at pressures 0.5-0.75 mbar and at different sample's distances from the anode. The plasma diagnostics was achieved using the voltage and current signals recorded by a voltage divider and Rogovskii coil to determine the temperature and plasma density. The surface hardness of SS-304 was increased by about 50% after plasma coating and the thickness of the coated layers was about 1-2μm. This study shows that the hardness is increased with increasing the number of shots and decreased with the distance from the anode. The coated layers were characterized and a qualitative understanding of the deposition process was given. (author)

  18. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  19. New aspects of high energy density plasma

    International Nuclear Information System (INIS)

    Hotta, Eiki

    2005-10-01

    The papers presented at the symposium on 'New aspects of high energy density plasma' held at National Institute for Fusion Science are collected in this proceedings. The papers reflect the present status and recent progress in the experiments and theoretical works on high energy density plasma produced by pulsed power technology. The 13 of the presented papers are indexed individually. (J.P.N.)

  20. Direct-current cathodic vacuum arc system with magnetic-field mechanism for plasma stabilization.

    Science.gov (United States)

    Zhang, H-S; Komvopoulos, K

    2008-07-01

    Filtered cathodic vacuum arc (FCVA) deposition is characterized by plasma beam directionality, plasma energy adjustment via substrate biasing, macroparticle filtering, and independent substrate temperature control. Between the two modes of FCVA deposition, namely, direct current (dc) and pulsed arc, the dc mode yields higher deposition rates than the pulsed mode. However, maintaining the dc arc discharge is challenging because of its inherent plasma instabilities. A system generating a special configuration of magnetic field that stabilizes the dc arc discharge during film deposition is presented. This magnetic field is also part of the out-of-plane magnetic filter used to focus the plasma beam and prevent macroparticle film contamination. The efficiency of the plasma-stabilizing magnetic-field mechanism is demonstrated by the deposition of amorphous carbon (a-C) films exhibiting significantly high hardness and tetrahedral carbon hybridization (sp3) contents higher than 70%. Such high-quality films cannot be produced by dc arc deposition without the plasma-stabilizing mechanism presented in this study.

  1. Direct-current cathodic vacuum arc system with magnetic-field mechanism for plasma stabilization

    International Nuclear Information System (INIS)

    Zhang, H.-S.; Komvopoulos, K.

    2008-01-01

    Filtered cathodic vacuum arc (FCVA) deposition is characterized by plasma beam directionality, plasma energy adjustment via substrate biasing, macroparticle filtering, and independent substrate temperature control. Between the two modes of FCVA deposition, namely, direct current (dc) and pulsed arc, the dc mode yields higher deposition rates than the pulsed mode. However, maintaining the dc arc discharge is challenging because of its inherent plasma instabilities. A system generating a special configuration of magnetic field that stabilizes the dc arc discharge during film deposition is presented. This magnetic field is also part of the out-of-plane magnetic filter used to focus the plasma beam and prevent macroparticle film contamination. The efficiency of the plasma-stabilizing magnetic-field mechanism is demonstrated by the deposition of amorphous carbon (a-C) films exhibiting significantly high hardness and tetrahedral carbon hybridization (sp 3 ) contents higher than 70%. Such high-quality films cannot be produced by dc arc deposition without the plasma-stabilizing mechanism presented in this study

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Deposition of thin layers of boron nitrides and hydrogenated microcrystalline silicon assisted by high current direct current arc plasma; Deposition assistee par un plasma a arc a haut courant continu de couches minces de Nitrure de Bore et de Silicium microcristallin hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    Franz, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    1999-09-01

    In the frame of this thesis, a high current direct current arc (HCDCA) used for the industrial deposition of diamond, has been adapted to study the deposition of two types of coatings: a) boron nitride, whose cubic phase is similar to diamond, for tribological applications, b) hydrogenated microcrystalline silicon, for applications in the semiconductor fields (flat panel displays, solar cells,...). For the deposition of these coatings, the substrates were placed in the diffusion region of the arc. The substrate heating is mainly due to atomic species recombining on its surface. The deposition temperature, varying from 300 to 900 {sup o}C according to the films deposited, is determined by the substrate position, the arc power and the injected gas fluxes, without the use of any external heating or cooling system. Measurements performed on the arc plasma show that the electronic temperature is around 2 eV (23'000 K) while the gas temperature is lower than 5500 K. Typical electronic densities are in the range of 10{sup 12}-10{sup 1'}3 cm{sup -3}. For the deposition of boron nitride films, different boron precursors were used and a wide parameter range was investigated. The extreme difficulty of synthesising cubic boron nitride films by chemical vapour deposition (CVD) did not allow to stabilize the cubic phase of boron nitride in HCDCA. Coatings resulted in hexagonal or amorphous boron nitride with a chemical composition close to stoichiometric. The presence of hydrogen leads to the deposition of rough and porous films. Negative biasing of the samples, for positive ion bombardment, is commonly used to stabilize the cubic phase. In HCDCA and in our biasing range, only a densification of the films could be observed. A boron nitride deposition plasma study by infrared absorption spectroscopy in a capacitive radio frequency reactor has demonstrated the usefulness of this diagnostic for the understanding of the various chemical reactions which occur in this kind

  4. Evaluation of effective energy deposition in test fuel during power burst experiment in NSRR

    International Nuclear Information System (INIS)

    Ohnishi, Nobuaki; Inabe, Teruo

    1982-01-01

    In an inpile experiment to study the fuel behavior under reactivity-initiated accident conditions, it is of great importance to understand the time-dependent characteristics of the energy deposited in the test fuel by burst power. The evaluation of the time-dependent energy deposition requires the knowledge of the fission rates and energy deposition per fission in the test fuel, both as a function of time. In the present work, the authors attempted to evaluate the relative fission rate change in the test fuel subjected to the power burst testing in the NSRR through the measurements and analyses of the fission power changes in the NSRR. Utilizing a micro fission chamber and a conventional larger fission chamber, they successfully measured the reactor fission power change ranging over a dozen of decades in magnitude and a thousand seconds in time. The measured power transient agreed quite well with calculated results. In addition, the time-dependent energy deposition per fission in the test fuel including the energy contribution from the driver core was analytically evaluated. The analyses indicate that the energy of about 175 MeV/fission is promptly deposited in the test fuel and that the additional energy of about 11 MeV is deposited afterwards. Finally the fractions of energy deposited in the test fuel until various times after power burst were determined by coupling the time-dependent relative fissions and energy deposition per fission in the test fuel. The prompt energy deposition ranges from about 50 to 80% of the total energy deposition for the reactivity insertion between 1.5 and 4.7 $, and the remaining is the delayed energy deposition. (author)

  5. Frequency effects in silane plasmas for PECVD

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.; Finger, F.; Kroll, U.

    1991-09-01

    It is generally recognised that the excitation frequency is an important parameter in rf plasma-assisted deposition. VHF silane plasmas (50-100 MHz) have been shown to produce high quality amorphous silicon films up to 20 A/s, and therefore the aim of this work is to compare the VHF range with the 13.56 MHz industrial frequency in the same reactor. The principal diagnostics used are electrical measurements and a CCD camera for spatially-resolved plasma-induced emission with Abel inversion of the plasma image. We present a comparative study of key discharge parameters such as deposition rates, plasma uniformity, ion impact energy, power transfer efficiency and powder formation for the rf range 13-70 MHz. (author) 5 figs., 19 refs

  6. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  7. Self-generated magnetic fields and energy transport by ultra-intense laser-plasma interaction

    International Nuclear Information System (INIS)

    Abudurexiti, A.; Tuniyazi, P.; Wang Qian

    2011-01-01

    The electromagnetic instability (Weibel instability) and its mechanism in ultra-intense laser-plasma interactions are studied by using three-dimensional particle-in-cell simulations. The transport of energy in electron thermal conduction is analyzed by the Spitzer-Harm theory, and the election's vertical pyrogenation phenomenon that resulted from anisotropic heating of laser is observed. The results indicate that the strong magnetic field excited by Weibel instability makes the electron beam deposit its energy within a very short distance, and it restrains the electron thermal flux formed when the laser ponderomotive force bursts through the electron. With the increase of the self-generated magnetic field, the electron will be seized by the wave of magnetic field, and the transport of heat will be restricted. (authors)

  8. Tungsten oxide coatings deposited by plasma spray using powder and solution precursor for detection of nitrogen dioxide gas

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chao, E-mail: zhangc@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Wang, Jie [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Geng, Xin [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); College of Chemistry and Chemical Engineering, Yangzhou University, Yangzhou 225002 (China)

    2016-05-25

    Increasing attention has been paid on preparation methods for resistive-type gas sensors based on semiconductor metal oxides. In this work, tungsten oxide (WO{sub 3}) coatings were prepared on alumina substrates and used as gas sensitive layers. The coatings were deposited by atmospheric plasma spray using powder, solution precursor, or a combination of both. Tungsten oxide powder through a powder port and ammonium tungstate aqueous solution through a liquid port were injected into plasma stream respectively or together to deposit WO{sub 3} coatings. Phase structures in the coatings were characterized by X-ray diffraction analyzer. The field-emission scanning electron microscopy images confirmed that the coatings were in microstructure, nanostructure or micro-nanostructure. The sensing properties of the sensors based on the coatings exposed to 1 ppm nitrogen dioxide gas were characterized in a home-made instrument. Sensing properties of the coatings were compared and discussed. The influences of gas humidity and working temperature on the sensor responses were further studied. - Highlights: • Porous gas sensitive coatings were deposited by plasma spray using powder and solution precursor. • Crystallized WO{sub 3} were obtained through hybrid plasma spray plus a pre-conditioned step. • Plasma power had an important influence on coating microstructure. • The particle size of atmospheric plasma-sprayed microstructured coating was stable. • Solution precursor plasma-sprayed WO{sub 3} coatings had nanostructure and showed good responses to 1 ppm NO{sub 2}.

  9. Measurements of poloidal and toroidal energy deposition asymmetries in the ASDEX divertors

    International Nuclear Information System (INIS)

    Evans, T.E.

    1991-03-01

    Energy deposition characteristics in the ASDEX divertors have been analyzed over a wide range of discharges and wall conditions during ohmically heated, additionally heated, or lower hybrid current drive experiments. Changes in discharge operating parameters with high power additional heating produce a diversity of effects on the magnitudes and distributions of the energy absorbed in the divertors. Poloidally and toroidally resolved energy deposition patterns are particularly sensitive to changes in the edge safety factor, the type and power level of additional heating used, and the vertical position of the plasma. In most additionally heated discharges, a large fraction of the incremental divertor loading is found on only one or two target rings. Poloidal in-out asymmetries, which typically favor the low-field side by a factor of 2.5 in ohmic discharges, commonly range between a factor of 2.5 and 4.5 in additionally heated experiments and in extreme cases can be as large as a factor of 5.6. At the same time, toroidal asymmetries on individual target rings are found to range between a factor of 1.4 and 3.8 in typical ICRH and NBI cases with extreme LHCD cases of 4.3. A model, proposed to explain the cause of discharge asymmetries, is compared with the experimental observations. Under some conditions, for example during LHCD experiments, the model is in good agreement with the data. A method is proposed for supressing discharge asymmetries which may generally improve the divertor performance as well. (orig./AH)

  10. Negative ion mass spectra and particulate formation in rf silane plasma deposition experiments

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.

    1992-09-01

    Negative ions have been clearly identified in silane rf plasmas used for the deposition of amorphous silicon. Mass spectra were measured for monosilicon up to pentasilicon negative ion radical groups in power-modulated plasmas by means of a mass spectrometer mounted just outside the glow region. Negative ions were only observed over a limited range of power modulation frequency which corresponds to particle-free conditions. The importance of negative ions regarding particulate formation is demonstrated and commented upon. (author) 3 figs., 19 refs

  11. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  12. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  13. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    International Nuclear Information System (INIS)

    Moeller, Soeren

    2014-01-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO 2 and H 2 O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  14. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Soeren

    2014-11-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO{sub 2} and H{sub 2}O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  15. Modeling the energy deposition in the Aurora KrF laser amplifier chain

    International Nuclear Information System (INIS)

    Comly, J.C.; Czuchlewski, S.J.; Greene, D.P.; Hanson, D.E.; Krohn, B.J.; McCown, A.W.

    1988-01-01

    Monte Carlo calculations model the energy depositions by highly energetic electron beams into the cavities of the four KrF laser amplifiers in the Aurora chain. Deposited energy density distributions are presented and studied as functions of e-beam energy and gas pressure. Results are useful for analyzing small signal gain (SSG) measurements and optimizing deposition in future experiments. 7 refs., 7 figs., 1 tab

  16. Coupling of laser energy into plasma channels

    International Nuclear Information System (INIS)

    Dimitrov, D. A.; Giacone, R. E.; Bruhwiler, D. L.; Busby, R.; Cary, J. R.; Geddes, C. G. R.; Esarey, E.; Leemans, W. P.

    2007-01-01

    Diffractive spreading of a laser pulse imposes severe limitations on the acceleration length and maximum electron energy in the laser wake field accelerator (LWFA). Optical guiding of a laser pulse via plasma channels can extend the laser-plasma interaction distance over many Rayleigh lengths. Energy efficient coupling of laser pulses into and through plasma channels is very important for optimal LWFA performance. Results from simulation parameter studies on channel guiding using the particle-in-cell (PIC) code VORPAL [C. Nieter and J. R. Cary, J. Comput. Phys. 196, 448 (2004)] are presented and discussed. The effects that density ramp length and the position of the laser pulse focus have on coupling into channels are considered. Moreover, the effect of laser energy leakage out of the channel domain and the effects of tunneling ionization of a neutral gas on the guided laser pulse are also investigated. Power spectral diagnostics were developed and used to separate pump depletion from energy leakage. The results of these simulations show that increasing the density ramp length decreases the efficiency of coupling a laser pulse to a channel and increases the energy loss when the pulse is vacuum focused at the channel entrance. Then, large spot size oscillations result in increased energy leakage. To further analyze the coupling, a differential equation is derived for the laser spot size evolution in the plasma density ramp and channel profiles are simulated. From the numerical solution of this equation, the optimal spot size and location for coupling into a plasma channel with a density ramp are determined. This result is confirmed by the PIC simulations. They show that specifying a vacuum focus location of the pulse in front of the top of the density ramp leads to an actual focus at the top of the ramp due to plasma focusing, resulting in reduced spot size oscillations. In this case, the leakage is significantly reduced and is negligibly affected by ramp length

  17. Simulation of the fluctuations of energy and charge deposited during e-beam exposure

    International Nuclear Information System (INIS)

    Borisov, S. S.; Zaitsev, S. I.; Grachev, E. A.

    2007-01-01

    The stochastic nature of an energy and charge deposition process is examined using a model based on discrete loss approximation (DLA). Deposited energy deviations computed using the continuous slowing down approximation (CSDA) and DLA are compared. It is shown that CSDA underestimates fluctuations in deposited energy

  18. Recent development in high energy plasma production techniques by the deflagration plasma gun

    International Nuclear Information System (INIS)

    Cheng, D.Y.; Chang, C.N.; Tripathi, P.P.

    1983-01-01

    This chapter reports experimental data and experience which establish the phenomenon of deflagration in plasma as unique and with quite different properties from the normal snowplow modes. Demonstrates that extremely high velocities and energies in plasma beams are possible with obvious applications in many field and, in particular, in fusion. Suggests that the potential of deflagration beams' scalability to very high energy quasi-neutral plasma beam is possible with present day technology. Discusses plasma deflagration in a T-tube; coaxial deflagration plasma guns; a typical deflagration gun and its operating procedures; electrical design considerations; kinetic theory point of view of the deflagration acceleration of particles; measurements and results; properties of the deflagration gun; applications; inertial confinement experiments; injection into magnetic confinement systems; interaction experiments; and highly energetic beams

  19. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    Science.gov (United States)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  20. Numerical Simulation of Energy Conversion Mechanism in Electric Explosion

    Science.gov (United States)

    Wanjun, Wang; Junjun, Lv; Mingshui, Zhu; Qiubo, Fu; EFIs Integration R&D Group Team

    2017-06-01

    Electric explosion happens when micron-scale metal films such as copper film is stimulated by short-time current pulse, while generating high temperature and high pressure plasma. The expansion process of the plasma plays an important role in the study of the generation of shock waves and the study of the EOS of matter under high pressure. In this paper, the electric explosion process is divided into two stages: the energy deposition stage and the quasi-isentropic expansion stage, and a dynamic EOS of plasma considering the energy replenishment is established. On this basis, flyer driven by plasma is studied numerically, the pressure and the internal energy of plasma in the energy deposition stage and the quasi - isentropic expansion stage are obtained by comparing the velocity history of the flyer with the experimental results. An energy conversion model is established, and the energy conversion efficiency of each process is obtained, and the influence of impedance matching relationship between flyer and metal plasma on the energy conversion efficiency is proposed in this paper.

  1. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  2. Plasma interactions determine the composition in pulsed laser deposited thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Laboratory of Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2014-09-15

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La{sub 0.6}Sr{sub 0.4}MnO{sub 3}, we demonstrate for as grown La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} films that a congruent transfer of metallic species is achieved in two pressure windows: ∼10{sup −3} mbar and ∼2 × 10{sup −1} mbar. In the intermediate pressure range, La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  3. Spatially resolved electron density and electron energy distribution function in Ar magnetron plasmas used for sputter-deposition of ZnO-based thin films

    Energy Technology Data Exchange (ETDEWEB)

    Maaloul, L.; Gangwar, R. K.; Morel, S.; Stafford, L., E-mail: luc.stafford@umontreal.ca [Département de Physique, Université de Montréal, Montréal, Québec H3C 3J7 (Canada)

    2015-11-15

    Langmuir probe and trace rare gases optical emission spectroscopy were used to analyze the spatial structure of the electron density and electron energy distribution function (EEDF) in a cylindrical Ar magnetron plasma reactor used for sputter-deposition of ZnO-based thin films. While a typical Bessel (zero order) diffusion profile was observed along the radial direction for the number density of charged particles at 21 cm from the ZnO target, a significant rise of these populations with respect to the Bessel function was seen in the center of the reactor at 4 cm from the magnetron surface. As for the EEDF, it was found to transform from a more or less Maxwellian far from the target to a two-temperature Maxwellian with a depletion of high-energy electrons where magnetic field confinement effects become important. No significant change in the behavior of the electron density and EEDF across a wide range of pressures (5–100 mTorr) and self-bias voltages (115–300 V) was observed during magnetron sputtering of Zn, ZnO, and In{sub 2}O{sub 3} targets. This indicates that sputtering of Zn, In, and O atoms do not play a very significant role on the electron particle balance and electron heating dynamics, at least over the range of experimental conditions investigated.

  4. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  5. Stirring Strongly Coupled Plasma

    CERN Document Server

    Fadafan, Kazem Bitaghsir; Rajagopal, Krishna; Wiedemann, Urs Achim

    2009-01-01

    We determine the energy it takes to move a test quark along a circle of radius L with angular frequency w through the strongly coupled plasma of N=4 supersymmetric Yang-Mills (SYM) theory. We find that for most values of L and w the energy deposited by stirring the plasma in this way is governed either by the drag force acting on a test quark moving through the plasma in a straight line with speed v=Lw or by the energy radiated by a quark in circular motion in the absence of any plasma, whichever is larger. There is a continuous crossover from the drag-dominated regime to the radiation-dominated regime. In the crossover regime we find evidence for significant destructive interference between energy loss due to drag and that due to radiation as if in vacuum. The rotating quark thus serves as a model system in which the relative strength of, and interplay between, two different mechanisms of parton energy loss is accessible via a controlled classical gravity calculation. We close by speculating on the implicati...

  6. Investigation on the correlation between energy deposition and clustered DNA damage induced by low-energy electrons.

    Science.gov (United States)

    Liu, Wei; Tan, Zhenyu; Zhang, Liming; Champion, Christophe

    2018-05-01

    This study presents the correlation between energy deposition and clustered DNA damage, based on a Monte Carlo simulation of the spectrum of direct DNA damage induced by low-energy electrons including the dissociative electron attachment. Clustered DNA damage is classified as simple and complex in terms of the combination of single-strand breaks (SSBs) or double-strand breaks (DSBs) and adjacent base damage (BD). The results show that the energy depositions associated with about 90% of total clustered DNA damage are below 150 eV. The simple clustered DNA damage, which is constituted of the combination of SSBs and adjacent BD, is dominant, accounting for 90% of all clustered DNA damage, and the spectra of the energy depositions correlating with them are similar for different primary energies. One type of simple clustered DNA damage is the combination of a SSB and 1-5 BD, which is denoted as SSB + BD. The average contribution of SSB + BD to total simple clustered DNA damage reaches up to about 84% for the considered primary energies. In all forms of SSB + BD, the SSB + BD including only one base damage is dominant (above 80%). In addition, for the considered primary energies, there is no obvious difference between the average energy depositions for a fixed complexity of SSB + BD determined by the number of base damage, but average energy depositions increase with the complexity of SSB + BD. In the complex clustered DNA damage constituted by the combination of DSBs and BD around them, a relatively simple type is a DSB combining adjacent BD, marked as DSB + BD, and it is of substantial contribution (on average up to about 82%). The spectrum of DSB + BD is given mainly by the DSB in combination with different numbers of base damage, from 1 to 5. For the considered primary energies, the DSB combined with only one base damage contributes about 83% of total DSB + BD, and the average energy deposition is about 106 eV. However, the

  7. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  8. Preparation of Ta(C)N films by pulsed high energy density plasma

    Energy Technology Data Exchange (ETDEWEB)

    Feng Wenran [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Chen Guangliang [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Yan [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Gu Weichao [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Guling [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Niu Erwu [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Liu Chizi [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Yang Size [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China)

    2007-04-07

    The pulsed high energy density plasma (PHEDP) is generated in the working gas due to a high-voltage high-current discharge, within a coaxial gun. In PHEDP surface modification, discharge is applied for preparing the amorphous and nanostructured high-melting materials as thin films deposited on various substrates. In this investigation, Ta(C)N films were deposited using PHEDP on stainless steel. Pure tantalum and graphite were used as the inner and outer electrodes of the PHEDP coaxial gun, respectively. Nitrogen was used as the working gas and also one of the reactants. Preliminary study on the films prepared under different conditions shows that the formation of Ta(C)N is drastically voltage dependent. At lower gun voltage, no Ta(C)N was detected in the films; when the gun voltage reaches or exceeds 3.0 kV, Ta(C)N occurred. The films are composed of densely stacked nanocrystallines with diameter less than 30 nm, and some grains are within 10 nm in diameter.

  9. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  10. Effect of argon and hydrogen on deposition of silicon from tetrochlrosilane in cold plasmas

    Science.gov (United States)

    Manory, R. R.; d.

    1985-01-01

    The roles of Ar and H2 on the decomposition of SiCl4 in cold plasma were investigated by Langmuir probes and mass spectrometry. Decomposition of the reactant by Ar only has been found to be very slow. In presence of H2 in the plasma SiCl4 is decomposed by fast radical-molecule reactions which are further enhanced by Ar due to additional ion-molecule reactions in which more H radicals are produced. A model for the plasma-surface interactions during deposition of mu-Si in the Ar + H2 + SiCl4 system is presented.

  11. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  12. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  13. Evaluation of energy and particle impact on the plasma facing components in DEMO

    International Nuclear Information System (INIS)

    Igitkhanov, Yuri; Bazylev, Boris

    2012-01-01

    Highlights: ► We analyze the first wall blanket W/EUROFER configuration for DEMO under steady-state normal operation and off-normal conditions, such as vertical displacements events (VDE) and runaway electrons (RE). The main issue is to find the optimal thickness of the W armor which will prevent tungsten surface from evaporation and melting and, on the other hand, will keep EUROFER below the critical thermal stresses. ► The minimum thickness of the tungsten amour about 3 mm for W/EUROFER sandwich structure will keep the maximum EUROFER temperature below the critical limit for EUROFER steel under steady-state operation and ITER like cooling conditions. ► The W surface temperature and the max. EUROFER temperature are increasing with incoming heat flux. For reference conditions (Dw ∼3 mm, DEUROFER ∼4 mm) the maximum tolerable heat flux which does not causes in thermal stresses in structural material is about ∼13.5 MW/m 2 . ► The RE deposit their energy deeper into W armour and for energies ≥50 MJ/m 2 and deposition times ≤0.1 s, the minimum armor thickness required to prevent EUROFER from thermal distraction is ≥1.4 cm. ► However, at this thickness the W surface melts. For higher RE energy deposition rates (≥100 MJ/m 2 in 0.1 s), the required armor thickness to prevent thermal destruction is even larger so that the bulk of the armor layer will melt and evaporate. - Abstract: We analyze the first wall blanket W/EUROFER configuration for DEMO under steady-state normal operation and off-normal conditions, such as vertical displacement events (VDE) and runaway electrons (RE). The main issue is to find the optimal thickness of the W armor which will prevent tungsten surface from evaporation and melting and, on the other hand, will keep EUROFER below the critical thermal stresses. Under steady-state operation heat transfer into the coolant must remain below the critical heat flux (CHF) to avoid the possible severe degradation of the coolant heat

  14. Evaluation of energy and particle impact on the plasma facing components in DEMO

    Energy Technology Data Exchange (ETDEWEB)

    Igitkhanov, Yuri, E-mail: juri.gitkhanov@ihm.fzk.de [Karlsruhe Institute of Technology, IHM, Karlsruhe (Germany); Bazylev, Boris [Karlsruhe Institute of Technology, IHM, Karlsruhe (Germany)

    2012-08-15

    Highlights: Black-Right-Pointing-Pointer We analyze the first wall blanket W/EUROFER configuration for DEMO under steady-state normal operation and off-normal conditions, such as vertical displacements events (VDE) and runaway electrons (RE). The main issue is to find the optimal thickness of the W armor which will prevent tungsten surface from evaporation and melting and, on the other hand, will keep EUROFER below the critical thermal stresses. Black-Right-Pointing-Pointer The minimum thickness of the tungsten amour about 3 mm for W/EUROFER sandwich structure will keep the maximum EUROFER temperature below the critical limit for EUROFER steel under steady-state operation and ITER like cooling conditions. Black-Right-Pointing-Pointer The W surface temperature and the max. EUROFER temperature are increasing with incoming heat flux. For reference conditions (Dw {approx}3 mm, DEUROFER {approx}4 mm) the maximum tolerable heat flux which does not causes in thermal stresses in structural material is about {approx}13.5 MW/m{sup 2}. Black-Right-Pointing-Pointer The RE deposit their energy deeper into W armour and for energies {>=}50 MJ/m{sup 2} and deposition times {<=}0.1 s, the minimum armor thickness required to prevent EUROFER from thermal distraction is {>=}1.4 cm. Black-Right-Pointing-Pointer However, at this thickness the W surface melts. For higher RE energy deposition rates ({>=}100 MJ/m{sup 2} in 0.1 s), the required armor thickness to prevent thermal destruction is even larger so that the bulk of the armor layer will melt and evaporate. - Abstract: We analyze the first wall blanket W/EUROFER configuration for DEMO under steady-state normal operation and off-normal conditions, such as vertical displacement events (VDE) and runaway electrons (RE). The main issue is to find the optimal thickness of the W armor which will prevent tungsten surface from evaporation and melting and, on the other hand, will keep EUROFER below the critical thermal stresses. Under steady

  15. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  16. Study of the optical properties of deposited thin films on glass of HMDSO(C6H18Si2O) plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-06-01

    Deposition of amorphous silicone like (Si:Ox:Cy:Hz) thin films in a remote RF hollow cathode discharge plasma using HMDSO (C 6 H 1 8Si 2 O) as monomer and Ar as feed gas, has been investigated for films optical constants as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm), using different substrates: Quartz, BK7, and Glass. The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. The optical energy-band gap Eg and the optical-absorption tail E have been estimated from optical absorption spectra. The results were interpreted using plasma diagnostic by optical emission spectroscopy.(author)

  17. Thermal consequences of plasma disruptions in TFTR and ETF

    International Nuclear Information System (INIS)

    Budny, R.; Ludescher, C.

    1981-01-01

    We studied thermal responses of first walls for TFTR and ETF during plasma disruptions. To model the flux, we assumed the entire kinetic energy is deposited by axisymmetric horizontal displacement of the plasma. The deposition time is a free parameter. In TFTR, the minimum deposition time which does not cause the toroidal limiter to melt is 7 or 14 ms depending on whether or not the limiter is actively cooled. In ETF, the minimum time which does not cause surface melting of the cooling tubes is 80 ms. (author)

  18. Preparation of erosion and deposition investigations on plasma facing components in Wendelstein 7-X

    Science.gov (United States)

    Dhard, C. P.; Balden, M.; Braeuer, T.; Brezinsek, S.; Coenen, J. W.; Dudek, A.; Ehrke, G.; Hathiramani, D.; Klose, S.; König, R.; Laux, M.; Linsmeier, Ch; Manhard, A.; Masuzaki, S.; Mayer, M.; Motojima, G.; Naujoks, D.; Neu, R.; Neubauer, O.; Rack, M.; Ruset, C.; Schwarz-Selinger, T.; Pedersen, T. Sunn; Tokitani, M.; Unterberg, B.; Yajima, M.; W7-X Team1, The

    2017-12-01

    In the Wendelstein 7-X stellarator with its twisted magnetic geometry the investigation of plasma wall interaction processes in 3D plasma configurations is an important research subject. For the upcoming operation phase i.e. OP1.2, three different types of material probes have been installed within the plasma vessel for the erosion/deposition investigations in selected areas with largely different expected heat load levels, namely, ≤10 MW m-2 at the test divertor units (TDU), ≤500 kW m-2 at the baffles, heat shields and toroidal closures and ≤100 kW m-2 at the stainless steel wall panels. These include 18 exchangeable target elements at TDU, about 30 000 screw heads at graphite tiles and 44 wafer probes on wall panels, coated with marker layers. The layer thicknesses, surface morphologies and the impurity contents were pre-characterized by different techniques and subjected to various qualification tests. The positions of these probes were fixed based on the strike line locations on the divertor predicted by field line diffusion and EMC3/EIRENE modeling calculations for the OP1.2 plasma configurations and availability of locations on panels in direct view of the plasma. After the first half of the operation phase i.e. OP1.2a the probes will be removed to determine the erosion/deposition pattern by post-mortem analysis and replaced by a new set for the second half of the operation phase, OP1.2b.

  19. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  20. Space and phase resolved ion energy and angular distributions in single- and dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yiting; Kushner, Mark J. [Department of Electrical Engineering and Computer Science, University of Michigan, 1301 Beal Ave., Ann Arbor, Michigan 48109-2122 (United States); Moore, Nathaniel; Pribyl, Patrick; Gekelman, Walter [Department of Physics, University of California, Los Angeles, California 90095 (United States)

    2013-11-15

    The control of ion energy and angular distributions (IEADs) is critically important for anisotropic etching or deposition in microelectronic fabrication processes. With single frequency capacitively coupled plasmas (CCPs), the narrowing in angle and spread in energy of ions as they cross the sheath are definable functions of frequency, sheath width, and mean free path. With increases in wafer size, single frequency CCPs are finding difficulty in meeting the requirement of simultaneously controlling plasma densities, ion fluxes, and ion energies. Dual-frequency CCPs are being investigated to provide this flexible control. The high frequency (HF) is intended to control the plasma density and ion fluxes, while the ion energies are intended to be controlled by the low frequency (LF). However, recent research has shown that the LF can also influence the magnitude of ion fluxes and that IEADs are determined by both frequencies. Hence, separate control of fluxes and IEADs is complex. In this paper, results from a two-dimensional computational investigation of Ar/O{sub 2} plasma properties in an industrial reactor are discussed. The IEADs are tracked as a function of height above the substrate and phase within the rf cycles from the bulk plasma to the presheath and through the sheath with the goal of providing insights to this complexity. Comparison is made to laser-induced fluorescence experiments. The authors found that the ratios of HF/LF voltage and driving frequency are critical parameters in determining the shape of the IEADs, both during the transit of the ion through the sheath and when ions are incident onto the substrate. To the degree that contributions from the HF can modify plasma density, sheath potential, and sheath thickness, this may provide additional control for the IEADs.

  1. High-energy high-rate pulsed-power processing of materials by powder consolidation and by railgun deposition. Technical report (Final), 10 April 1985-10 February 1987

    Energy Technology Data Exchange (ETDEWEB)

    Persad, C.; Marcus, H.L.; Weldon, W.F.

    1987-03-31

    This exploratory research program was initiated to investigate the potential of using pulse power sources for powder consolidation, deposition and other high-energy high-rate processing. The characteristics of the high-energy-high-rate (1MJ/s) powder consolidation using megampere current pulses from a homopolar generator, were defined. Molybdenum Alloy TZM, a nickel-based metallic glass, copper/graphite composites, and P/M aluminum alloy X7091 were investigated. The powder-consolidation process produced high densification rates. Density values of 80% to 99% could be obtained with subsecond high-temperature exposure. Specific energy input and applied pressure were controlling process parameters. Time temperature transformation (TTT) concepts underpin a fundamental understanding of pulsed power processing. Inherent control of energy input, and time-to-peak processing temperature developed to be held to short times. Deposition experiments were conducted using an exploding-foil device (EFD) providing an armature feed to railgun mounted in a vacuum chamber. The material to be deposited - in plasma, gas, liquid, or solid state - was accelerated electromagnetically in the railgun and deposited on a substrate. Deposits of a wide variety of single- and multi-specie materials were produced on several types of substrates. In a series of ancillary experiments, pulsed-skin-effect heating and self quenching of metallic conductors was discovered to be a new means of surface modification by high-energy high-rate-processing.

  2. Magnetic fields and uniformity of radio frequency power deposition in low-frequency inductively coupled plasmas with crossed internal oscillating currents

    DEFF Research Database (Denmark)

    Tsakadze, Erekle; Ostrikov, K.N.; Tsakadze, Z.L.

    2004-01-01

    ) discharge modes using two miniature magnetic probes. It is shown that the radial uniformity and depth of the rf power deposition can be improved as compared with conventional sources of inductively coupled plasmas with external flat spiral ("pancake") antennas. Relatively deeper rf power deposition...... in the plasma source results in more uniform profiles of the optical emission intensity, which indicates on the improvement of the plasma uniformity over large chamber volumes. The results of the numerical modeling of the radial magnetic field profiles are found in a reasonable agreement with the experimental...

  3. Study of energy deposition in heavy-ion reactions

    International Nuclear Information System (INIS)

    Mota, V. De La; Abgrall, P.; Sebille, F.; Haddad, F.

    1993-01-01

    An investigation of energy deposition mechanisms in heavy-ion reactions at intermediate energies is presented. Theoretical simulations are performed in the framework of the semi-classical Landau-Vlasov model. They emphasize the influence of the initial non-equilibrium conditions, and the connection with the incident energy is discussed. Characteristic times involved in the energy thermalization process and finite size effects are analyzed. (authors) 20 refs., 4 figs

  4. Improving Erosion Resistance of Plasma-Sprayed Ceramic Coatings by Elevating the Deposition Temperature Based on the Critical Bonding Temperature

    Science.gov (United States)

    Yao, Shu-Wei; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Interlamellar bonding within plasma-sprayed coatings is one of the most important factors dominating the properties and performance of coatings. The interface bonding between lamellae significantly influences the erosion behavior of plasma-sprayed ceramic coatings. In this study, TiO2 and Al2O3 coatings with different microstructures were deposited at different deposition temperatures based on the critical bonding temperature concept. The erosion behavior of ceramic coatings was investigated. It was revealed that the coatings prepared at room temperature exhibit a typical lamellar structure with numerous unbonded interfaces, whereas the coatings deposited at the temperature above the critical bonding temperature present a dense structure with well-bonded interfaces. The erosion rate decreases sharply with the improvement of interlamellar bonding when the deposition temperature increases to the critical bonding temperature. In addition, the erosion mechanisms of ceramic coatings were examined. The unbonded interfaces in the conventional coatings act as pre-cracks accelerating the erosion of coatings. Thus, controlling interlamellar bonding formation based on the critical bonding temperature is an effective approach to improve the erosion resistance of plasma-sprayed ceramic coatings.

  5. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A relativistic electron beam generator or accelerator produces a high-voltage electron beam which is modulated to initiate electron bunching within the beam which is then applied to a high-density target plasma which typically comprises DT, DD, or similar thermonuclear gas at a density of 10 17 to 10 20 electrons per cubic centimeter. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy into a small localized region of the high-density plasma target. The high-temperature plasma can be used to heat a high Z material to generate radiation. Alternatively, a tunable radiation source is produced by using a moderate Z gas or a mixture of high Z and low Z gas as the target plasma. (author)

  6. Heat loads on plasma facing components during disruptions on JET

    International Nuclear Information System (INIS)

    Arnoux, G.; Riccardo, V.; Fundamenski, W.; Loarte, A.; Huber, A.

    2009-01-01

    For the first time, fast measurements of heat loads on the main chamber plasma facing components (about 1 ms time resolution) during disruptions are taken on JET. The timescale of energy deposition during the thermal quench is estimated and compared with the timescale of the core plasma collapse measured with soft x-ray diagnostic. The energy deposition time is 3-8 times longer than the plasma energy collapse during density limit disruptions or radiative limit disruptions. This factor is rather in the range 1.5-4 for vertical displacement events. The heat load profiles measured during the thermal quench show substantial broadening of the power footprint on the upper dump plate. The scrape-off layer power width is increased by a factor of 3 for the density limit disruptions. The far scrape-off layer is characterized by a steeper gradient which could be explained by shadowing of the dump plate by other main chamber plasma facing components such as the outer limiter.

  7. PRISM -- A tool for modelling proton energy deposition in semiconductor materials

    International Nuclear Information System (INIS)

    Oldfield, M.K.; Underwood, C.I.

    1996-01-01

    This paper presents a description of, and test results from, a new PC based software simulation tool PRISM (Protons in Semiconductor Materials). The model describes proton energy deposition in complex 3D sensitive volumes of semiconductor materials. PRISM is suitable for simulating energy deposition in surface-barrier detectors and semiconductor memory devices, the latter being susceptible to Single-Event Upset (SEU) and Multiple-Bit Upset (MBU). The design methodology on which PRISM is based, together with the techniques used to simulate ion transport and energy deposition, are described. Preliminary test results used to analyze the PRISM model are presented

  8. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  9. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  10. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  11. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  12. Energy coupling to the plasma in repetitive nanosecond pulse discharges

    International Nuclear Information System (INIS)

    Adamovich, Igor V.; Nishihara, Munetake; Choi, Inchul; Uddi, Mruthunjaya; Lempert, Walter R.

    2009-01-01

    A new analytic quasi-one-dimensional model of energy coupling to nanosecond pulse discharge plasmas in plane-to-plane geometry has been developed. The use of a one-dimensional approach is based on images of repetitively pulsed nanosecond discharge plasmas in dry air demonstrating that the plasma remains diffuse and uniform on a nanosecond time scale over a wide range of pressures. The model provides analytic expressions for the time-dependent electric field and electron density in the plasma, electric field in the sheath, sheath boundary location, and coupled pulse energy. The analytic model predictions are in very good agreement with numerical calculations. The model demonstrates that (i) the energy coupled to the plasma during an individual nanosecond discharge pulse is controlled primarily by the capacitance of the dielectric layers and by the breakdown voltage and (ii) the pulse energy coupled to the plasma during a burst of nanosecond pulses decreases as a function of the pulse number in the burst. This occurs primarily because of plasma temperature rise and resultant reduction in breakdown voltage, such that the coupled pulse energy varies approximately proportionally to the number density. Analytic expression for coupled pulse energy scaling has been incorporated into the air plasma chemistry model, validated previously by comparing with atomic oxygen number density measurements in nanosecond pulse discharges. The results of kinetic modeling using the modified air plasma chemistry model are compared with time-resolved temperature measurements in a repetitively pulsed nanosecond discharge in air, by emission spectroscopy, and purely rotational coherent anti-Stokes Raman spectroscopy showing good agreement.

  13. Geomagnetic activity effects on plasma sheet energy conversion

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2010-10-01

    Full Text Available In this article we use three years (2001, 2002, and 2004 of Cluster plasma sheet data to investigate what happens to localized energy conversion regions (ECRs in the plasma sheet during times of high magnetospheric activity. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have studied the influence on Concentrated Load Regions (CLRs and Concentrated Generator Regions (CGRs from variations in the geomagnetic disturbance level as expressed by the Kp, the AE, and the Dst indices. We find that the ECR occurrence frequency increases during higher magnetospheric activities, and that the ECRs become stronger. This is true both for CLRs and for CGRs, and the localized energy conversion therefore concerns energy conversion in both directions between the particles and the fields in the plasma sheet. A higher geomagnetic activity hence increases the general level of energy conversion in the plasma sheet. Moreover, we have shown that CLRs live longer during magnetically disturbed times, hence converting more electromagnetic energy. The CGR lifetime, on the other hand, seems to be unaffected by the geomagnetic activity level. The evidence for increased energy conversion during geomagnetically disturbed times is most clear for Kp and for AE, but there are also some indications that energy conversion increases during large negative Dst. This is consistent with the plasma sheet magnetically mapping to the auroral zone, and therefore being more tightly coupled to auroral activities and variations in the AE and Kp indices, than to variations in the ring current region as described by the Dst index.

  14. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  15. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  16. Energy distribution and transfer in flowing hydrogen microwave plasmas

    International Nuclear Information System (INIS)

    Chapman, R.A.

    1987-01-01

    This thesis is an experimental investigation of the physical and chemical properties of a hydrogen discharge in a flowing microwave plasma system. The plasma system is the mechanisms utilized in an electrothermal propulsion concept to convert electromagnetic energy into the kinetic energy of flowing hydrogen gas. The plasmas are generated inside a 20-cm ID resonant cavity at a driving frequency of 2.45 GHz. The flowing gas is contained in a coaxially positioned 22-mm ID quartz discharge tube. The physical and chemical properties are examined for absorbed powers of 20-100 W, pressures of 0.5-10 torr, and flow rates of 0-10,000 μ-moles/sec. A calorimetry system enclosing the plasma system to accurately measure the energy inputs and outputs has been developed. The rate of energy that is transferred to the hydrogen gas as it flows through the plasma system is determined as a function of absorbed power, pressure, and flow rate to +/-1.8 W from an energy balance around the system. The percentage of power that is transferred to the gas is found to increase with increasing flow rate, decrease with increasing pressure, and to be independent of absorbed power

  17. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  18. Improvement of confinement characteristics of tokamak plasma by controlling plasma-wall interactions

    International Nuclear Information System (INIS)

    Sengoku, Seio

    1985-08-01

    Relation between plasma-wall interactions and confinement characteristics of a tokamak plasma with respect to both impurity and fuel particle controls is discussed. Following results are obtained from impurity control studies: (1) Ion sputtering is the dominant mechanism of impurity release in a steady state tokamak discharge. (2) By applying carbon coating on entire first wall of DIVA tokamak, dominant radiative region is concentrated more in boundary plasma resulting a hot peripheral plasma with cold boundary plasma. (3) A physical model of divertor functions about impurity control is empilically obtained. By a computer simulation based on above model with respect to divertor functions for JT-60 tokamak, it is found that the allowable electron temperature of the divertor plasma is not restricted by a condition that the impurity release due to ion sputtering does not increase continuously. (4) Dense and cold divertor plasma accompanied with strong remote radiative cooling was diagnosed along the magnetic field line in the simple poloidal divertor of DOUBLET III tokamak. Strong particle recycling region is found to be localized near the divertor plate. by and from particle control studies: (1) The INTOR scaling on energy confinement time is applicable to high density region when a core plasma is fueled directly by solid deuterium pellet injection in DOUBLET III tokamak. (2) As remarkably demonstrated by direct fueling with pellet injection, energy confinement characteristics can be improved at high density range by decreasing particle deposition at peripheral plasma in order to reduce plasma-wall interaction. (3) If the particle deposition at boundary layer is necessarily reduced, the electron temperature at the boundary or divertor region increases due to decrease of the particle recycling and the electron density there. (J.P.N.)

  19. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  20. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  1. Optimization design of energy deposition on single expansion ramp nozzle

    Science.gov (United States)

    Ju, Shengjun; Yan, Chao; Wang, Xiaoyong; Qin, Yupei; Ye, Zhifei

    2017-11-01

    Optimization design has been widely used in the aerodynamic design process of scramjets. The single expansion ramp nozzle is an important component for scramjets to produces most of thrust force. A new concept of increasing the aerodynamics of the scramjet nozzle with energy deposition is presented. The essence of the method is to create a heated region in the inner flow field of the scramjet nozzle. In the current study, the two-dimensional coupled implicit compressible Reynolds Averaged Navier-Stokes and Menter's shear stress transport turbulence model have been applied to numerically simulate the flow fields of the single expansion ramp nozzle with and without energy deposition. The numerical results show that the proposal of energy deposition can be an effective method to increase force characteristics of the scramjet nozzle, the thrust coefficient CT increase by 6.94% and lift coefficient CN decrease by 26.89%. Further, the non-dominated sorting genetic algorithm coupled with the Radial Basis Function neural network surrogate model has been employed to determine optimum location and density of the energy deposition. The thrust coefficient CT and lift coefficient CN are selected as objective functions, and the sampling points are obtained numerically by using a Latin hypercube design method. The optimized thrust coefficient CT further increase by 1.94%, meanwhile, the optimized lift coefficient CN further decrease by 15.02% respectively. At the same time, the optimized performances are in good and reasonable agreement with the numerical predictions. The findings suggest that scramjet nozzle design and performance can benefit from the application of energy deposition.

  2. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  3. Thermal deposition analysis during disruptions on DIII-D using infrared scanners

    International Nuclear Information System (INIS)

    Lee, R.L.; Hyatt, A.W.; Kellman, A.G.; Taylor, P.L.; Lasnier, C.J.

    1995-12-01

    The DIII-D tokamak generates plasma discharges with currents up to 3 MA and auxiliary input power up to 20 MW from neutral beams and 4 MW from radio frequency systems. In a disruption, a rapid loss of the plasma current and internal thermal energy occurs and the energy is deposited onto the torus graphite wall. Quantifying the spatial and temporal characteristics of the heat deposition is important for engineering and physics-related issues, particularly for designing future machines such as ITER. Using infrared scanners with a time resolution of 120 micros, measurements of the heat deposition onto the all-graphite walls of DIII-D during two types of disruptions have been made. Each scanner contains a single point detector sensitive to 8--12 microm radiation, allowing surface temperatures from 20 C to 2,000 C to be measured. A zinc selenide window that transmits in the infrared is used as the vacuum window. Views of the upper and lower divertor regions and the centerpost provide good coverage of the first wall for single and double null divertor discharges. During disruptions, the thermal energy is not deposited evenly onto the inner surface of the tokamak, but is deposited primarily in the divertor region when operating diverted discharges. Analysis of the heat deposition during a radiative collapse disruption of a 1.5 MA discharge revealed power densities of 300--350 MW/m 2 in the divertor region. During the thermal quench of the disruption, the energy deposited onto the divertor region was more than 70% of the stored thermal energy in the discharge prior to the disruption. The spatial distribution and temporal behavior of power deposition during high β disruptions will also be presented

  4. Fossil fuel energy resources of Ethiopia: Coal deposits

    Energy Technology Data Exchange (ETDEWEB)

    Wolela, Ahmed [Department of Petroleum Operations, Ministry of Mines and Energy, Kotebe Branch Office, P. O. Box-486, Addis Ababa (Ethiopia)

    2007-11-22

    The gravity of Ethiopian energy problem has initiated studies to explore various energy resources in Ethiopia, one among this is the exploration for coal resources. Studies confirmed the presence of coal deposits in the country. The coal-bearing sediments are distributed in the Inter-Trappean and Pre-Trap volcanic geological settings, and deposited in fluvio-lacustrine and paludal environments in grabens and half-grabens formed by a NNE-SSW and NNW-SSE fault systems. Most significant coal deposits are found in the Inter-Trappean geological setting. The coal and coal-bearing sediments reach a maximum thickness of 4 m and 300 m, respectively. The best coal deposits were hosted in sandstone-coal-shale and mudstone-coal-shale facies. The coal formations of Ethiopia are quite unique in that they are neither comparable to the coal measures of the Permo-Carboniferous Karroo Formation nor to the Late Devonian-Carboniferous of North America or Northwestern Europe. Proximate analysis and calorific value data indicated that the Ethiopian coals fall under lignite to high volatile bituminous coal, and genetically are classified under humic, sapropelic and mixed coal. Vitrinite reflectance studies confirmed 0.3-0.64% Ro values for the studied coals. Palynology studies confirmed that the Ethiopian coal-bearing sediments range in age from Eocene to Miocene. A total of about 297 Mt of coal reserve registered in the country. The coal reserve of the country can be considered as an important alternative source of energy. (author)

  5. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  6. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  7. Plasma physics: innovation in energy and industrial technology

    International Nuclear Information System (INIS)

    Harris, J.H.

    2000-01-01

    Full text: Plasmas-ionised gases-are truly ubiquitous. More than 99% of the matter in the universe is in the plasma state. All of the matter that comprises the Earth, and all of the energy that powers it, has been processed through plasma fusion reactions in stars. Plasmas also play a crucial role in the Earth's atmosphere, which screens out harmful radiation, and make long distance radio propagation possible. While the study of plasma physics was originally motivated by astrophysics, the discipline has grown to address terrestrial concerns. These include lighting, welding, the switching of large electrical currents, the processing of materials such as semiconductors, and the quest to build fusion power reactors artificial stars for low-emissions generation of electricity from hydrogen isotopes. Plasma physics is fundamentally multi-disciplinary. It requires understanding not only of the complex collective behaviour of ionised gases in unusual conditions, but also knowledge of the atomic and nuclear physics that determines how plasmas are formed and maintained, and the specialised engineering and instrumentation of the mechanical and electromagnetic containers needed to confine plasmas on Earth. These characteristics make plasma physics a fertile breeding ground for imagination and innovation. This paper draws together examples of innovation stimulated by plasma physics research in the areas of energy, materials, communications, and computation

  8. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  9. Acid gas degradation by non-thermal plasma and energy estimation

    International Nuclear Information System (INIS)

    Valdivia-Barrientos, R; Pacheco-Sotelo, J; Pacheco-Pacheco, M; Rivera-Rodríguez, C; Ibañez-Olvera, M; Estrada-Martinez, N; Silva-Rosas, J

    2012-01-01

    This paper describes a method to estimate the energy efficiency in the system performed to treat acid gases by plasma discharges. The electrical energy consumed by the plasma is evaluated by an electrical diagnosis, taking into account the experimental voltage and current applied to the power source. The estimation of the electrical energy generated by solid oxide fuel cells is based on the method of modeling the energy produced by the species generated by the plasma discharge and taking also into account the temperature of the gases.

  10. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  11. The energy deposition of slowing down particles in heterogeneous media

    International Nuclear Information System (INIS)

    Prinja, A.K.; Williams, M.M.R.

    1980-01-01

    Energy deposition by atomic particles in adjacent semi-infinite, amorphous media is described using the forward form of the Boltzmann transport equation. A transport approximation to the scattering kernel, developed elsewhere, incorporating realistic energy transfer is employed to assess the validity of the commonly used isotropic-scattering and straight-ahead approximations. Results are presented for integral energy deposition rates due to a plane, isotropic and monoenergetic source in one half-space for a range of mass ratios between 0.1 and 5.0. Integral profiles for infinite and semi-infinite media are considered and the influence of reflection for different mass ratios is evaluated. The dissimilar scattering properties of the two media induce a discontinuity at the interface in the energy deposition rate the magnitude of which is sensitive to the source position relative to the interface. A comprehensive evaluation of the total energy deposited in the source free medium is presented for a range of mass ratios and source positions. An interesting minimum occurs for off-interface source locations as a function of the source-medium mass ratio, the position of which varies with the source position but is insensitive to the other mass ratio. As a special case, energy reflection and escape coefficients for semi-infinite media are obtained which demonstrates that the effect of a vacuum interface is insignificant for deep source locations except for large mass ratios when reflection becomes dominant. (author)

  12. An energy principle for two-dimensional collisionless relativistic plasmas

    International Nuclear Information System (INIS)

    Otto, A.; Schindler, K.

    1984-01-01

    Using relativistic Vlasov theory an energy principle for two-dimensional plasmas is derived, which provides a sufficient and necessary criterion for the stability of relativistic plasma equilibria. This energy principle includes charge separating effects since the exact Poisson equation was taken into consideration. Applying the variational principle to the case of the relativistic plane plasma sheet, the same marginal wave length is found as in the non-relativistic case. (author)

  13. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  14. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  15. Structural and optical properties of titanium dioxide films deposited by reactive magnetron sputtering in pure oxygen plasma

    International Nuclear Information System (INIS)

    Asanuma, T.; Matsutani, T.; Liu, C.; Mihara, T.; Kiuchi, M.

    2004-01-01

    Titanium dioxide (TiO 2 ) thin films were deposited on unheated quartz (SiO 2 ) substrates in 'pure oxygen' plasma by reactive radio-frequency (rf) magnetron sputtering. The structural and optical properties of deposited films were systematically studied by changing the deposition parameters, and it was very recently found that crystalline TiO 2 films grew effectively in pure O 2 atmosphere. For TiO 2 films deposited at a rf power P rf of 200 W, x-ray diffraction patterns show the following features: (a) no diffraction peak was observed at a total sputtering pressure p tot of 1.3 Pa; (b) rutile (110) diffraction was observed at 4.0 Pa, (c) the dominant diffraction was from anatase (101) planes, with additional diffraction from (200), under p tot between 6.7 and 13 Pa. For the deposition at 140 W, however, crystalline films with mixed phases were observed only between 4.0 and 6.7 Pa. The peaks of both the deposition rate and the anatase weight ratio for the films produced at 140 W were found at p tot of approximately 6.7 Pa. This suggests that the nucleation and growth of TiO 2 films were affected by the composition, density, and kinetic energy of the particles impinging on the substrate surface. The optical absorption edge analysis showed that the optical band gap E g and the constant B could sensitively detect the film growth behavior, and determine the film structure and optical absorption. The change in the shape of the fundamental absorption edge is considered to reflect the variation of density and the short-range structural modifications

  16. Plasma generator utilizing dielectric member for carrying microwave energy

    International Nuclear Information System (INIS)

    Aklufi, M.E.; Brock, D.W.

    1991-01-01

    This patent describes a system in which electromagnetic energy is used to generate a plasma from a gas. It comprises a reaction chamber which is evacuated to less than ambient pressure and into which the gas is introduced; and a nonconductive member for carrying the electromagnetic energy and for emitting the electromagnetic energy so that a plasma is formed from the gas

  17. Comments on experimental results of energy confinement of tokamak plasmas

    International Nuclear Information System (INIS)

    Chu, T.K.

    1989-04-01

    The results of energy-confinement experiments on steady-state tokamak plasmas are examined. For plasmas with auxiliary heating, an analysis based on the heat diffusion equation is used to define heat confinement time (the incremental energy confinement time). For ohmically sustained plasmas, experiments show that the onset of the saturation regime of energy confinement, marfeing, detachment, and disruption are marked by distinct values of the parameter /bar n//sub e///bar j/. The confinement results of the two types of experiments can be described by a single surface in 3-dimensional space spanned by the plasma energy, the heating power, and the plasma density: the incremental energy confinement time /tau//sub inc/ = ΔW/ΔP is the correct concept for describing results of heat confinement in a heating experiment; the commonly used energy confinement time defined by /tau//sub E/ = W/P is not. A further examination shows that the change of edge parameters, as characterized by the change of the effective collision frequency ν/sub e/*, governs the change of confinement properties. The totality of the results of tokamak experiments on energy confinement appears to support a hypothesis that energy transport is determined by the preservation of the pressure gradient scale length. 70 refs., 6 figs., 1 tab

  18. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  19. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  20. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network