WorldWideScience

Sample records for plasma density profiles

  1. Edge density profiles in high-performance JET plasmas

    International Nuclear Information System (INIS)

    Summers, D.D.R.; Viaccoz, B.; Vince, J.

    1997-01-01

    Detailed electron density profiles of the scrape-off layer in high-performance JET plasmas (plasma current, I p nbi ∝17 MW) have been measured by means of a lithium beam diagnostic system featuring high spatial resolution [Kadota (1978)[. Measurements were taken over a period of several seconds, allowing examination of the evolution of the edge profile at a location upstream from the divertor target. The data clearly show the effects of the H-mode transition - an increase in density near the plasma separatrix and a reduction in density scrape-off length. The profiles obtained under various plasma conditions are compared firstly with data from other diagnostics, located elsewhere in the vessel, and also with the predictions of an 'onion-skin' model (DIVIMP), which used, as initial parameters, data from an array of probes located in the divertor target. (orig.)

  2. CO2 laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    International Nuclear Information System (INIS)

    Vyacheslavov, L.N.; Tanaka, K.; Kawahata, K.

    2001-04-01

    A CO 2 laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  3. Interaction of the modulated electron beam with inhomogeneous plasma: plasma density profile deformation and langmuir waves excitation

    International Nuclear Information System (INIS)

    Anisimov, I.O.; Kelnyk, O.I.; Soroka, S.V.; Siversky, T.V.

    2005-01-01

    Nonlinear deformation of the initially linear plasma density profile due to the modulated electron beam is studied via computer simulation. In the initial time period the field slaves to the instantaneous profile of the plasma density. Langmuir waves excitation is suppressed by the density profile deformation. The character of the plasma density profile deformation for the late time period depends significantly on the plasma properties. Particularly, for plasma with hot electrons quasi-periodic generation of ion-acoustic pulses takes place in the vicinity of the initial point of plasma resonance

  4. CO{sub 2} laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    Energy Technology Data Exchange (ETDEWEB)

    Vyacheslavov, L.N. [Budker Institute of Nuclear Physics, Novosibirsk (Russian Federation); Tanaka, K.; Kawahata, K. [National Inst. for Fusion Science, Toki, Gifu (Japan)

    2001-04-01

    A CO{sub 2} laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  5. Surface density profile and surface tension of the one-component classical plasma

    International Nuclear Information System (INIS)

    Ballone, P.; Senatore, G.; Trieste Univ.; Tosi, M.P.; Oxford Univ.

    1982-08-01

    The density profile and the interfacial tension of two classical plasmas in equilibrium at different densities are evaluated in the square-density-gradient approximation. For equilibrium in the absence of applied external voltage, the profile is oscillatory in the higher-density plasma and the interfacial tension is positive. The amplitude and phase of these oscillations and the magnitude of the interfacial tension are related to the width of the background profile. Approximate representations of the equilibrium profile by matching of its asymptotic forms are analyzed. A comparison with computer simulation data and a critical discussion of a local-density theory are also presented. (author)

  6. Characterization of laser-produced plasma density profiles using grid image refractometry

    International Nuclear Information System (INIS)

    Craxton, R.S.; Turner, F.S.; Hoefen, R.; Darrow, C.; Gabl, E.F.; Busch, G.E.

    1993-01-01

    Grid image refractometry (GIR) is proposed as a technique for determining the two-dimensional density profiles of long scale-length laser-produced plasmas. Its distinctive feature is that an optical probe beam is broken up into ''rays'' by being passed through a grid before traversing the plasma. The refraction angles of the rays are measured by imaging the plasma at two or more object planes and are integrated to yield the phase front. For cylindrically symmetric plasmas the density profile is then determined using Abel inversion. The feasibility of GIR is illustrated by an experiment in which a thick CH target was irradiated with ∼100 J of 527 nm radiation and diagnosed with a 20 ps, 263 nm probe. The resulting density profile is substantially larger than any that have previously been reported using interferometry and compares quite closely with hydrodynamic simulations

  7. Real-time control of the plasma density profile on ASDEX upgrade

    International Nuclear Information System (INIS)

    Mlynek, Alexander

    2010-01-01

    The tokamak concept currently is the most promising approach to future power generation by controlled thermonuclear fusion. The spatial distribution of the particle density in the toroidally confined fusion plasma is of particular importance. This thesis work therefore focuses on the question as to what extent the shape of the density profile can be actively controlled by a feedback loop in the fusion experiment ASDEX Upgrade. There are basically two essential requirements for such feedback control of the density profile, which has been experimentally demonstrated within the scope of this thesis work: On the one hand, for this purpose the density profile must be continuously calculated under real-time constraints during a plasma discharge. The calculation of the density profile is based on the measurements of a sub-millimeter interferometer, which provides the line-integrated electron density along 5 chords through the plasma. Interferometric density measurements can suffer from counting errors by integer multiples of 2π when detecting the phase difference between a probing and a reference beam. As such measurement errors have severe impact on the reconstructed density profile, one major part of this work consists in the development of new readout electronics for the interferometer, which allows for detection of such measurement errors in real-time with high reliability. A further part of this work is the design of a computer algorithm which reconstructs the spatial distribution of the plasma density from the line-integrated measurements. This algorithm has to be implemented on a computer which communicates the measured data to other computers in real-time, especially to the tokamak control system. On the other hand, a second fundamental requirement for the successful implementation of a feedback controller is the identification of at least one actuator which enables a modification of the density profile. Here, electron cyclotron resonance heating (ECRH) has been

  8. Semi-analytical model of laser resonance absorption in plasmas with a parabolic density profile

    International Nuclear Information System (INIS)

    Pestehe, S J; Mohammadnejad, M

    2010-01-01

    Analytical expressions for mode conversion and resonance absorption of electromagnetic waves in inhomogeneous, unmagnetized plasmas are required for laboratory and simulation studies. Although most of the analyses of this problem have concentrated on the linear plasma density profile, there are a few research works that deal with different plasma density profiles including the parabolic profile. Almost none of them could give clear analytical formulae for the electric and magnetic components of the electromagnetic field propagating through inhomogeneous plasmas. In this paper, we have considered the resonant absorption of laser light near the critical density of plasmas with parabolic electron density profiles followed by a uniform over-dense region and have obtained expressions for the electric and magnetic vectors of laser light propagating through the plasma. An estimation of the fractional absorption of laser energy has also been carried out. It has been shown that, in contrast to the linear density profile, the energy absorption depends explicitly on the value of collision frequency as well as on a new parameter, N, called the over-dense density order.

  9. High precision measurement of fuel density profiles in nuclear fusion plasmas

    NARCIS (Netherlands)

    Svensson, J.; von Hellermann, M.; Konig, R.

    2002-01-01

    This paper presents a method for deducing fuel density profiles of nuclear fusion plasmas in realtime during an experiment. A Multi Layer Perceptron (MLP) neural network is used to create a mapping between plasma radiation spectra and indirectly deduced hydrogen isotope densities. By combining

  10. Vacuum heating evaluation for plasmas of exponentially decreasing density profile

    International Nuclear Information System (INIS)

    Pestehe, S.J.; Mohammadnejad, M.

    2008-01-01

    Ultra-short pulse lasers have opened a regime of laser-plasma interaction where plasmas have scale lengths shorter than the laser wavelength and allow the possibility of generating near-solid density plasmas. The interaction of high-intensity laser beams with sharply bounded high-density and small scale length plasmas is considered. Absorption of the laser energy associated with the mechanism of dragging electrons out of the plasma into the vacuum and sending them back into the plasma with the electric field component along the density gradient, so called vacuum heating, is studied. An exponentially decreasing electron density profile is assumed. The vector potential of the electromagnetic field propagating through the plasma is calculated and the behaviour of the electric and magnetic components of the electromagnetic field is studied. The fraction of laser power absorbed in this process is calculated and plotted versus the laser beam incidence angle, illumination energy, and the plasma scale length

  11. Effect of plasma density profile of tokamak on Kelvin-Helmholtz instability

    International Nuclear Information System (INIS)

    Tang Fulin

    1984-01-01

    The purpose of this paper is to study the effect of radial distribution of plasma density profile of tokamak on Kelvin-Helmholtz instability caused by toroidal rotation. The effect of radial distribution of plasma rotational velocity on stability is also examine for comparison. It is found that within the range of tokamak parameters the only radial distribution of plasma rotational velocity cannot induce Kelvin-Helmholtz instability. On the contrary, when there is a radial distribution of plasma density, i.e. P 01 =P 0 e -tx and V 0 1 = const, plasma becomes unstable, and instability will increase proportionally to the value of t. Meanwhile when the value of t remains constant, the instability growth rate will decrease if P 0 grows or the distance between plasma and wall of container decreases too. It shows that the Kelvin-Helmoltz instability is not only influenced by the steepness of density profile but also by the inertia of plasma in central region, which is helpful for depressing the instability. (author). 5 refs, 4 figs, 2 tabs

  12. Exploration of one-dimensional plasma current density profile for K-DEMO steady-state operation

    Energy Technology Data Exchange (ETDEWEB)

    Kang, J.S. [Seoul National University, Seoul 151-742 (Korea, Republic of); Jung, L. [National Fusion Research Institute, Daejeon (Korea, Republic of); Byun, C.-S.; Na, D.H.; Na, Y.-S. [Seoul National University, Seoul 151-742 (Korea, Republic of); Hwang, Y.S., E-mail: yhwang@snu.ac.kr [Seoul National University, Seoul 151-742 (Korea, Republic of)

    2016-11-01

    Highlights: • One-dimensional current density and its optimization for the K-DEMO are explored. • Plasma current density profile is calculated with an integrated simulation code. • The impact of self and external heating profiles is considered self-consistently. • Current density is identified as a reference profile by minimizing heating power. - Abstract: Concept study for Korean demonstration fusion reactor (K-DEMO) is in progress, and basic design parameters are proposed by targeting high magnetic field operation with ITER-sized machine. High magnetic field operation is a favorable approach to enlarge relative plasma performance without increasing normalized beta or plasma current. Exploration of one-dimensional current density profile and its optimization process for the K-DEMO steady-state operation are reported in this paper. Numerical analysis is conducted with an integrated plasma simulation code package incorporating a transport code with equilibrium and current drive modules. Operation regimes are addressed with zero-dimensional system analysis. One-dimensional plasma current density profile is calculated based on equilibrium, bootstrap current analysis, and thermal transport analysis. The impact of self and external heating profiles on those parameters is considered self-consistently, where thermal power balance and 100% non-inductive current drive are the main constraints during the whole exploration procedure. Current and pressure profiles are identified as a reference steady-state profile by minimizing the external heating power with desired fusion power.

  13. Plasma density profiles and finite bandwidth effects on electron heating

    International Nuclear Information System (INIS)

    Spielman, R.B.; Mizuno, K.; DeGroot, J.S.; Bollen, W.M.; Woo, W.

    1980-01-01

    Intense, p-polarized microwaves are incident on an inhomogeneous plasma in a cylindrical waveguide. Microwaves are mainly absorbed by resonant absorption near the critical surface (where the plasma frequency, ω/sub pe/, equals the microwave frequency, ω/sub o/). The localized plasma waves strongly modify the plasma density. Step-plateau density profiles or a cavity are created depending on the plasma flow speed. Hot electron production is strongly affected by the microwave bandwidth. The hot electron temperature varies as T/sub H/ is proportional to (Δ ω/ω) -0 25 . As the hot electron temperature decreases with increasing driver bandwidth, the hot electron density increases. This increase is such that the heat flux into the overdense region (Q is proportional to eta/sub H/T/sub H/ 3 2 ) is nearly constant

  14. Density profile measurements from a two-gun plasma focus device

    International Nuclear Information System (INIS)

    Tzeng, C.C.; Yen, C.K.; Yeh, T.R.; Kuo, Y.Y.; Shang, D.J.; Yu, Y.Z.; Hou, W.S.

    1990-01-01

    The dynamics of the plasma evolution in a two-gun plasma focus device has been studied using the laser shadowgraphy as well as the laser interferometry. The experiments were carried out from a 700 kJ two-gun plasma focus device reported earlier, which consisted of a pair of Mather type coaxial electrodes connected muzzle to muzzle. Previous results indicated that the simultaneous formation of the two deuterium plasma foci occurred earlier and then after ∼ 100 ns a disk-shaped plasma of ∼ 1.5 cm in diameter appeared in the middle region between the anodes. It is, therefore, the authors' goal to study the density profiles in the plasma foci and the middle region in order to understand further the formation of the plasma foci and their time evolution. The laser shadowgraphy was done with a XeCl excimer pumped dye laser system which operated at 550 nm with pulse width of ∼ 10 ns. The laser interferometry, on the other hand, was carried out using a TEA-TEA oscillator-amplifier N 2 -laser system with 337.1 nm and subnano-second pulse width. Both results show that the maximum electron density is ≥2 x 10 19 cm -3 and, in addition, the growth of the hydrodynamic instabilities are observed. These results together with the detailed density profiles are presented and discussed

  15. Analysis of plasma equilibrium based on orbit-driven current density profile in steady-state plasma on QUEST

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, K., E-mail: nakamura@triam.kyushu-u.ac.jp [RIAM, Kyushu University, Kasuga 816-8580 (Japan); Alam, M.M. [IGSES, Kyushu University, Kasuga 816-8580 (Japan); Jiang, Y.Z. [Tsinghua University, Beijing 100084 (China); Mitarai, O. [Tokai University, Kumamoto 862-8652 (Japan); Kurihara, K.; Kawamata, Y.; Sueoka, M.; Takechi, M. [Japan Atomic Energy Agency, Naka 311-0193 (Japan); Hasegawa, M.; Tokunaga, K.; Araki, K.; Zushi, H.; Hanada, K.; Fujisawa, A.; Idei, H.; Nagashima, Y.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Nagata, T. [RIAM, Kyushu University, Kasuga 816-8580 (Japan); and others

    2016-11-01

    Highlights: • High energy particle guiding center orbit is calculated as a contour plot of conserved variable. • Current density profile is analyzed based on the orbit-driven current. • Plasma equilibrium is reconstructed by considering the hollow current profile. - Abstract: In the present RF-driven (ECCD) steady-state plasma on QUEST (B{sub t} = 0.25 T, R = 0.68 m, a = 0.40 m), plasma current seems to flow in the open magnetic surface outside of the closed magnetic surface in the low-field region according to plasma current fitting (PCF) method. We consider that the current in the open magnetic surface is due to orbit-driven current by high-energy particles in RF-driven plasma. So based on the analysis of current density profile based on the orbit-driven current, plasma equilibrium is to be calculated. We calculated high energy particles guiding center orbits as a contour plot of conserved variable in Hamiltonian formulation and considered particles initial position with different levels of energy and pitch angles that satisfy resonance condition. Then the profile of orbit-driven current is estimated by multiplying the particle density on the resonance surface and the velocity on the orbits. This analysis shows negative current near the magnetic axis and hollow current profile is expected even if pressure driven current is considered. Considering the hollow current profile shifted toward the low-field region, the equilibrium is fitted by J-EFIT coded by MATLAB.

  16. Relativistic self-focusing of intense laser beam in thermal collisionless quantum plasma with ramped density profile

    Directory of Open Access Journals (Sweden)

    S. Zare

    2015-04-01

    Full Text Available Propagation of a Gaussian x-ray laser beam has been analyzed in collisionless thermal quantum plasma with considering a ramped density profile. In this density profile due to the increase in the plasma density, an earlier and stronger self-focusing effect is noticed where the beam width oscillates with higher frequency and less amplitude. Moreover, the effect of the density profile slope and the initial plasma density on the laser propagation has been studied. It is found that, by increasing the initial density and the ramp slope, the laser beam focuses faster with less oscillation amplitude, smaller laser spot size and more oscillations. Furthermore, a comparison is made among the laser self-focusing in thermal quantum plasma, cold quantum plasma and classical plasma. It is realized that the laser self-focusing in the quantum plasma becomes stronger in comparison with the classical regime.

  17. Confinement properties of JET plasmas with different temperature and density profiles

    International Nuclear Information System (INIS)

    Watkins, M.L.; Balet, B.; Bhatnagar, V.P.

    1989-01-01

    The confinement properties of plasmas with substantially different temperature and density profiles have been analysed. The effects of fast particles and energy pedestals on the overall confinement of plasma energy in limiter (L-mode) and X-point (L- and H-modes) discharges heated by NBI or ICRF or both are determined. The importance of the bootstrap current when such energy pedestals are formed is noted. Using sets of consistent experimental data, including ion temperature profile measurements, the local transport properties are compared in the L- and H-phases of a single null X-point medium density NBI heated discharge, the ''enhanced'' confinement phase of a limiter high density pellet-fuelled and ICRF heated discharge, the hot-ion phase of a double null X-point low density NBI heated discharge and the hot-ion and H-phases of a double null X-point low density high temperature NBI heated discharge. (author)

  18. Electron density and temperature profile diagnostics for C-2 field reversed configuration plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Deng, B. H.; Kinley, J. S.; Schroeder, J. [Tri Alpha Energy, Inc., Rancho Santa Margarita, California 92688 (United States)

    2012-10-15

    The 9-point Thomson scattering diagnostic system for the C-2 field reversed configuration plasmas is improved and the measured electron temperature profiles are consistent with theoretical expectations. Rayleigh scattering revealed a finite line width of the ruby laser emission, which complicates density calibration. Taking advantage of the plasma wobble motion, density profile reconstruction accuracy from the 6-chord two-color CO{sub 2}/HeNe interferometer data is improved.

  19. Influence of an axial magnetic field on the density profile of capillary plasma channels

    CERN Document Server

    Ivanov, V V; Toma, E S; Bijkerk, F

    2003-01-01

    A narrow capillary plasma channel, with a sizeable depletion of the electron density on the channel axis, has been proposed to guide a laser pulse over a length of several to several tens of centimetres. We discuss the possibility to significantly improve the wave-guiding properties of such a channel by applying an axial magnetic field. Our analytical and numerical studies show that a pulsed axial magnetic field of 10 T in a hydrogen capillary plasma at a pressure of 50 Torr will reduce the on-axis plasma density by a factor of three, and the full width at half maximum of the density profile by a factor of two. The resulting parabolic plasma density profile is expected to be more efficient in guiding laser pulses.

  20. Density profile effects on confinement and MHD stability of currentless NBI plasmas in Heliotron E

    International Nuclear Information System (INIS)

    Sudo, Shigeru; Zushi, Hideki; Kondo, Katsumi

    1993-01-01

    Density profile effects on confinement and MHD stability of currentless NBI plasmas in Heliotron E are studied. The peaked density profile produced by pellet injection increases the stored energy by 20-30% compared to the gas puffed plasmas which obey the empirical stellarator/heliotron scaling in a moderate density range. In contrast to confinement, the peaked pressure profile tends to destabilize the plasma. By limiter insertion, MHD instability occurs (seems to locate near ι/2π=1) even in case of low β (β 0 ≤1%, where β 0 is the central β value) plasmas. On the other hand, the mode of m/n=3/2 at ι/2π=2/3, seems to be a key parameter to the major MHD instability in case of high β (β 0 ≥2%) plasmas. (author)

  1. Modification of K-line emission profiles in laser-created solid-density plasmas

    International Nuclear Information System (INIS)

    Sengebusch, A.; Reinholz, H.; Roepke, G.

    2010-01-01

    Complete text of publication follows. X-ray emissions in the keV energy range have shown to be suitable radiation to investigate the properties of laser-created solid-density plasmas. We use the modifications of inner shell transitions due to the environment to characterize these plasmas. A theoretical treatment of spectral line profiles based on a self-consistent ion sphere model is applied on moderately ionized mid-Z materials, such as titanium, silicon and chlorine. We observe large contributions of satellite transitions due to M-shell ionization and excitation. To determine the composition a mixture of various excited and ionized ionic states embedded in a plasma has to be considered. Plasma polarization effects that cause shifts of the emission and ionization energies are taken into account. K-line profiles are calculated for bulk temperatures up to 100 eV and free electron densities up to 10 24 cm -3 in order to analyze recent measurements with respect to the plasma parameters of electron heated target regions. Moreover, in high-intensity laser-matter interactions, inevitable prepulses are likely to create preplasma and shocks within the target before the main pulse arrives. We investigate the influence of density gradients due to prepulses on the spectral profiles. Further, radial bulk temperature distributions as well the composition of the created warm dense matter are inferred.

  2. Control-oriented modeling of the plasma particle density in tokamaks and application to real-time density profile reconstruction

    NARCIS (Netherlands)

    Blanken, T.C.; Felici, F.; Rapson, C.J.; de Baar, M.R.; Heemels, W.P.M.H.

    2018-01-01

    A model-based approach to real-time reconstruction of the particle density profile in tokamak plasmas is presented, based on a dynamic state estimator. Traditionally, the density profile is reconstructed in real-time by solving an ill-conditioned inversion problem using a measurement at a single

  3. Characterization of Electron Temperature and Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Phillips, L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Chan, L.-Y.; Serlin, V.

    2011-10-01

    Previous experiments with Nike KrF laser (λ = 248 nm , Δν ~ 1 THz) observed LPI signatures near quarter critical density (nc / 4) in CH plasmas, however, detailed measurement of the temperature (Te) and density (ne) profiles was missing. The current Nike LPI campaign will perform experimental determination of the plasma profiles. A side-on grid imaging refractometer (GIR) is the main diagnostic to resolve Te and ne in space taking 2D snapshots of probe laser (λ = 266 nm , Δt = 8 psec) beamlets (50 μm spacing) refracted by the plasma at laser peak time. Ray tracing of the beamlets through hydrodynamically simulated (FASTRAD3D) plasma profiles estimates the refractometer may access densities up to ~ 0 . 2nc . With the measured Te and ne profiles in the plasma corona, we will discuss analysis of light data radiated from the plasmas in spectral ranges relevant to two plasmon decay and convective Raman instabilities. Validity of the (Te ,ne) data will also be discussed for the thermal transport study. Work supported by DoE/NNSA and ONR and performed at NRL.

  4. Recent measurements of electron density profiles of plasmas in PLADIS I, a plasma disruption simulator

    International Nuclear Information System (INIS)

    Bradley, J. III; Sharp, G.; Gahl, J.M. Kuznetsov, V.; Rockett, P.; Hunter, J.

    1995-01-01

    Tokamak disruption simulation experiments are being conducted at the University of New Mexico (UNM) using the PLADIS I plasma gun system. PLADIS I is a high power, high energy coaxial plasma gun configured to produce an intense plasma beam. First wall candidate materials are placed in the beam path to determine their response under disruption relevant energy densities. An optically thick vapor shield plasma has been observed to form above the target surface in PLADIS I. Various diagnostics have been used to determine the characteristics of the incident plasma and the vapor shielding plasma. The cross sectional area of the incident plasma beam is a critical characteristic, as it is used in the calculation of the incident plasma energy density. Recently, a HeNe interferometer in the Mach-Zehnder configuration has been constructed and used to probe the electron density of the incident plasma beam and vapor shield plasma. The object beam of the interferometer is scanned across the plasma beam on successive shots, yielding line integrals of beam density on different chords through the plasma. Data from the interferometer is used to determine the electron density profile of the incident plasma beam as a function of beam radius. This data is then used to calculate the effective beam area. Estimates. of beam area, obtained from other diagnostics such as damage targets, calorimeter arrays and off-axis measurements of surface pressure, will be compared with data from the interferometer to obtain a better estimate of the beam cross sectional area

  5. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  6. Line profiles of hydrogenic ions from high-temperature and high-density plasmas

    International Nuclear Information System (INIS)

    Hou Qing; Li Jianming

    1991-01-01

    Applying the Hooper's first-order theory, the authors calculate the static micro-electric field distributions in plasmas containing various multiply-charged ions. The influences of the impurity concentrations on the micro electric field distributions and on the Lyman profiles (n→1) from hydrogenic ions are analysed. Based on the optical-thin line profiles, the radiation transfer equation in sphere plasmas with various optical depths is solved. The results confirm that the opacity-broadening of the line profiles has almost no effect on the separation of Lyman β splitted peaks. Such separation is determined by electric field at which the static micro-electric field distribution has a maximum. The separation can be utilized for spatially resolved and temporally resolved density diagnostic of fusion plasmas

  7. Comparative study of the electron density profiles in the compact torus plasma merging experiments

    International Nuclear Information System (INIS)

    Hayashiya, Hitoshi; Asaka, Takeo; Katsurai, Makoto

    2003-01-01

    Following two previous papers on the comparative studies of the electron density distributions for a single compact torus (CT) and a spherical tokamak (ST), and for the a single ST and a merged ST, a comparative study on the dynamics of the electron density profile and after the CT and ST plasma merging process was performed. The sharpness of the peak in the electron density profile around the mid-plane just after the merging of CT with a low safety factor (q value) such as RFP or spheromak is found to be related to the speed of the magnetic axis during the plasma merging process. It is also found that the electron density gradient near the plasma edge in a high q ST is larger than that of a low q CT. High q ST is found to be provided with the magnetic structure which is able to sustain a large thermal pressure by a strong j x B force. Despite these differences in the electron density profile between CT and ST during merging, the confinement characteristics evaluated from the number of electrons confined within the magnetic separatrix after the completion of the merging is almost similar between in the merging CT and in the merging ST. For all configurations, the electron density profiles after the completion of the merging are analogous to those of the corresponding single configuration produced without the merging process. (author)

  8. Anomalous plasma heating induced by modulation of the current-density profile

    International Nuclear Information System (INIS)

    Lopes Cardozo, N.J.

    1985-05-01

    The usual plasma heating in a tokamak needs additional heating to reach ignition temperature (approx. 10 8 K). The method used in the TORTUR III experiment is to induce anomalous plasma resistivity by applying a short (10 microseconds) high-voltage pulse. A sharp rise of the plasma temperature is found almost simultaneously, but this effect, though considerable, is too short-lived to be of interest for a thermonuclear chain reaction. A second pulse gives a second rise of temperature, but this time a slow one, extending over several milliseconds. The mechanism of this delayed heating and the reservoir within the plasma supplying the energy are subjects of investigation in the TORTUR III experiments. Some conclusions concerning the plasma heating mechanism are presented. The conclusion is reached that the application of the high-voltage pulse results in a modulation of the current-density profile: the (normally already peaked) profile sharpens, the current concentrates in the centre of the plasma column. This is a non-equilibrium situation. It relaxes to the noraml current distribution within approximately 2 milliseconds. As long as this relaxation process is not finished, the dissipation is on an enhanced level and anomalous plasma heating is observed. Many plasma parameters are surveyed and evaluated: temperature (both of the ions and the electrons), density, emission spectrum (from microwaves to hard X-rays) and the fluctuation spectrum. Main subject of this report is the measurement and interpretation of the X-rays of the emission spectrum. Experimental results are presented and discussed

  9. Properties of ion temperature gradient and trapped electron modes in tokamak plasmas with inverted density profiles

    Science.gov (United States)

    Du, Huarong; Jhang, Hogun; Hahm, T. S.; Dong, J. Q.; Wang, Z. X.

    2017-12-01

    We perform a numerical study of linear stability of the ion temperature gradient (ITG) mode and the trapped electron mode (TEM) in tokamak plasmas with inverted density profiles. A local gyrokinetic integral equation is applied for this study. From comprehensive parametric scans, we obtain stability diagrams for ITG modes and TEMs in terms of density and temperature gradient scale lengths. The results show that, for the inverted density profile, there exists a normalized threshold temperature gradient above which the ITG mode and the TEM are either separately or simultaneously unstable. The instability threshold of the TEM for the inverted density profile is substantially different from that for normal and flat density profiles. In addition, deviations are found on the ITG threshold from an early analytic theory in sheared slab geometry with the adiabatic electron response [T. S. Hahm and W. M. Tang, Phys. Fluids B 1, 1185 (1989)]. A possible implication of this work on particle transport in pellet fueled tokamak plasmas is discussed.

  10. Measurements of electron density and temperature profiles in plasma produced by Nike KrF laser for laser plasma instability research

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Karasik, M.; Chan, L. Y.

    2015-08-01

    A grid image refractometer (GIR) has been implemented at the Nike krypton fluoride laser facility of the Naval Research Laboratory. This instrument simultaneously measures propagation angles and transmissions of UV probe rays (λ = 263 nm, Δt = 10 ps) refracted through plasma. We report results of the first Nike-GIR measurement on a CH plasma produced by the Nike laser pulse (˜1 ns FWHM) with the intensity of 1.1 × 1015 W/cm2. The measured angles and transmissions were processed to construct spatial profiles of electron density (ne) and temperature (Te) in the underdense coronal region of the plasma. Using an inversion algorithm developed for the strongly refracted rays, the deployed GIR system probed electron densities up to 4 × 1021 cm-3 with the density scale length of 120 μm along the plasma symmetry axis. The resulting ne and Te profiles are verified to be self-consistent with the measured quantities of the refracted probe light.

  11. Flare plasma density determination using observed temperature profiles

    International Nuclear Information System (INIS)

    Garcia, H.A.

    1986-01-01

    Observed electron temperature variations derived from flux intensity ratios of whole-disk continuum soft X-ray spectra recorded by GOES satellites are presently subjected to an analysis that is based on the nonequilibrium energy balance equation in order to obtain the physical properties of a large solar flare from onset through the gradual phase. A self-similar formalism which reduces the nonlinear, second-order PDE in length and time to a more tractable, nonlinear, first-order Ricatti equation is invoked. Plasma density is the principal unknown variable contained in the Ricatti equation, which also contains first-order time derivatives and first- and second-order spatial derivatives of temperature. This methodology is presently applied to the moderate size flare of January 28, 1982, for which a density profile is deduced under various parametric conditions. 37 references

  12. Affinity proteomic profiling of plasma for proteins associated to area-based mammographic breast density.

    Science.gov (United States)

    Byström, Sanna; Eklund, Martin; Hong, Mun-Gwan; Fredolini, Claudia; Eriksson, Mikael; Czene, Kamila; Hall, Per; Schwenk, Jochen M; Gabrielson, Marike

    2018-02-14

    Mammographic breast density is one of the strongest risk factors for breast cancer, but molecular understanding of how breast density relates to cancer risk is less complete. Studies of proteins in blood plasma, possibly associated with mammographic density, are well-suited as these allow large-scale analyses and might shed light on the association between breast cancer and breast density. Plasma samples from 1329 women in the Swedish KARMA project, without prior history of breast cancer, were profiled with antibody suspension bead array (SBA) assays. Two sample sets comprising 729 and 600 women were screened by two different SBAs targeting a total number of 357 proteins. Protein targets were selected through searching the literature, for either being related to breast cancer or for being linked to the extracellular matrix. Association between proteins and absolute area-based breast density (AD) was assessed by quantile regression, adjusting for age and body mass index (BMI). Plasma profiling revealed linear association between 20 proteins and AD, concordant in the two sets of samples (p density and processes of tissue homeostasis, DNA repair, cancer development and/or progression in breast cancer. Further validation and follow-up studies of the shortlisted protein candidates in independent cohorts will be needed to infer their role in breast density and its progression in premenopausal and postmenopausal women.

  13. Measurements of Laser Plasma Instability (LPI) and Electron Density/Temperature Profiles in Plasmas Produced by the Nike KrF Laser

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Serlin, V.; Obenschain, S. P.

    2016-10-01

    We will present results of simultaneous measurements of LPI-driven light scattering and density/temperature profiles in CH plasmas produced by the Nike krypton fluoride laser (λ = 248 nm). The primary diagnostics for the LPI measurement are time-resolved spectrometers with absolute intensity calibration in spectral ranges relevant to the optical detection of stimulated Raman scattering or two plasmon decay. The spectrometers are capable of monitoring signal intensity relative to thermal background radiation from plasma providing a useful way to analyze LPI initiation. For further understanding of LPI processes, the recently implemented grid image refractometer (Nike-GIR)a is used to measure the coronal plasma profiles. In this experiment, Nike-GIR is equipped with a 5th harmonic probe laser (λ = 213 nm) in attempt to probe into a high density region over the previous peak density with λ = 263 nm probe light ( 4 ×1021 cm-3). The LPI behaviors will be discussed with the measured data sets. Work supported by DoE/NNSA.

  14. Scaling laws for TEXT plasma profiles

    International Nuclear Information System (INIS)

    McCool, S.C.; Bravenec, R.V.; Chen, J.Y.; Foster, M.S.; Li, W.L.; Ouroura, A.; Phillips, P.E.; Richards, B.; Wenzel, K.W.; Zhang, Z.M.

    1994-01-01

    Regression analysis has been performed on a number of measured profiles including temperature and density vs. nominal macroscopic operating parameters for TEXT tokamak (pre-upgrade) ohmic plasmas. The resulting simple empirical model has enabled the authors to quickly approximate profiles of electron temperature and density, ion temperature, and soft x-ray brightness, as well as the scalar quantities: total radiated power, q=1 radius, sawtooth period and amplitude, and energy confinement time as a power law of toroidal field, plasma current, chord average density, and fueling gas atomic weight. The model profiles are only applicable to the plasma interior, i.e. within the limiter radius. In most cases the predicted model profiles are within the experimental error bars of measured profiles and are more accurate at predicting profile variation for small operating parameter changes than the measured profiles

  15. Analytic expressions for mode conversion in a plasma with a parabolic density profile: Generalized results

    International Nuclear Information System (INIS)

    Hinkel-Lipsker, D.E.; Fried, B.D.; Morales, G.J.

    1993-01-01

    This study provides an analytic solution to the general problem of mode conversion in an unmagnetized plasma. Specifically, an electromagnetic wave of frequency ω propagating through a plasma with a parabolic density profile of scale length L p is examined. The mode conversion points are located a distance Δ 0 from the peak of the profile, where the electron plasma frequency ω p (z) matches the wave frequency ω. The corresponding reflection, transmission, and mode conversion coefficients are expressed analytically in terms of parabolic cylinder functions for all values of Δ 0 . The method of solution is based on a source approximation technique that is valid when the electromagnetic and electrostatic scale lengths are well separated. For large Δ 0 , i.e., (cL p /ω) 1/2 much-lt Δ 0 p , the appropriately scaled result [D. E. Hinkel-Lipsker et al., Phys. Fluids B 4, 559 (1992)] for a linear density profile is recovered as the parabolic cylinder functions asymptotically become Airy functions. When Δ 0 →0, the special case of conversion at the peak of the profile [D. E. Hinkel-Lipsker et al., Phys. Fluids B 4, 1772 (1992)] is obtained

  16. Role of ion magnetization in formation of radial density profile in magnetically expanding plasma produced by helicon antenna

    Science.gov (United States)

    Yadav, Sonu; Ghosh, Soumen; Bose, Sayak; Barada, Kshitish K.; Pal, Rabindranath; Chattopadhyay, Prabal K.

    2018-04-01

    Experimentally, the density profile in the magnetic nozzle of a helicon antenna based plasma device is seen to be modified from being centrally peaked to that of hollow nature as the external magnetic field is increased. It occurs above a characteristic field value when the ions become magnetized in the expansion chamber. The density profile in the source chamber behind the nozzle, however, remains peaked on-axis irrespective of the magnetic field. The electron temperature there is observed to be hollow and this nature is carried to the expansion chamber along the field line. In the electron energy distribution near the off axis peak location, a high energy tail exists. Rotation of these tail electrons in the azimuthal direction due to the gradient-B drift in the expansion chamber leads to an additional off-axis ionization and forms the hollow density profile. It seems that if the ions are not magnetized, then the off-axially produced additional plasma is not confined and the density profile retains the on-axis peak nature. The present experiment successfully demonstrates how the knowledge of the ion magnetization together with tail electrons significantly contributes to the design of an efficient helicon plasma based thruster.

  17. Fluid and gyrokinetic modelling of particle transport in plasmas with hollow density profiles

    International Nuclear Information System (INIS)

    Tegnered, D; Oberparleiter, M; Nordman, H; Strand, P

    2016-01-01

    Hollow density profiles occur in connection with pellet fuelling and L to H transitions. A positive density gradient could potentially stabilize the turbulence or change the relation between convective and diffusive fluxes, thereby reducing the turbulent transport of particles towards the center, making the fuelling scheme inefficient. In the present work, the particle transport driven by ITG/TE mode turbulence in regions of hollow density profiles is studied by fluid as well as gyrokinetic simulations. The fluid model used, an extended version of the Weiland transport model, Extended Drift Wave Model (EDWM), incorporates an arbitrary number of ion species in a multi-fluid description, and an extended wavelength spectrum. The fluid model, which is fast and hence suitable for use in predictive simulations, is compared to gyrokinetic simulations using the code GENE. Typical tokamak parameters are used based on the Cyclone Base Case. Parameter scans in key plasma parameters like plasma β, R/L T , and magnetic shear are investigated. It is found that β in particular has a stabilizing effect in the negative R/L n region, both nonlinear GENE and EDWM show a decrease in inward flux for negative R/L n and a change of direction from inward to outward for positive R/L n . This might have serious consequences for pellet fuelling of high β plasmas. (paper)

  18. Design of an O-mode frequency modulated reflectometry system for the measurement of Alborz Tokamak plasma density profile

    Energy Technology Data Exchange (ETDEWEB)

    Koohestani, Saeideh [Department of Energy Engineering and physics, Amirkabir University of Technology, Tehran, 15875-4413, Islamic Republic of Iran (Iran, Islamic Republic of); Amrollahi, Reza, E-mail: amrollahi@aut.ac.ir [Department of Energy Engineering and physics, Amirkabir University of Technology, Tehran, 15875-4413, Islamic Republic of Iran (Iran, Islamic Republic of); Moradi, Gholamreza [Department of Electrical Engineering, Amirkabir University of Technology, Tehran, 15875-4413, Islamic Republic of Iran (Iran, Islamic Republic of)

    2016-12-15

    Reflectometry is a common method for plasma diagnostic, in which microwaves are launched into the plasma and reflected at the critical surfaces. Comparing the reflected microwave signals with the launched waves would give rise to the plasma density profiles. In the present study, an ordinary mode (O-mode) frequency modulation (FM) reflectometry system has been designed for the electron density profile measurement of the Alborz Tokamak plasma. This system has been considered to operate at K-band (18–26.5 GHz) frequency range and scan the frequency band between 18 to 26 GHz in 40 μS. The density profile from major radius r = 47.9–51.55 cm can be measured in Alborz Tokamak plasma. Based on the Alborz Tokamak operational conditions, the characteristic frequencies, and some dimensional limitations, all parts of reflectometer have been designed so that an appropriate efficiency with minimum attenuation, especially in transmitting/receiving system would be achieved. A dual antenna and an oversized waveguide of X-band (8–12 GHz) for transmitting and receiving purposes and a balanced detector for absolute phase determination have been utilized. The details of the Alborz Tokamak FM reflectometry components focusing on the antenna and waveguide design and mounting are described in this paper. Additionally, the procedure of plasma profile reconstruction using the system output signal is discussed. This system uses signal phase shift to determine the position of the cutoff layer.

  19. Effects of fueling profiles on plasma transport

    International Nuclear Information System (INIS)

    Houlberg, W.A.; Mense, A.T.; Attenberger, S.E.; Milora, S.L.

    1977-01-01

    The effects of cold particle fueling profiles on particle and energy transport in an ignition sized tokamak plasma are investigated in this study with a one-dimensional, multifluid transport model. A density gradient driven trapped particle microinstability model for plasma transport is used to demonstrate potential effects of fueling profiles on ignition requirements. Important criteria for the development of improved transport models under the conditions of shallow particle fueling profiles are outlined. A discrete pellet fueling model indicates that large fluctuations in density and temperature may occur in the outer regions of the plasma with large, shallowly penetrating pellets, but fluctuations in the pressure profile are small. The hot central core of the plasma remains unaffected by the large fluctuations near the plasma edge

  20. Density limit in ASDEX discharges with peaked density profiles

    International Nuclear Information System (INIS)

    Staebler, A.; Niedermeyer, H.; Loch, R.; Mertens, V.; Mueller, E.R.; Soeldner, F.X.; Wagner, F.

    1989-01-01

    Results concerning the density limit in OH and NI-heated ASDEX discharges with the usually observed broad density profiles have been reported earlier: In ohmic discharges with high q a (q-cylindrical is used throughout this paper) the Murakami parameter (n e R/B t ) is a good scaling parameter. At the high densities edge cooling is observed causing the plasma to shrink until an m=2-instability terminates the discharge. When approaching q a =2 the density limit is no longer proportional to I p ; a minimum exists in n e,max (q a ) at q a ∼2.15. With NI-heating the density limit increases less than proportional to the heating power; the behaviour during the pre-disruptive phase is rather similar to the one of OH discharges. There are specific operating regimes on ASDEX leading to discharges with strongly peaked density profiles: the improved ohmic confinement regime, counter neutral injection, and multipellet injection. These regimes are characterized by enhanced energy and particle confinement. The operational limit in density for these discharges is, therefore, of great interest having furthermore in mind that high central densities are favourable in achieving high fusion yields. In addition, further insight into the mechanisms of the density limit observed in tokamaks may be obtained by comparing plasmas with rather different density profiles at their maximum attainable densities. 7 refs., 2 figs

  1. The effect of plasma density profile on the backscatter of microwaves from a plasma-covered plane conductor

    International Nuclear Information System (INIS)

    Destler, W.W.; Singh, A.; Rodgers, J.

    1993-01-01

    In order to gain further insight into the mechanism of anomalous absorption of microwaves in a pulsed plasma column, the latter was studied using single and double Langmuir probes. Graphs of plasma potential recorded by floating Langmuir probes as a function of time were obtained for a range of pressure of the background gas and at different distances from the plasma-covered plane-conducting plate. From this data, two main components of the plasma have been identified. The first appears earlier, exhibits greater fluctuations and is shorter in duration than the second component. The presence of these two plasma components is consistent with earlier observations obtained from transverse transmission measurements of microwaves through the plasma. Variations in the envelopes of these two components as experimental conditions are changed will be presented. Microwave backscatter measurements under varying conditions of plasma-density profile and ambient gas pressure will also be presented

  2. Emission spectra from super-critical rippled plasma density profiles illuminated by intense laser pulses

    International Nuclear Information System (INIS)

    Ondarza R, R.; Boyd, T.J.M.

    2000-01-01

    High-order harmonic emission from the interaction of intense femtosecond laser pulses with super-critical plasmas characterized by a rippled density profile at the vacuum-plasma interface has been observed from particle-in-cell (PIC) simulations. A plasma simulation box several laser wavelengths in extent was prepared with a rippled density of a fraction of a laser wavelength. Emission spectra at the very initial stage of the interaction were recorded with spectral characteristics dissimilar to those previously reported in the literature. The reflected light spectra were characterized by a strong emission at the plasma line and by a series of harmonics at multiples of the ripple frequency. Harmonic spectra were obtained for different values of the plasma ripple frequency. In all cases the harmonics were emitted at the precise multiple harmonic number of the ripple frequency. Another important feature apparent from the simulations was that the emission peaks appeared to havea complex structure as compared with those for unrippled plasmas. For the cases when the plasma was rippled the peaks that corresponded to the multiples of the rippled density typically showed a double peak for the first few harmonics. The reflected emission plots for the main laser pulse showed strong emission at the plasma frequency and at multiples of that frequency as reported by the authors in the literature. (Author)

  3. Kinetic theory of neutrals in a bounded plasma slab with inhomogeneous temperature and density profile

    International Nuclear Information System (INIS)

    Tendler, M.B.; Agren, O.

    1982-01-01

    The transport of neutral hydrogen atoms in a hydrogen plasma slab is considered. The influence of the inhomogeneous ion temperature profile on the neutral density and distribution is discussed as well as the influence of the neutral edge energy, charge exchange, and ionization rates. The analytical solutions for the neutral density and distribution function are obtained and compared with the numerical results. The effects due to the inhomogeneous temperature profile are discussed. The recommen-dations from the viewpoint of the effects mentioned previously for the purposes of the cold-gas mantle system have been given

  4. Measurements of Pfirsch-Schlueter current and pressure profile for the high density ECH plasmas in Heliotron DR

    International Nuclear Information System (INIS)

    Morimoto, S.; Yanagi, N.; Nakasuga, M.; Obiki, T.; Iiyoshi, A.; Uo, K.

    1988-01-01

    The Pfirsch-Schlueter current and pressure profiles are estimated from magnetic measurements for high density electron cyclotron heating (ECH) plasmas (n-bar e =(2-3)x10 13 cm -3 , T e0 =200-400 eV, (β) 0 (1-(r/a) 2 ) s , is about two in macroscopically stable plasmas. A fast loss of plasma energy from the centre to the periphery is observed during the onset of the MHD instability. This method of measuring the pressure profile shape is simple and useful for heliotron type devices. (author). 20 refs, 8 figs, 1 tab

  5. Angular filter refractometry analysis using simulated annealing [An improved method for characterizing plasma density profiles using angular filter refractometry

    International Nuclear Information System (INIS)

    Angland, P.; Haberberger, D.; Ivancic, S. T.; Froula, D. H.

    2017-01-01

    Here, a new method of analysis for angular filter refractometry images was developed to characterize laser-produced, long-scale-length plasmas using an annealing algorithm to iterative converge upon a solution. Angular filter refractometry (AFR) is a novel technique used to characterize the density pro files of laser-produced, long-scale-length plasmas. A synthetic AFR image is constructed by a user-defined density profile described by eight parameters, and the algorithm systematically alters the parameters until the comparison is optimized. The optimization and statistical uncertainty calculation is based on a minimization of the χ2 test statistic. The algorithm was successfully applied to experimental data of plasma expanding from a flat, laser-irradiated target, resulting in average uncertainty in the density profile of 5-10% in the region of interest.

  6. Determination of Jupiter's electron density profile from plasma wave observations

    International Nuclear Information System (INIS)

    Gurnett, D.A.; Scarf, F.L.; Kurth, W.S.; Shaw, R.R.; Poynter, R.L.

    1981-01-01

    This paper summarizes the electron density measurements obtained in the Jovian magnetosphere from the plasma wave instruments on the Voyager 1 and 2 spacecraft. Three basic techniques are discussed for determining the electron density: (1) local measurements from the low-frequency cutoff of continuum radiation, (2) local measurements from the frequency of upper hybrid resonance emissions, and (3) integral measurements from the dispersion of whistlers. The limitations and advantages of each technique are critically reviewed. In all cases the electron densities are unaffected by spacecraft charging or sheath effects, which makes these measurements of particular importance for verifying in situ plasma and low-energy charged particle measurments. In the outer regions of the dayside magnetosphere, beyond about 40 R/sub J/, the electron densities range from about 3 x 10 -3 to 3 x 10 -2 cm -3 . On Voyager 2, several brief excursions apparently occurred into the low-density region north of the plasma sheet with densities less than 10 -3 cm -3 . Approaching the planet the electron density gradually increases, with the plasma frequency extending above the frequency range of the plasma wave instrument (56 kHz, or about 38 electrons cm -3 ) inside of about 8 R/sub J/. Within the high-density region of the Io plasma torus, whistlers provide measurements of the north-south scale height of the plasma torus, with scale heights ranging from about 0.9 to 2.5 R/sub J/

  7. Interferometer for electron density measurement in exploding wire plasma

    International Nuclear Information System (INIS)

    Batra, Jigyasa; Jaiswar, Ashutosh; Kaushik, T.C.

    2016-12-01

    Mach-Zehnder Interferometer (MZI) has been developed for measuring electron density profile in pulsed plasmas. MZI is to be used for characterizing exploding wire plasmas for correlating electron density dynamics with x-rays emission. Experiments have been carried out for probing electron density in pulsed plasmas produced in our laboratory like in spark gap and exploding wire plasmas. These are microsecond phenomenon. Changes in electron density have been registered in interferograms with the help of a streak camera for specific time window. Temporal electron density profiles have been calculated by analyzing temporal fringe shifts in interferograms. This report deals with details of MZI developed in our laboratory along with its theory. Basic introductory details have also been provided for exploding wire plasmas to be probed. Some demonstrative results of electron density measurements in pulsed plasmas of spark gap and single exploding wires have been described. (author)

  8. Measurements of Electron Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Karasik, M.; Chan, L.-Y.; Serlin, V.; Phillips, L.

    2013-10-01

    Knowing spatial profiles of electron density (ne) in the underdense coronal region (n Nike LPI experiment, a side-on grid imaging refractometer (GIR) was deployed for measuring the underdense plasma profiles. Plasmas were produced from flat CH targets illuminated by Nike KrF laser with total energies up to 1 kJ of 0.5 ~ 1 nsec FWHM pulses. The GIR resolved ne up to 3 ×1021 /cm3 in space taking 2D snapshot images of probe laser (λ = 263 nm, Δt = 10 ps) beamlets (50 μm spacing) refracted by the plasma at a selected time during the laser illumination. The individual beamlet transmittances were also measured for Te estimation. Time-resolved spectrometers with an absolute-intensity-calibrated photodiode array and a streak camera simultaneously detected light emission from the plasma in spectral ranges relevant to Raman (SRS) and two plasmon decay instabilities. The measured spatial profiles are compared with simulation results from the FAST3D radiation hydrocode and their effects on the LPI observations are investigated. Work supported by DoE/NNSA and performed at Naval Research Laboratory.

  9. Control of plasma density distribution via wireless power transfer in an inductively coupled plasma

    International Nuclear Information System (INIS)

    Lee, Hee-Jin; Lee, Hyo-Chang; Kim, Young-Cheol; Chung, Chin-Wook

    2013-01-01

    With an enlargement of the wafer size, development of large-area plasma sources and control of plasma density distribution are required. To control the spatial distribution of the plasma density, wireless power transfer is applied to an inductively coupled plasma for the first time. An inner powered antenna and an outer resonant coil connected to a variable capacitor are placed on the top of the chamber. As the self-resonance frequency ω r of the resonant coil is adjusted, the power transfer rate from the inner powered coil to the outer resonant coil is changed and the dramatic evolution of the plasma density profile is measured. As ω r of the outer resonant coil changes from the non-resonant condition (where ω r is not the driving angular frequency ω rf ) to the resonant condition (where ω r = ω rf ), the plasma density profile evolves from a convex shape with maximal plasma density at the radial center into a concave shape with maximal plasma density in the vicinity of the resonant antenna coil. This result shows that the plasma density distribution can be successfully controlled via wireless resonance power transfer. (fast track communication)

  10. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Science.gov (United States)

    Schaper, Lucas; Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens

    2014-03-01

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 1017 cm-3 pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 μm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 1017 cm-3 density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  11. Note: Interpolation for evaluation of a two-dimensional spatial profile of plasma densities at low gas pressures

    International Nuclear Information System (INIS)

    Oh, Se-Jin; Kim, Young-Chul; Chung, Chin-Wook

    2011-01-01

    An interpolation algorithm for the evaluation of the spatial profile of plasma densities in a cylindrical reactor was developed for low gas pressures. The algorithm is based on a collisionless two-dimensional fluid model. Contrary to the collisional case, i.e., diffusion fluid model, the fitting algorithm depends on the aspect ratio of the cylindrical reactor. The spatial density profile of the collisionless fitting algorithm is presented in two-dimensional images and compared with the results of the diffusion fluid model.

  12. Measurements of electron density profiles using an angular filter refractometer

    Energy Technology Data Exchange (ETDEWEB)

    Haberberger, D., E-mail: dhab@lle.rochester.edu; Ivancic, S.; Hu, S. X.; Boni, R.; Barczys, M.; Craxton, R. S.; Froula, D. H. [Laboratory for Laser Energetics, University of Rochester, Rochester, New York 14636 (United States)

    2014-05-15

    A novel diagnostic technique, angular filter refractometry (AFR), has been developed to characterize high-density, long-scale-length plasmas relevant to high-energy-density physics experiments. AFR measures plasma densities up to 10{sup 21} cm{sup −3} with a 263-nm probe laser and is used to study the plasma expansion from CH foil and spherical targets that are irradiated with ∼9 kJ of ultraviolet (351-nm) laser energy in a 2-ns pulse. The data elucidate the temporal evolution of the plasma profile for the CH planar targets and the dependence of the plasma profile on target radius for CH spheres.

  13. Measurements of electron density profiles using an angular filter refractometer

    International Nuclear Information System (INIS)

    Haberberger, D.; Ivancic, S.; Hu, S. X.; Boni, R.; Barczys, M.; Craxton, R. S.; Froula, D. H.

    2014-01-01

    A novel diagnostic technique, angular filter refractometry (AFR), has been developed to characterize high-density, long-scale-length plasmas relevant to high-energy-density physics experiments. AFR measures plasma densities up to 10 21  cm −3 with a 263-nm probe laser and is used to study the plasma expansion from CH foil and spherical targets that are irradiated with ∼9 kJ of ultraviolet (351-nm) laser energy in a 2-ns pulse. The data elucidate the temporal evolution of the plasma profile for the CH planar targets and the dependence of the plasma profile on target radius for CH spheres

  14. Measurements of Electron Temperature and Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Karasik, M.; Chan, L.-Y.; Serlin, V.; Phillips, L.

    2012-10-01

    ExperimentsfootnotetextJ. Oh, et al, GO5.4, APS DPP (2010).^,footnotetextJ. L. Weaver, et al, GO5.3, APS DPP (2010). using Nike KrF laser observed LPI signatures from CH plasmas at the laser intensities above ˜1x10^15 W/cm^2. Knowing spatial profiles of temperature (Te) and density (ne) in the underdense coronal region (0 Nike LPI experiment, a side-on grid imaging refractometer (GIR)footnotetextR. S. Craxton, et al, Phys. Fluids B 5, 4419 (1993). is being deployed for measuring the underdense plasma profiles. The GIR will resolve Te and ne in space taking a 2D snapshot of probe laser (λ= 263 nm, δt = 10 psec) beamlets (50μm spacing) refracted by the plasma at a selected time during the laser illumination. Time-resolved spectrometers with an absolute-intensity-calibrated photodiode array and a streak camera will simultaneously monitor light emission from the plasma in spectral ranges relevant to Raman (SRS) and two plasmon decay (TDP) instabilities. The experimental study of effects of the plasma profiles on the LPI initiation will be presented.

  15. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Energy Technology Data Exchange (ETDEWEB)

    Schaper, Lucas, E-mail: lschaper01@qub.ac.uk [Universität Hamburg, FB Physik, Institut für Experimentalphysik, Luruper Chaussee 149, 22761 Hamburg (Germany); Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany); Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens [Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany)

    2014-03-11

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 10{sup 17} cm{sup −3} pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 µm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 10{sup 17} cm{sup −3} density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  16. Effects of low central fuelling on density and ion temperature profiles in reversed shear plasmas on JT-60U

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H; Ide, S; Sakamoto, Y; Fujita, T [Japan Atomic Energy Agency, Naka Ibaraki 311-0193 (Japan)], E-mail: takenaga.hidenobu@jaea.go.jp

    2008-07-15

    Effects of low central fuelling on density and ion temperature profiles have been investigated using negative ion based neutral beam injection and electron cyclotron heating (ECH) in reversed shear plasmas on JT-60U. Strong internal transport barrier (ITB) was maintained in density and ion temperature profiles, when central fuelling was decreased by switching positive ion based neutral beam injection to ECH after the strong ITB formation. Similar density and ion temperature ITBs were formed for the low and high central fuelling cases during the plasma current ramp-up phase. Strong correlation between the density gradient and the ion temperature gradient was observed, indicating that particle transport and ion thermal transport are strongly coupled or the density gradient assists the ion temperature ITB formation through suppression of drift wave instabilities such as ion temperature gradient mode. These results support that the density and ion temperature ITBs can be formed under reactor relevant conditions.

  17. Effects of low central fuelling on density and ion temperature profiles in reversed shear plasmas on JT-60U

    Science.gov (United States)

    Takenaga, H.; Ide, S.; Sakamoto, Y.; Fujita, T.; JT-60 Team

    2008-07-01

    Effects of low central fuelling on density and ion temperature profiles have been investigated using negative ion based neutral beam injection and electron cyclotron heating (ECH) in reversed shear plasmas on JT-60U. Strong internal transport barrier (ITB) was maintained in density and ion temperature profiles, when central fuelling was decreased by switching positive ion based neutral beam injection to ECH after the strong ITB formation. Similar density and ion temperature ITBs were formed for the low and high central fuelling cases during the plasma current ramp-up phase. Strong correlation between the density gradient and the ion temperature gradient was observed, indicating that particle transport and ion thermal transport are strongly coupled or the density gradient assists the ion temperature ITB formation through suppression of drift wave instabilities such as ion temperature gradient mode. These results support that the density and ion temperature ITBs can be formed under reactor relevant conditions.

  18. Advances in the density profile evaluation from broadband reflectometry on ASDEX upgrade

    International Nuclear Information System (INIS)

    Varela, P.; Manso, M.; Conway, G.

    2001-01-01

    The high temporal and spatial resolutions provided by broadband microwave reflectometry make it an attractive diagnostic technique to measure the density profile in fusion plasmas. However, great problems have been encountered due to the plasma turbulence that difficult, and sometimes prevent, the routine evaluation of density profiles. Advanced broadband systems employ ultra-fast sweeping in an attempt to perform the profile measurement in a time window smaller than the temporal scale of the main plasma fluctuations but this is not sufficient. Indeed, abrupt plasma movements and/or spatial turbulence always affect the reflectometry signals, as shown by numerical studies (with both one- and two-dimensional codes), for the case of ultra-fast sweeping and pulse radar systems. For this reason not only the system performance is important but the software tools also play a crucial role for reflectometry to become a standard density profile diagnostic. Here we present the recent advances towards automatic evaluation of density profiles from broadband reflectometry on ASDEX Upgrade. For regimes with moderate levels of plasma turbulence, density profiles are obtained from single reflectometry samples (temporal resolution of 20 μs), and for higher turbulence levels average profiles are obtained from bursts of ultra-fast (20 μs), closely spaced (10 μs) sweeps. This method improved the accuracy and reliability of density profiles, which can now be obtained automatically from the edge to the bulk plasma - using reflectometry alone - in most plasma regimes of ASDEX Upgrade. New data processing capability has been implemented that allows the profiles to be available to the end-users 10-12 minutes after each discharge. These developments were possible due to the flexibility and high performance of the control and data acquisition systems and to the large number of measurements that can be performed with the diagnostic during each discharge (720 profiles both on the low- and

  19. Relativistic self-focusing of ultra-high intensity X-ray laser beams in warm quantum plasma with upward density profile

    International Nuclear Information System (INIS)

    Habibi, M.; Ghamari, F.

    2014-01-01

    The results of a numerical study of high-intensity X-ray laser beam interaction with warm quantum plasma (WQP) are presented. By means of an upward ramp density profile combined with quantum factors specially the Fermi velocity, we have demonstrated significant relativistic self-focusing (RSF) of a Gaussian electromagnetic beam in the WQP where the Fermi temperature term in the dielectric function is important. For this purpose, we have considered the quantum hydrodynamics model that modifies refractive index of inhomogeneous WQPs with the inclusion of quantum correction through the quantum statistical and diffraction effects in the relativistic regime. Also, to better illustration of the physical difference between warm and cold quantum plasmas and their effect on the RSF, we have derived the envelope equation governing the spot size of X-ray laser beam in Q-plasmas. In addition to the upward ramp density profile, we have found that the quantum effects would be caused much higher oscillation and better focusing of X-ray laser beam in the WQP compared to that of cold quantum case. Our computational results reveal the importance of the use of electrons density profile and Fermi speed in enhancing self-focusing of laser beam

  20. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  1. Fueling requirements of super-high-density plasmas towards innovative ignition regime

    International Nuclear Information System (INIS)

    Sakamoto, Ryuichi; Yamada, Hiroshi

    2014-01-01

    Highlights: • Self-burning scenario with internal diffusion barrier is investigated. • Peaked density profiles allow to sustain self-burning plasma at lower temperature. • Core fueling beyond internal diffusion barrier is essential to sustain peaked density. • Acceptable pellet size becomes small to prevent fusion out perturbation. • Very high velocity pellet injection beyond 10 km/s is inevitable for this scenario. - Abstract: Super-high-density plasma with an internal diffusion barrier which is observed in the Large Helical Device has been extrapolated to a fusion reactor grade plasma to explore an innovative ignition regime and to clarify essential requirements for pellet fueling. The peaked density profiles due to the internal diffusion barrier formation allow reduction in the required minimum temperature to sustain a self-burning plasma down to 10 keV. Direct core fueling beyond the internal diffusion barrier is essential to sustain the peaked density profile. Furthermore, the acceptable pellet size becomes small in terms of fusion output perturbation because the effective volume of the burning plasma becomes small with the peaked density profile. In order to sustain a self-burning plasma with an internal diffusion barrier, therefore, extremely high velocity pellet injection beyond 10 km/s is inevitable unless another solution to the core fueling is found

  2. 1 μs broadband frequency sweeping reflectometry for plasma density and fluctuation profile measurements

    Science.gov (United States)

    Clairet, F.; Bottereau, C.; Medvedeva, A.; Molina, D.; Conway, G. D.; Silva, A.; Stroth, U.; ASDEX Upgrade Team; Tore Supra Team; Eurofusion Mst1 Team

    2017-11-01

    Frequency swept reflectometry has reached the symbolic value of 1 μs sweeping time; this performance has been made possible, thanks to an improved control of the ramp voltage driving the frequency source. In parallel, the memory depth of the acquisition system has been upgraded and can provide up to 200 000 signals during a plasma discharge. Additional improvements regarding the trigger delay determination of the acquisition and the voltage ramp linearity required by this ultra-fast technique have been set. While this diagnostic is traditionally dedicated to the plasma electron density profile measurement, such a fast sweeping rate can provide the study of fast plasma events and turbulence with unprecedented time and radial resolution from the edge to the core. Experimental results obtained on ASDEX Upgrade plasmas are presented to demonstrate the performances of the diagnostic.

  3. In depth fusion flame spreading with a deuterium—tritium plane fuel density profile for plasma block ignition

    International Nuclear Information System (INIS)

    Malekynia, B.; Razavipour, S. S.

    2012-01-01

    Solid-state fuel ignition was given by Chu and Bobin according to the hydrodynamic theory at x = 0 qualitatively. A high threshold energy flux density, i.e., E* = 4.3 × 10 12 J/m 2 , has been reached. Recently, fast ignition by employing clean petawatt—picosecond laser pulses was performed. The anomalous phenomena were observed to be based on suppression of prepulses. The accelerated plasma block was used to ignite deuterium—tritium fuel at solid-state density. The detailed analysis of the thermonuclear wave propagation was investigated. Also the fusion conditions at x ≠ 0 layers were clarified by exactly solving hydrodynamic equations for plasma block ignition. In this paper, the applied physical mechanisms are determined for nonlinear force laser driven plasma blocks, thermonuclear reaction, heat transfer, electron—ion equilibration, stopping power of alpha particles, bremsstrahlung, expansion, density dependence, and fluid dynamics. New ignition conditions may be obtained by using temperature equations, including the density profile that is obtained by the continuity equation and expansion velocity. The density is only a function of x and independent of time. The ignition energy flux density, E* t , for the x ≠ 0 layers is 1.95 × 10 12 J/m 2 . Thus threshold ignition energy in comparison with that at x = 0 layers would be reduced to less than 50 percent. (physics of gases, plasmas, and electric discharges)

  4. Experimental profile evolution of a high-density field-reversed configuration

    International Nuclear Information System (INIS)

    Ruden, E. L.; Zhang, Shouyin; Intrator, T. P.; Wurden, G. A.

    2006-01-01

    A field-reversed configuration (FRC) gains angular momentum over time, eventually resulting in an n=2 rotational instability (invariant under rotation by π) terminating confinement. To study this, a laser interferometer probes the time history of line integrated plasma density along eight chords of the high-density (∼10 17 cm -3 ) field-reversed configuration experiment with a liner. Abel and tomographic inversions provide density profiles during the FRC's azimuthally symmetric phase, and over a period when the rotational mode has saturated and rotates with a roughly fixed profile, respectively. During the latter part of the symmetric phase, the FRC approximates a magnetohydrodynamic (MHD) equilibrium, allowing the axial magnetic-field profile to be calculated from pressure balance. Basic FRC properties such as temperature and poloidal flux are then inferred. The subsequent two-dimensional n=2 density profiles provide angular momentum information needed to set bounds on prior values of the stability relevant parameter α (rotational to ion diamagnetic drift frequency ratio), in addition to a view of plasma kinematics useful for benchmarking plasma models of higher order than MHD

  5. Effects of fueling profiles on plasma transport

    International Nuclear Information System (INIS)

    Mense, A.T.; Houlberg, W.A.; Attenberger, S.E.; Milora, S.L.

    1978-04-01

    A one-dimensional (1-D), multifluid transport model is used to investigate the effects of particle fueling profiles on plasma transport in an ignition-sized tokamak (TNS). Normal diffusive properties of plasmas will likely maintain the density at the center of the discharge even if no active fueling is provided there. This significantly relaxes the requirements for fuel penetration. Not only is lower fuel penetration easier to achieve, but it may have the advantage of reducing or eliminating density gradient-driven trapped particle microinstabilities. Simulation of discrete pellet fueling indicates that relatively low velocity (approximately 10 3 m/sec) pellets may be sufficient to fuel a TNS-sized device (approximately 1.25-m minor radius), to produce a relatively broad, cool edge region of plasma which should reduce the potential for sputtering, and also to reduce the likelihood of trapped particle mode dominated transport. Low penetrating pellets containing up to 10 to 20 percent of the total plasma ions can produce fluctuations in density and temperature at the plasma edge, but the pressure profile and fusion alpha production remain almost constant

  6. Density profile analysis during an ELM event in ASDEX Upgrade H-modes

    International Nuclear Information System (INIS)

    Nunes, I.; Manso, M.; Serra, F.; Horton, L.D.; Conway, G.D.; Loarte, A.

    2005-01-01

    This paper reports results on measurements of the density profiles. Here we analyse the behaviour of the electron density for a set of experiments in type I ELMy H-mode discharges in ASDEX Upgrade where the plasma current, plasma density, triangularity and input power were varied. Detailed measurements of the radial extent of the perturbation on the density profiles caused by the edge localized mode (ELM) crash (ELM affected depth), the velocity of the radial propagation of the perturbation as well as the width and gradient of the density pedestal are determined. The effect of a type I ELM event on the density profiles affects the outermost 20-40% of the plasma minor radius. At the scrape-off layer (SOL) the density profile broadens while in the pedestal region the density decreases resulting in a smaller density gradient. This change in the density profile defines a pivot point around which the density profile changes. The average radial velocity at the SOL is in the range 125-150 ms -1 and approximately constant for all the density layers far from the pivot point. The width of the density pedestal is approximately constant for all the ELMy H-mode discharges analysed, with values between 2 and 3.5 cm. These results are then compared with an analytical model where the width of the density is predominantly set by ionization (neutral penetration model). The width of the density profiles for L-mode discharges is included, since L- and H-mode have different particle transport. No agreement between the experimental results and the model is found

  7. Improved density profile measurements in the C-2U advanced beam-driven Field-Reversed Configuration (FRC) plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Beall, M., E-mail: mbeall@trialphaenergy.com; Deng, B. H.; Gota, H. [Tri Alpha Energy, Inc., P.O. Box 7010, Rancho Santa Margarita, California 92688 (United States)

    2016-11-15

    In the prior C-2 experiment, electron density was measured using a two-color 6-chord CO{sub 2}/HeNe interferometer. Analysis shows that high-frequency common mode phase noise can be reduced by a factor of 3 by constructing a reference chord. In the system upgrade from C-2 to C-2U a 4-chord far-infrared laser interferometer was developed, which demonstrated superior sensitivity (1 × 10{sup 16} m{sup −2} at >1 MHz bandwidth) and solved the under spatial sampling issue of the C-2 interferometer system. Improved density-profile measurement results are presented in this paper, including evidence of fast-ion modified density profile and stabilization of the n = 1 plasma wobble mode.

  8. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    International Nuclear Information System (INIS)

    Matsuyama, Shoichiro; Shinohara, Shunjiro

    2001-01-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  9. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    Energy Technology Data Exchange (ETDEWEB)

    Matsuyama, Shoichiro; Shinohara, Shunjiro [Kyushu Univ., Interdisciplinary Graduate School of Engineering Sciences, Fukuoka (Japan)

    2001-07-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  10. Probing a dusty magnetized plasma with self-excited dust-density waves

    Science.gov (United States)

    Tadsen, Benjamin; Greiner, Franko; Piel, Alexander

    2018-03-01

    A cloud of nanodust particles is created in a reactive argon-acetylene plasma. It is then transformed into a dusty magnetized argon plasma. Plasma parameters are obtained with the dust-density wave diagnostic introduced by Tadsen et al. [Phys. Plasmas 22, 113701 (2015), 10.1063/1.4934927]. A change from an open to a cylindrically enclosed nanodust cloud, which was observed earlier, can now be explained by a stronger electric confinement if a vertical magnetic field is present. Using two-dimensional extinction measurements and the inverse Abel transform to determine the dust density, a redistribution of the dust with increasing magnetic induction is found. The dust-density profile changes from being peaked around the central void to being peaked at an outer torus ring resulting in a hollow profile. As the plasma parameters cannot explain this behavior, we propose a rotation of the nanodust cloud in the magnetized plasma as the origin of the modified profile.

  11. Dark-ground illumination: a quantitative diagnostic for plasma density

    International Nuclear Information System (INIS)

    Paul, S.F.

    1981-01-01

    Radial electron density profiles of a toroidal belt pinch plasma have been obtained by a single measurement. Collimated ruby laser light, incident on the plasma, is focused to a diffraction limited spot (100 μm). The technique, a variation of the dark-ground microscope, involves masking the center of the plasma diffraction pattern with a thin wire. Undiffracted light is blocked by a thin wire, whereas light diffracted by the plasma passes around the wire and onto a photoplate. The resulting interference generates a high contrast fringe pattern whose intensity varies as 1-cosΔ phi, where Δ phi is the phase shift induced by the plasma. The fringes are recorded on Polaroid type 46L transparency film. Using this technique, radial density profiles of the plasma produced in the Columbia Torus I belt pinch have been measured. The plasma minor cross section is elliptical with a approx. 2 cm, b approx. 30 cm and approx. 3 x 10 16 /cm 3 . Average densities as low as 2 x 10 15 /cm 3 have been measured

  12. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources

    International Nuclear Information System (INIS)

    Christ-Koch, Sina

    2007-01-01

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields (∝ 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H - )=1.10 17 1/m 3 , which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  13. Real-time feedback control of the plasma density profile on ASDEX Upgrade

    International Nuclear Information System (INIS)

    Mlynek, A.; Reich, M.; Giannone, L.; Treutterer, W.; Behler, K.; Blank, H.; Buhler, A.; Cole, R.; Eixenberger, H.; Fischer, R.; Lohs, A.; Lueddecke, K.; Merkel, R.; Neu, G.; Ryter, F.; Zasche, D.

    2011-01-01

    The spatial distribution of density in a fusion experiment is of significant importance as it enters in numerous analyses and contributes to the fusion performance. The reconstruction of the density profile is therefore commonly done in offline data analysis. In this paper, we present an algorithm which allows for density profile reconstruction from the data of the submillimetre interferometer and the magnetic equilibrium in real-time. We compare the obtained results to the profiles yielded by a numerically more complex offline algorithm. Furthermore, we present recent ASDEX Upgrade experiments in which we used the real-time density profile for active feedback control of the shape of the density profile.

  14. Comparison of neutral density profiles measured using Dα and C5+ in NSTX-U

    Science.gov (United States)

    Bell, R. E.; Scotti, F.; Diallo, A.; Leblanc, B. P.; Podesta, M.; Sabbagh, S. A.

    2017-10-01

    Edge neutral density profiles determined from two different measurements are compared on NSTX-U plasmas. Neutral density measurements were not typical on NSTX plasmas. An array of fibers dedicated to the measurement of passive emission of C5+, used to subtract background emission for charge exchange recombination spectroscopy (CHERS), can be used to infer deuterium neutral density near the plasma edge. The line emission from C5+ is dominated by charge exchange with neutral deuterium near the plasma edge. An edge neutral density diagnostic consisting of a camera with a Dα filter was installed on NSTX-U. The line-integrated measurements from both diagnostics are inverted to obtain local emissivity profiles. Neutral density is then inferred using atomics rates from ADAS and profile measurements from Thomson scattering and CHERS. Comparing neutral density profiles from the two diagnostic measurements helps determine the utility of using the more routinely available C5+ measurements for neutral density profiles. Initial comparisons show good agreement between the two measurements inside the separatrix. Supported by US DoE Contracts DE-AC02-09CH11466 and DE-AC52-07NA27344.

  15. Observation of the skin-like profiles of electron temperature and density of turbulently heated plasmas in the TRIAM-1 tokamak

    International Nuclear Information System (INIS)

    Hiraki, Naoji; Nakamura, Kazuo; Toi, Kazuo; Itoh, Satoshi

    1980-01-01

    The time evolution of electron temperature and density profiles are measured on the turbulent heating experiment in the TRIAM-1 tokamak. The skin-like profiles of electron temperature and density are observed just after the application of the pulsed electric field for turbulent heating. The width of the skin layer of the electron temperature profile is about 1 cm, and agrees well with the theoretical value. The above mentioned skin heating of electrons just after the heating pulse is also spectroscopically confirmed by the remarkable decrease of the volume emission of visible lines which is localized at the outer plasma region. (author)

  16. Observation of the skin-like profiles of electron temperature and density of turbulently heated plasmas in the TRIAM-1 tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Hiraki, N; Nakamura, K; Toi, K; Itoh, S [Kyushu Univ., Fukuoka (Japan). Research Inst. for Applied Mechanics

    1980-07-01

    The time evolution of electron temperature and density profiles are measured on the turbulent heating experiment in the TRIAM-1 tokamak. The skin-like profiles of electron temperature and density are observed just after the application of the pulsed electric field for turbulent heating. The width of the skin layer of the electron temperature profile is about 1 cm, and agrees well with the theoretical value. The above mentioned skin heating of electrons just after the heating pulse is also spectroscopically confirmed by the remarkable decrease of the volume emission of visible lines which is localized at the outer plasma region.

  17. Electron density measurement of a colliding plasma using soft x-ray laser interferometry

    International Nuclear Information System (INIS)

    Wan, A.S.; Back, C.A.; Barbee, T.W.Jr.; Cauble, R.; Celliers, P.; DaSilva, L.B.; Glenzer, S.; Moreno, J.C.; Rambo, P.W.; Stone, G.F.; Trebes, J.E.; Weber, F.

    1996-05-01

    The understanding of the collision and subsequent interaction of counter-streaming high-density plasmas is important for the design of indirectly-driven inertial confinement fusion (ICF) hohlraums. We have employed a soft x-ray Mach-Zehnder interferometer, using a Ne- like Y x-ray laser at 155 angstrom as the probe source, to study interpenetration and stagnation of two colliding plasmas. We observed a peaked density profile at the symmetry axis with a wide stagnation region with width of order 100 μm. We compare the measured density profile with density profiles calculated by the radiation hydrodynamic code LASNEX and a multi-specie fluid code which allows for interpenetration. The measured density profile falls in between the calculated profiles using collisionless and fluid approximations. By using different target materials and irradiation configurations, we can vary the collisionality of the plasma. We hope to use the soft x-ray laser interferometry as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy- density physics experiments

  18. Hollow density profile and particle transport of ECH plasmas in the low-aspect-ratio heliotron/torsatron CHS

    International Nuclear Information System (INIS)

    Iguchi, H.; Kubo, S.; Idei, H.

    1993-01-01

    Transport enhancement due to helical ripples is the main problem for a low-aspect-ratio helical system to survive as a magnetic fusion device. Optimization of the magnetic configuration has been experimentally studied for neutral beam heated plasmas in the Compact Helical System (CHS). A confinement regime compatible with the LHD scaling has been obtained by shifting the magnetic axis inward with respect to the minor axis of the helical windings. However a power balance analysis suggests that the improvement of plasma parameters has mainly been achieved by the improvement of power deposition. On the other hand, electron density profiles become peaked with the inward shifted magnetic axis in contrast to flattened profiles with the outward shifted one. A question arises: Does the magnetic structure really affect transport processes? In order to answer this question, it is most suitable to examine ECH plasmas in a low collisionality regime. In this paper we report some characteristics of the ECH plasmas in the low-aspect-ratio device CHS and discuss the effect of magnetic field ripples on transport processes. (author) 10 refs., 4 figs

  19. Edge plasma density reconstruction for fast monoenergetic lithium beam probing

    International Nuclear Information System (INIS)

    Sasaki, S.; Takamura, S.; Ueda, M.; Iguchi, H.; Fujita, J.; Kadota, K.

    1993-01-01

    Two different electron density reconstruction methods for 8-keV neutral lithium beam probing have been developed for the Compact Helical System (CHS). Density dependences on emission and ionization processes are included by using effective rate coefficients obtained from the collisional radiative model. Since the two methods differ in the way the local beam density in the plasma is determined, the methods have different applicable electron densities. The beam attenuation is calculated by iteration from the electron density profile in method I. In method II, the beam remainder at the observation point z is determined by integrating the Li I emission intensity from z toward the position of emission tail-off. At the emission tail-off, the fast lithium beam is completely attenuated. Selecting an appropriate method enables us to obtain edge electron density profile well inside the last closed flux surface for various ranges of plasma densities (10 12 --5x10 13 cm -3 ). The electron density profiles reconstructed by these two different methods are in good agreement with each other and are consistent with results from ruby laser Thomson scattering

  20. Density gradient instabilities in a neutron inhomogeneous guiding-centre plasma

    International Nuclear Information System (INIS)

    Shoucri, M.M.; Gagne, R.R.J.

    1977-01-01

    The guiding-centre equations for a plasma of cold ions and thermal electrons admit neutral and non-neutral inhomogeneous equilibrium solutions, and the linear stability of these solutions has been recently investigated numerically by Shoucri and Knorr (1975). With arbitrary density profiles, numerical techniques appear to be the only practical way to study the linear stability of the inhomogeneous equilibrium solutions for the guiding centre plasma. However, analytical methods can be applied to some simple types of density profiles. The purpose of the present note is to present some analytical results on the linear instabilities of an inhomogeneous neutral guiding centre plasma. (U.K.)

  1. Electron and current density measurements on tokamak plasmas

    International Nuclear Information System (INIS)

    Lammeren, A.C.A.P. van.

    1991-01-01

    The first part of this thesis describes the Thomson-scattering diagnostic as it was present at the TORTUR tokamak. For the first time with this diagnostic a complete tangential scattering spectrum was recorded during one single laser pulse. From this scattering spectrum the local current density was derived. Small deviations from the expected gaussian scattering spectrum were observed indicating the non-Maxwellian character of the electron-velocity distribution. The second part of this thesis describes the multi-channel interferometer/ polarimeter diagnostic which was constructed, build and operated on the Rijnhuizen Tokamak Project (RTP) tokamak. The diagnostic was operated routinely, yielding the development of the density profiles for every discharge. When ECRH (Electron Cyclotron Resonance Heating) is switched on the density profile broadens, the central density decreases and the total density increases, the opposite takes place when ECRH is switched off. The influence of MHD (magnetohydrodynamics) activity on the density was clearly observable. In the central region of the plasma it was measured that in hydrogen discharges the so-called sawtooth collapse is preceded by an m=1 instability which grows rapidly. An increase in radius of this m=1 mode of 1.5 cm just before the crash is observed. In hydrogen discharges the sawtooth induced density pulse shows an asymmetry for the high- and low-field side propagation. This asymmetry disappeared for helium discharges. From the location of the maximum density variations during an m=2 mode the position of the q=2 surface is derived. The density profiles are measured during the energy quench phase of a plasma disruption. A fast flattening and broadening of the density profile is observed. (author). 95 refs.; 66 figs.; 7 tabs

  2. High energy density Z-pinch plasmas using flow stabilization

    Energy Technology Data Exchange (ETDEWEB)

    Shumlak, U., E-mail: shumlak@uw.edu; Golingo, R. P., E-mail: shumlak@uw.edu; Nelson, B. A., E-mail: shumlak@uw.edu; Bowers, C. A., E-mail: shumlak@uw.edu; Doty, S. A., E-mail: shumlak@uw.edu; Forbes, E. G., E-mail: shumlak@uw.edu; Hughes, M. C., E-mail: shumlak@uw.edu; Kim, B., E-mail: shumlak@uw.edu; Knecht, S. D., E-mail: shumlak@uw.edu; Lambert, K. K., E-mail: shumlak@uw.edu; Lowrie, W., E-mail: shumlak@uw.edu; Ross, M. P., E-mail: shumlak@uw.edu; Weed, J. R., E-mail: shumlak@uw.edu [Aerospace and Energetics Research Program, University of Washington, Seattle, Washington, 98195-2250 (United States)

    2014-12-15

    The ZaP Flow Z-Pinch research project[1] at the University of Washington investigates the effect of sheared flows on MHD instabilities. Axially flowing Z-pinch plasmas are produced that are 100 cm long with a 1 cm radius. The plasma remains quiescent for many radial Alfvén times and axial flow times. The quiescent periods are characterized by low magnetic mode activity measured at several locations along the plasma column and by stationary visible plasma emission. Plasma evolution is modeled with high-resolution simulation codes – Mach2, WARPX, NIMROD, and HiFi. Plasma flow profiles are experimentally measured with a multi-chord ion Doppler spectrometer. A sheared flow profile is observed to be coincident with the quiescent period, and is consistent with classical plasma viscosity. Equilibrium is determined by diagnostic measurements: interferometry for density; spectroscopy for ion temperature, plasma flow, and density[2]; Thomson scattering for electron temperature; Zeeman splitting for internal magnetic field measurements[3]; and fast framing photography for global structure. Wall stabilization has been investigated computationally and experimentally by removing 70% of the surrounding conducting wall to demonstrate no change in stability behavior.[4] Experimental evidence suggests that the plasma lifetime is only limited by plasma supply and current waveform. The flow Z-pinch concept provides an approach to achieve high energy density plasmas,[5] which are large, easy to diagnose, and persist for extended durations. A new experiment, ZaP-HD, has been built to investigate this approach by separating the flow Z-pinch formation from the radial compression using a triaxial-electrode configuration. This innovation allows more detailed investigations of the sheared flow stabilizing effect, and it allows compression to much higher densities than previously achieved on ZaP by reducing the linear density and increasing the pinch current. Experimental results and

  3. Feasibility study of the plasma electron density measurement by electromagnetic radiation from the laser-driven plasma wave

    International Nuclear Information System (INIS)

    Jang, D G; Kim, J J; Suk, H; Hur, M S

    2012-01-01

    When an intense laser beam is focused in a plasma, a plasma wake wave is generated and the oscillatary motion of the plasma electrons produces a strong electromagnetic wave by a Cherenkov-like process. Spectrum of the genetated electromagnetic wave has dependence on the plasma density. In this paper, we propose to use the emitted electromagnetic radiation for plasma diagnostic, which may provide an accurate information for local electron densities of the plasma and will be very useful for three-dimensional plasma density profiles by changing the focal point location of the laser beam. Two-dimensional (2-D) particle-in-cell (PIC) simulation is used to study the correlation between the spectrum of the emitted radiation and plasma density, and the results demonstrate that this method is promising for the electron density measurement in the plasma.

  4. Edge density profile measurements by X-mode reflectometry on Tore Supra

    International Nuclear Information System (INIS)

    Clairet, F.; Bottereau, C.; Chareau, J.M.; Paume, M.; Sabot, R.

    2000-10-01

    A broadband reflectometer operating in the frequency range 50-75 GHz has been developed on Tore Supra to measure electron density profiles at the edge. The system uses extraordinary mode polarization and performs routine measurements in 20 μs with a heterodyne detection to ensure a high dynamic range sensitivity. It allows separate phase and amplitude information of the signal. The density profiles are fully automatically calculated from the raw phase. The initialization is done with an automatic detection of the first cut-off from the amplitude of the reflected signal with accuracy up to ±0.5 cm. The profiles are now part of the public database of Tore Supra (TS) and can provide details of density structures better than the centimeter range. High reliability of the measurements for various plasma conditions make this diagnostic an ideal tool to study specific edge plasma physics with given examples on detached plasma behaviour and RF antenna-plasma coupling processes. It also is shown how the presence of suprathermal electrons may perturb the measurements. (authors)

  5. Interferometric density measurements in the divertor and edge plasma regions for the additionally heated JT-60 plasmas

    International Nuclear Information System (INIS)

    Fukuda, T.; Yoshida, H.; Nagashima, A.; Ishida, S.; Kikuchi, M.; Yokomizo, H.

    1989-01-01

    The first divertor plasma density measurement and the interferometric edge plasma density measurement with boundary condition preserving millimeter waveguides were demonstrated to elucidate the mutual correlation among the divertor plasma, scrape-off layer plasma and the bulk plasma properties in the additionally heated JT-60 plasmas. The electron density in the divertor region exhibited a nonlinear dependence on the bulk plasma density for the joule-heated plasmas. When neutral beam heating is applied on the plasmas with the electron density above 2x10 19 /m 3 , however, the bulk plasma density is scraped off from the outer region to lead to density clamping, and the electron density in the divertor region rapidly increases over 1x10 20 /m 3 , from which we can deduce that the particle flow along the magnetic field is dominant, resulting in the apparent degradation of the particle confinement time. As for the case when neutral beam injection is applied to low-density plasmas, the bulk plasma electron density profile becomes flattened to yield a smaller density increase in the divertor region and no density clamping of the bulk plasma was observed. Simulation analysis which correlates the transport of the divertor plasma and the scrape-off layer plasma was also carried out to find the consistency with the experimental results. (orig.)

  6. Plasma profile recovery by function parameterisation

    International Nuclear Information System (INIS)

    McCarthy, P.J.; Sexton, M.C.

    1986-11-01

    The use of Function Parameterisation for the recovery of plasma profiles as a function of flux surface area from spatial point data directly combined with external magnetic measurements is demonstrated in the case of ASDEX electron temperature and density profiles. The extrapolated temperature on the magnetic axis is shown to be more reliable than that obtained from a conventional fitting procedure. (orig.)

  7. Plasma Profile Measurements for Laser Fusion Research with the Nike KrF Laser

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Serlin, V.; Obenschain, S. P.

    2015-11-01

    The grid image refractometer of the Nike laser facility (Nike-GIR) has demonstrated the capability of simultaneously measuring electron density (ne) and temperature (Te) profiles of coronal plasma. For laser plasma instability (LPI) research, the first Nike-GIR experiment successfully measured the plasma profiles in density regions up to ne ~ 4 ×1021 cm-3 (22% of the critical density for 248 nm light of Nike) using an ultraviolet probe laser (λp = 263 nm). The probe laser has been recently replaced with a shorter wavelength laser (λp = 213 nm, a 5th harmonic of the Nd:YAG laser) to diagnose a higher density region. The Nike-GIR system is being further extended to measure plasma profiles in the on-going experiment using 135°-separated Nike beam arrays for the cross-beam energy transfer (CBET) studies. We present an overview of the extended Nike-GIR arrangements and a new numerical algorithm to extract self-consistant plasma profiles with the measured quantities. Work supported by DoE/NNSA.

  8. Measurement of electron density profiles by soft X-ray tomography on the RTP tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Cruz, D.F. da; Donne, A.J.H.; Lyadina, E.S.; Rutteman, R.H.; Tanzi, C.P. [FOM-Instituut voor Plasmafysica, Rijnhuizen (Netherlands)

    1993-12-31

    Tomographic diagnosis of the soft x-ray emissivity profile is a powerful method for studying several plasma parameters. The x-ray emissivity is a complicated function of plasma quantities like the electron density and temperature, and the impurity content in the plasma. These quantities can be studied separately provided that information is available on the remaining parameters. Soft x-ray emissivity profiles have already been used successfully in other machines to determine local values of impurity densities and the effective charge Z{sub eff}. In the RTP tokamak the electron density profile has been inferred from a modelling of the x-ray emissivity in situations where information is available on the electron temperature profile, the value of Z{sub eff}, and the relative proportion of the impurities. The method can be useful for the study of hollow density profiles that cannot be properly reconstructed by Abel inversion of interferometer or reflectometer data. (author) 7 refs., 2 figs.

  9. Measurement of electron density profiles by soft X-ray tomography on the RTP tokamak

    International Nuclear Information System (INIS)

    Cruz, D.F. da; Donne, A.J.H.; Lyadina, E.S.; Rutteman, R.H.; Tanzi, C.P.

    1993-01-01

    Tomographic diagnosis of the soft x-ray emissivity profile is a powerful method for studying several plasma parameters. The x-ray emissivity is a complicated function of plasma quantities like the electron density and temperature, and the impurity content in the plasma. These quantities can be studied separately provided that information is available on the remaining parameters. Soft x-ray emissivity profiles have already been used successfully in other machines to determine local values of impurity densities and the effective charge Z eff . In the RTP tokamak the electron density profile has been inferred from a modelling of the x-ray emissivity in situations where information is available on the electron temperature profile, the value of Z eff , and the relative proportion of the impurities. The method can be useful for the study of hollow density profiles that cannot be properly reconstructed by Abel inversion of interferometer or reflectometer data. (author) 7 refs., 2 figs

  10. Spectroscopic measurements of the density and electronic temperature at the plasma edge in Tore Supra

    International Nuclear Information System (INIS)

    Lediankine, A.

    1996-01-01

    The profiles of temperature and electronic density at the plasma edge are important to study the wall-plasma interaction and the radiative layers in the Tokamak plasmas. The laser ablation technique of the lithium allows to measure the profile of electronic density. To measure the profile of temperature, it has been used for the first time, the injection of a fluorine neutral atoms beam. The experiments, the results are described in this work. (N.C.)

  11. A SURVEY OF CORONAL CAVITY DENSITY PROFILES

    International Nuclear Information System (INIS)

    Fuller, J.; Gibson, S. E.

    2009-01-01

    Coronal cavities are common features of the solar corona that appear as darkened regions at the base of coronal helmet streamers in coronagraph images. Their darkened appearance indicates that they are regions of lowered density embedded within the comparatively higher density helmet streamer. Despite interfering projection effects of the surrounding helmet streamer (which we refer to as the cavity rim), Fuller et al. have shown that under certain conditions it is possible to use a Van de Hulst inversion of white-light polarized brightness (pB) data to calculate the electron density of both the cavity and cavity rim plasma. In this article, we apply minor modifications to the methods of Fuller et al. in order to improve the accuracy and versatility of the inversion process, and use the new methods to calculate density profiles for both the cavity and cavity rim in 24 cavity systems. We also examine trends in cavity morphology and how departures from the model geometry affect our density calculations. The density calculations reveal that in all 24 cases the cavity plasma has a flatter density profile than the plasma of the cavity rim, meaning that the cavity has a larger density depletion at low altitudes than it does at high altitudes. We find that the mean cavity density is over four times greater than that of a coronal hole at an altitude of 1.2 R sun and that every cavity in the sample is over twice as dense as a coronal hole at this altitude. Furthermore, we find that different cavity systems near solar maximum span a greater range in density at 1.2 R sun than do cavity systems near solar minimum, with a slight trend toward higher densities for systems nearer to solar maximum. Finally, we found no significant correlation of cavity density properties with cavity height-indeed, cavities show remarkably similar density depletions-except for the two smallest cavities that show significantly greater depletion.

  12. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  13. Thomson scattering on argon surfatron plasmas at intermediate pressures: Axial profiles of the electron temperature and electron density

    International Nuclear Information System (INIS)

    Palomares, J.M.; Iordanova, E.; Veldhuizen, E.M. van; Baede, L.; Gamero, A.; Sola, A.; Mullen, J.J.A.M. van der

    2010-01-01

    The axial profiles of the electron density n e and electron temperature T e of argon surfatron plasmas in the pressure range of 6-20 mbar and microwave power between 32 and 82 W have been determined using Thomson Scattering of laser irradiation at 532 nm. For the electron density and temperature we found values in the ranges 5 x 10 18 e 19 m -3 and 1.1 e e and T e down to 8% and 3%, respectively. It is found that n e decreases in the direction of the wave propagation with a slope that is nearly constant. The slope depends on the pressure but not on the power. Just as predicted by theories we see that increasing the power leads to longer plasma columns. However, the plasmas are shorter than what is predicted by theories based on the assumption that for the plasma-wave interaction electron-atom collisions are of minor importance (the so-called collisionless regime). The plasma vanishes long before the critical value of the electron density is reached. In contrast to what is predicted by the positive column model it is found that T e does not stay constant along the column, but monotonically increases with the distance from the microwave launcher. Increases of more than 50% over 30 cm were found.

  14. A two-dimensional regularization algorithm for density profile evaluation from broadband reflectometry

    International Nuclear Information System (INIS)

    Nunes, F.; Varela, P.; Silva, A.; Manso, M.; Santos, J.; Nunes, I.; Serra, F.; Kurzan, B.; Suttrop, W.

    1997-01-01

    Broadband reflectometry is a current technique that uses the round-trip group delays of reflected frequency-swept waves to measure density profiles of fusion plasmas. The main factor that may limit the accuracy of the reconstructed profiles is the interference of the probing waves with the plasma density fluctuations: plasma turbulence leads to random phase variations and magneto hydrodynamic activity produces mainly strong amplitude and phase modulations. Both effects cause the decrease, and eventually loss, of signal at some frequencies. Several data processing techniques can be applied to filter and/or interpolate noisy group delay data obtained from turbulent plasmas with a single frequency sweep. Here, we propose a more powerful algorithm performing two-dimensional regularization (in space and time) of data provided by multiple consecutive frequency sweeps, which leads to density profiles with improved accuracy. The new method is described and its application to simulated data corrupted by noise and missing data is considered. It is shown that the algorithm improves the identification of slowly varying plasma density perturbations by attenuating the effect of fast fluctuations and noise contained in experimental data. First results obtained with this method in ASDEX Upgrade tokamak are presented. copyright 1997 American Institute of Physics

  15. Influence of continuously-varing profile on the diocotron instability in a non neutral plasma column

    International Nuclear Information System (INIS)

    Asgary, H. R.; Maraghehechi, Behrooz; Rafii, Mahboobeh

    2003-01-01

    In this paper we investigate the theoretical method related to density profile effect on diocotron instability in nonrelativistic state in non neutral plasma column. At first we introduce non neutral plasma and diocotron instability then we extract instability equation and we will investigate plasma stability with special profile density

  16. Electron temperature and density profiles measurement in the TJ-1 tokamak by Thomson scattering

    International Nuclear Information System (INIS)

    Pardo, C.; Zurro, B.

    1986-01-01

    Electron temperature and density profiles of ohmically heated hydrogen plasmas in the TJ-1 tokamak have been measured by Thomson scattering. The temperature profile peaks sharply in the central region while the density profile is very flat. Temperature values between 100 and 390 eV have been measured for densities in the range of 5.10 12 to 2.6.10 13 cm -3 . Parameters characterizing TJ-1 plasma, such as confinement times Z eff , have been deduced from experimental data. Energy confinement times are compared with experimental scaling laws. (author)

  17. Self-organization of hot plasmas the canonical profile transport model

    CERN Document Server

    Dnestrovskij, Yu N

    2015-01-01

    In this monograph the author presents the Canonical Profile Transport Model or CPTM as a rather general mathematical framework to simulate plasma discharges.The description of hot plasmas in a magnetic fusion device is a very challenging task and many plasma properties still lack a physical explanation. One important property is plasma self-organization.It is very well known from experiments that the radial profile of the plasma pressure and temperature remains rather unaffected by changes of the deposited power or plasma density. The attractiveness of the CPTM is that it includes the effect o

  18. Plasma density measurements from the GEOS-1 relaxation sounder

    International Nuclear Information System (INIS)

    Etcheto, J.; Bloch, J.J.

    1978-01-01

    The relaxation sounder uses the characteristics of the propagation of radiowaves to sound the plasma surrounding the spacecraft. It determines, in particular, the plasma frequency, which gives the electron density. Measurements over the whole dayside of the magnetosphere, from the evening to the night sectors, are now available. The behaviour of the plasma resonance depends on local time, the nighttime echoes being generally weaker. Density measurements thus obtained are shown and discussed in the context of what is presently known about the plasma distribution in the magnetosphere. In particular, the density around apogee is studied as a function of magnetic activity. On the dayside, it appears to vary between a few and a few tens of electrons per cubic centimeter. The evolution of the density profile for several consecutive days is studied and interpreted tracing back the drift of the particles. (Auth.)

  19. Control of plasma profile in microwave discharges via inverse-problem approach

    Directory of Open Access Journals (Sweden)

    Yasuyoshi Yasaka

    2013-12-01

    Full Text Available In the manufacturing process of semiconductors, plasma processing is an essential technology, and the plasma used in the process is required to be of high density, low temperature, large diameter, and high uniformity. This research focuses on the microwave-excited plasma that meets these needs, and the research target is a spatial profile control. Two novel techniques are introduced to control the uniformity; one is a segmented slot antenna that can change radial distribution of the radiated field during operation, and the other is a hyper simulator that can predict microwave power distribution necessary for a desired radial density profile. The control system including these techniques provides a method of controlling radial profiles of the microwave plasma via inverse-problem approach, and is investigated numerically and experimentally.

  20. Dark matter and gas density profiles - a consequence of entropy bifurcation

    International Nuclear Information System (INIS)

    Leubner, M. P.

    2006-01-01

    The radial profiles of dark matter and hot plasma density distributions of relaxed galaxies and clusters were hitherto commonly fitted by empirical functions. On the other hand, the fundamental concept of non-extensive statistics accounts for long-range interactions and correlations present in gravitationally coupled ensembles and plasmas. We provide a theoretical link of non-extensive statistics to large scale astrophysical structures and show that the underlying tandem character of the entropy results in a bifurcation of the density distribution. A kinetic dark matter and thermodynamic gas branch turn out as natural consequence within the theory and is controlled by one single parameter, measuring physically the degree of correlations in the system. The theoretically derived density profiles are shown to represent accurately the characteristics of both, DM and hot plasma distributions, as observed or generated in N-body and hydro-simulations. The significant advantage over empirical fitting functions is provided by the physical content of the non-extensive approach wherefore it is proposed to model observed density profiles of astrophysical structures within the fundamental context of entropy generalization, accounting for nonlocality and long-range interactions in gravitationally coupled systems

  1. Simulation of the Plasma Density Evolution during Electron Cyclotron Resonance Heating at the T-10 Tokamak

    Science.gov (United States)

    Dnestrovskij, Yu. N.; Vershkov, V. A.; Danilov, A. V.; Dnestrovskij, A. Yu.; Zenin, V. N.; Lysenko, S. E.; Melnikov, A. V.; Shelukhin, D. A.; Subbotin, G. F.; Cherkasov, S. V.

    2018-01-01

    In ohmically heated (OH) plasma with low recycling, an improved particle confinement (IPC) mode is established during gas puffing. However, after gas puffing is switched off, this mode is retained only for about 100 ms, after which an abrupt phase transition into the low particle confinement (LPC) mode occurs in the entire plasma cross section. During such a transition, energy transport due to heat conduction does not change. The phase transition in OH plasma is similar to the effect of density pump-out from the plasma core, which occurs after electron cyclotron heating (ECH) is switched on. Analysis of the measured plasma pressure profiles in the T-10 tokamak shows that, after gas puffing in the OH mode is switched off, the plasma pressure profile in the IPC stage becomes more peaked and, after the peakedness exceeds a certain critical value, the IPC-LPC transition occurs. Similar processes are also observed during ECH. If the pressure profile is insufficiently peaked during ECH, then the density pump-out effect comes into play only after the critical peakedness of the pressure profile is reached. In the plasma core, the density and pressure profiles are close to the corresponding canonical profiles. This allows one to derive an expression for the particle flux within the canonical profile model and formulate a criterion for the IPC-LPC transition. The time evolution of the plasma density profile during phase transitions was simulated for a number of T-10 shots with ECH and high recycling. The particle transport coefficients in the IPC and LPC phases, as well as the dependences of these coefficients on the ECH power, are determined.

  2. Plasma density measurements on COMPASS-C tokamak from electron cyclotron emission cutoffs

    International Nuclear Information System (INIS)

    Chenna Reddy, D.; Edlington, T.

    1996-01-01

    Electron cyclotron emission (ECE) is a standard diagnostic in present day tokamak devices for temperature measurement. When the plasma density is high enough the emission at some frequencies is cut off. Of these cutoff frequencies, the first frequency to cut off depends on the shape of the density profile. If the density profile can be described by a few parameters, in some circumstances, this first cutoff frequency can be used to obtain two of these parameters. If more than two parameters are needed to describe the density profile, then additional independent measurements are required to find all the parameters. We describe a technique by which it is possible to obtain an analytical relation between the radius at which the first cutoff occurs and the profile parameters. Assuming that the shape of the profile does not change as the average density rises after the first cutoff, one can use the cutoffs at other frequencies to obtain the average density at the time of these cutoffs. The plasma densities obtained with this technique using the data from a 14 channel ECE diagnostic on COMPASS-C tokamak are in good agreement with those measured by a standard 2 mm interferometer. The density measurement using the ECE cutoffs is an independent measurement and requires only a frequency calibration of the ECE diagnostic. copyright 1996 American Institute of Physics

  3. Measurement of plasma edge profile on Wendelstein 7-X

    Energy Technology Data Exchange (ETDEWEB)

    Drews, Philipp; Liang, Yunfeng; Neubauer, Olaf; Denner, Peter; Rack, Michael; Liu, Shaocheng; Wang, Nunchao; Nicolai, Dirk; Hollfeld, Klaus; Satheeswaran, Guruparan [Forschungszentrum Juelich, IEK4, Juelich (Germany); Grulke, Olaf [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Collaboration: W7-X Team

    2016-07-01

    Wendelstein 7-X (W7-X), currently under commissioning at the IPP Greifswald, will be the world's largest stellarator with modular superconducting coils, which will enable steady-state-like plasma operation of up to thirty minutes in order to explore the reactor relevance of this concept. The first operation phase of W7-X will employ a limiter configuration. It will be used primarily for setting up the diagnostics and testing the magnetic configuration. In conjunction with the multipurpose manipulator, a fast reciprocating probe is installed. The combined probe head will be used to measure the radial distribution of the magnetic field using magnetic pick-up coils; the plasma temperature and density profiles and the radial electric field using Langmuir pins; and the plasma flows using a Mach setup. As a quasi-isodynamic stellarator, it has been predicted that not only neoclassical but also turbulent transport will be comparable to or possibly even lower than that of tokamaks. Edge plasma profile measurements, especially those of the electron temperature and density, will play a key role in validating this performance in comparison to the tokamak and hence the viability of a stellarator fusion reactor. The edge plasma profile measurements using the combined probe head are presented.

  4. Transport simulations of a density limit in radiation-dominated tokamak discharges: Profile effects

    International Nuclear Information System (INIS)

    Stotler, D.P.

    1988-06-01

    The density limit observed in tokamak experiments is thought to be due to a radiative collapse of the current channel. A transport code coupled with an MHD equilibrium routine is used to determine the detailed, self-consistent evolution of the plasma profiles in tokamak discharges with radiated power close to or equalling the input power. The present work is confined to ohmic discharges in steady state. It is found that the shape of the density profile can have a significant impact on the variation of the maximum electron density with plasma current. Analytic calculations confirm this result. 41 refs., 9 figs

  5. Transport simulations of a density limit in radiation-dominated tokamak discharges: profile effects

    International Nuclear Information System (INIS)

    Stotler, D.P.

    1988-01-01

    The density limit observed in tokamak experiments is thought to be due to a radiative collapse of the current channel. A transport code coupled with a magnetohydrodynamic (MHD) equilibrium routine is used to determine the detailed, self-consistent evolution of the plasma profiles in tokamak discharges with radiated power close to or equaling the input power. The present work is confined to Ohmic discharges in steady state. It is found that the shape of the density profile can have a significant impact on the variation of the maximum electron density with plasma current. Analytic calculations confirm this result

  6. Thomson scattering on argon surfatron plasmas at intermediate pressures: Axial profiles of the electron temperature and electron density

    Energy Technology Data Exchange (ETDEWEB)

    Palomares, J.M., E-mail: f02palij@gmail.co [Departamento de Fisica, Universidad de Cordoba, Campus de Rabanales, ed. C-2, 14071 Cordoba (Spain); Iordanova, E.; Veldhuizen, E.M. van; Baede, L. [Department of Applied Physics, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven (Netherlands); Gamero, A.; Sola, A. [Departamento de Fisica, Universidad de Cordoba, Campus de Rabanales, ed. C-2, 14071 Cordoba (Spain); Mullen, J.J.A.M. van der, E-mail: j.j.a.m.v.d.Mullen@tue.n [Department of Applied Physics, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven (Netherlands); Departamento de Fisica, Universidad de Cordoba, Campus de Rabanales, ed. C-2, 14071 Cordoba (Spain)

    2010-03-15

    The axial profiles of the electron density n{sub e} and electron temperature T{sub e} of argon surfatron plasmas in the pressure range of 6-20 mbar and microwave power between 32 and 82 W have been determined using Thomson Scattering of laser irradiation at 532 nm. For the electron density and temperature we found values in the ranges 5 x 10{sup 18} < n{sub e} < 8 x 10{sup 19} m{sup -3} and 1.1 < T{sub e} < 2.0 eV. Due to several improvements of the setup we could reduce the errors of n{sub e} and T{sub e} down to 8% and 3%, respectively. It is found that n{sub e} decreases in the direction of the wave propagation with a slope that is nearly constant. The slope depends on the pressure but not on the power. Just as predicted by theories we see that increasing the power leads to longer plasma columns. However, the plasmas are shorter than what is predicted by theories based on the assumption that for the plasma-wave interaction electron-atom collisions are of minor importance (the so-called collisionless regime). The plasma vanishes long before the critical value of the electron density is reached. In contrast to what is predicted by the positive column model it is found that T{sub e} does not stay constant along the column, but monotonically increases with the distance from the microwave launcher. Increases of more than 50% over 30 cm were found.

  7. Stationary density profiles in the Alcator C-mod tokamak

    International Nuclear Information System (INIS)

    Kesner, J.; Ernst, D.; Hughes, J.; Mumgaard, R.; Shiraiwa, S.; Whyte, D.; Scott, S.

    2012-01-01

    In the absence of an internal particle source, plasma turbulence will impose an intrinsic relationship between an inwards pinch and an outwards diffusion resulting in a stationary density profile. The Alcator C-mod tokamak utilizes RF heating and current drive so that fueling only occurs in the vicinity of the separatrix. Discharges that transition from L-mode to I-mode are seen to maintain a self-similar stationary density profile as measured by Thomson scattering. For discharges with negative magnetic shear, an observed rise of the safety factor in the vicinity of the magnetic axis appears to be accompanied by a decrease of electron density, qualitatively consistent with the theoretical expectations.

  8. Calculation of emission from hydrogenic ions in super liquid density plasmas

    International Nuclear Information System (INIS)

    Bailey, D.S.; Valeo, E.J.

    1976-01-01

    Previous calculations of line emission were extended to higher density, lower temperature plasmas, typical of those expected in early ablative compression experiments. Emission from Ne-seeded fuel was analyzed in order to diagnose the density and temperature of the compressed core. The Stark/Doppler broadened emission profile is calculated for the H-like Ne resonance line. The observable lineshape is then obtained by time-averaging over expected density and temperature profiles and by including the effects of radiative transfer

  9. Simulation of density measurements in plasma wakefields using photo acceleration

    CERN Document Server

    Kasim, Muhammad Firmansyah; Ceurvorst, Luke; Sadler, James; Burrows, Philip N; Trines, Raoul; Holloway, James; Wing, Matthew; Bingham, Robert; Norreys, Peter

    2015-01-01

    One obstacle in plasma accelerator development is the limitation of techniques to diagnose and measure plasma wakefield parameters. In this paper, we present a novel concept for the density measurement of a plasma wakefield using photon acceleration, supported by extensive particle in cell simulations of a laser pulse that copropagates with a wakefield. The technique can provide the perturbed electron density profile in the laser’s reference frame, averaged over the propagation length, to be accurate within 10%. We discuss the limitations that affect the measurement: small frequency changes, photon trapping, laser displacement, stimulated Raman scattering, and laser beam divergence. By considering these processes, one can determine the optimal parameters of the laser pulse and its propagation length. This new technique allows a characterization of the density perturbation within a plasma wakefield accelerator.

  10. Plasma Density Tapering for Laser Wakefield Acceleration of Electrons and Protons

    International Nuclear Information System (INIS)

    Ting, A.; Gordon, D.; Kaganovich, D.; Sprangle, P.; Helle, M.; Hafizi, B.

    2010-01-01

    Extended acceleration in a Laser Wakefield Accelerator can be achieved by tailoring the phase velocity of the accelerating plasma wave, either through profiling of the density of the plasma or direct manipulation of the phase velocity. Laser wakefield acceleration has also reached a maturity that proton acceleration by wakefield could be entertained provided we begin with protons that are substantially relativistic, ∼1 GeV. Several plasma density tapering schemes are discussed. The first scheme is called ''bucket jumping'' where the plasma density is abruptly returned to the original density after a conventional tapering to move the accelerating particles to a neighboring wakefield period (bucket). The second scheme is designed to specifically accelerate low energy protons by generating a nonlinear wakefield in a plasma region with close to critical density. The third scheme creates a periodic variation in the phase velocity by beating two intense laser beams with laser frequency difference equal to the plasma frequency. Discussions and case examples with simulations are presented where substantial acceleration of electrons or protons could be obtained.

  11. Impaired plasma lipid profiles in acute hepatitis

    Directory of Open Access Journals (Sweden)

    Wang Yongzhong

    2010-01-01

    Full Text Available Abstract The present study examined plasma lipid profiles in thirty patients suffered from acute viral hepatitis. Patients' blood samples were collected at both the debut and recovery of diseases. Thirty sex and age matched normal subjects were included as controls. Plasma total triglycerides (TG, total cholesterol, high density lipoprotein cholesterol (HDL-C, low density lipoprotein cholesterol (LDL-C, apolipoprotein AI (ApoAI, apolipoprotein B (ApoB, lipoprotein (a (Lp(a, blood coagulation status including prothrombin complex activity and activated partial tromboplastin time (APTT, and hepatic functions were determined by the automatic biochemical analytical instrument. It demonstrated that plasma levels of total cholesterol, HDL-C and apoAI were significantly lower in the patients at the acute phase of hepatitis than those in normal subjects, whereas plasma levels of TG and LDL-C were obviously higher in the patients than in normal subjects (P

  12. Analytical solution for the mode conversion equations with steep exponential density profiles

    International Nuclear Information System (INIS)

    Alava, M.J.; Heikkinen, J.A.

    1992-01-01

    A general analytical solution for the converted power from the fast magnetosonic wave to an ion Bernstein wave in a magnetized plasma with an exponential steeply increasing density profile is given in the closed form. The solution covers both the conversion at the lower-hybrid resonance and the conversion through the density gradient for small parallel wave numbers. As an application, the conversion coefficients at the scrape-off layer plasma are estimated in the context of ion cyclotron heating of a tokamak plasma

  13. Simulation of density measurements in plasma wakefields using photon acceleration

    Directory of Open Access Journals (Sweden)

    Muhammad Firmansyah Kasim

    2015-03-01

    Full Text Available One obstacle in plasma accelerator development is the limitation of techniques to diagnose and measure plasma wakefield parameters. In this paper, we present a novel concept for the density measurement of a plasma wakefield using photon acceleration, supported by extensive particle in cell simulations of a laser pulse that copropagates with a wakefield. The technique can provide the perturbed electron density profile in the laser’s reference frame, averaged over the propagation length, to be accurate within 10%. We discuss the limitations that affect the measurement: small frequency changes, photon trapping, laser displacement, stimulated Raman scattering, and laser beam divergence. By considering these processes, one can determine the optimal parameters of the laser pulse and its propagation length. This new technique allows a characterization of the density perturbation within a plasma wakefield accelerator.

  14. On the relation between plasma and neutral gas profiles in a cold gas-blanket system

    International Nuclear Information System (INIS)

    Bures, M.

    1981-01-01

    A solution for the neutral density profile using the measured plasma density and temperature gradients is presented. The fluid model is used. It is found that the penetration length for neutrals is underestimated in the situation where the integrated profiles are used. The ionization rate need not be inferred in the present calculation, because the ionization of neutrals diffusing into the plasma is implicitly included in measured profiles. This calculation is advantageous in the low temperature range where the ionization rate is a strongly varying function of temperature. Finally the presented solution indicates that the temperature gradient plays the essential role in the determination of the neutral density profile. (Auth.)

  15. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources; Profile der Plasmaparameter und Dichte negativer Wasserstoffionen mittels Laserdetachmentmessungen in HF-angeregten Ionenquellen

    Energy Technology Data Exchange (ETDEWEB)

    Christ-Koch, Sina

    2007-12-20

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields ({proportional_to} 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H{sup -})=1.10{sup 17} 1/m{sup 3}, which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  16. Effect of exponential density transition on self-focusing of q-Gaussian laser beam in collisionless plasma

    Science.gov (United States)

    Valkunde, Amol T.; Vhanmore, Bandopant D.; Urunkar, Trupti U.; Gavade, Kusum M.; Patil, Sandip D.; Takale, Mansing V.

    2018-05-01

    In this work, nonlinear aspects of a high intensity q-Gaussian laser beam propagating in collisionless plasma having upward density ramp of exponential profiles is studied. We have employed the nonlinearity in dielectric function of plasma by considering ponderomotive nonlinearity. The differential equation governing the dimensionless beam width parameter is achieved by using Wentzel-Kramers-Brillouin (WKB) and paraxial approximations and solved it numerically by using Runge-Kutta fourth order method. Effect of exponential density ramp profile on self-focusing of q-Gaussian laser beam for various values of q is systematically carried out and compared with results Gaussian laser beam propagating in collisionless plasma having uniform density. It is found that exponential plasma density ramp causes the laser beam to become more focused and gives reasonably interesting results.

  17. Novel analysis technique for measuring edge density fluctuation profiles with reflectometry in the Large Helical Device

    Science.gov (United States)

    Creely, A. J.; Ida, K.; Yoshinuma, M.; Tokuzawa, T.; Tsujimura, T.; Akiyama, T.; Sakamoto, R.; Emoto, M.; Tanaka, K.; Michael, C. A.

    2017-07-01

    A new method for measuring density fluctuation profiles near the edge of plasmas in the Large Helical Device (LHD) has been developed utilizing reflectometry combined with pellet-induced fast density scans. Reflectometer cutoff location was calculated by proportionally scaling the cutoff location calculated with fast far infrared laser interferometer (FIR) density profiles to match the slower time resolution results of the ray-tracing code LHD-GAUSS. Plasma velocity profile peaks generated with this reflectometer mapping were checked against velocity measurements made with charge exchange spectroscopy (CXS) and were found to agree within experimental uncertainty once diagnostic differences were accounted for. Measured density fluctuation profiles were found to peak strongly near the edge of the plasma, as is the case in most tokamaks. These measurements can be used in the future to inform inversion methods of phase contrast imaging (PCI) measurements. This result was confirmed with both a fixed frequency reflectometer and calibrated data from a multi-frequency comb reflectometer, and this method was applied successfully to a series of discharges. The full width at half maximum of the turbulence layer near the edge of the plasma was found to be only 1.5-3 cm on a series of LHD discharges, less than 5% of the normalized minor radius.

  18. Density functional and many-body theories of Hydrogen plasmas

    International Nuclear Information System (INIS)

    Perrot, F.; Dharma-Wardana, M.W.C.

    1983-11-01

    This work is an attempt to go beyond the standard description of hot condensed matter using the well-known ''average atom model''. The first part describes a static model using ''Density functional theory'' to calculate self-consistent coupled electron and ion density profiles of the plasma not restricted to a single average atomic sphere. In a second part, the results are used as ingredients for a many-body approach to electronic properties: the one-particle Green-function self-energy is calculated, from which shifted levels, populations and level-widths are deduced. Results for the Hydrogen plasma are reported, with emphasis on the 1s bound state

  19. Plasma diagnostics using the He I 447.1 nm line at high and low densities

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, Manuel A [Departamento de Fisica Aplicada, E.T.S.I. Informatica, Universidad de Valladolid, 47071 Valladolid (Spain); Ivkovic, Milivoje; Jovicevic, Sonja; Konjevic, Nikola [Institute of Physics, University of Belgrade, 11081 Belgrade, PO Box 68 (Serbia); Gigosos, Marco A; Lara, Natividad, E-mail: manuelgd@termo.uva.es, E-mail: gigosos@coyanza.opt.cie.uva.es [Departamento de Fisica Teorica, Atomica y Optica, Facultad de Ciencias, Universidad de Valladolid, 47071 Valladolid (Spain)

    2011-05-18

    The broadening of the He I 447.1 nm line and its forbidden components in plasmas is studied using computer simulation techniques and the results are compared with our and other experiments. In these calculations wide ranges of electron densities and temperatures are considered. Experimental measurements are performed with a high electron density pulsed discharge and with a low electron density microwave torch at atmospheric pressure. Both calculations and experimental measurements are extended from previous works towards low electron densities in order to study the accuracy of plasma diagnostics using this line in ranges of interest in different practical applications. The calculation results are compared with experimental profiles registered in plasmas diagnosed using independent techniques. The obtained agreement justifies the use of these line parameters for plasma diagnostics. The influence of self-absorption on line parameters is also analysed. It is shown that the separation between the peaks of the allowed and forbidden components exhibits a clear dependence upon plasma electron density free of self-absorption influence. This allows the peak separation to be used as a good parameter for plasma diagnostics. From the simulation results, a simple fitting formula is applied that permits obtaining the electron number density plasma diagnostics in the range 5 x 10{sup 22}-7 x 10{sup 23} m{sup -3}. At lower densities the fitting of simulated to experimental full profiles is a reliable method for N{sub e} determination.

  20. Tunable Laser Plasma Accelerator based on Longitudinal Density Tailoring

    Energy Technology Data Exchange (ETDEWEB)

    Gonsalves, Anthony; Nakamura, Kei; Lin, Chen; Panasenko, Dmitriy; Shiraishi, Satomi; Sokollik, Thomas; Benedetti, Carlo; Schroeder, Carl; Geddes, Cameron; Tilborg, Jeroen van; Osterhoff, Jens; Esarey, Eric; Toth, Csaba; Leemans, Wim

    2011-07-15

    Laser plasma accelerators have produced high-quality electron beams with GeV energies from cm-scale devices and are being investigated as hyperspectral fs light sources producing THz to {gamma}-ray radiation and as drivers for future high-energy colliders. These applications require a high degree of stability, beam quality and tunability. Here we report on a technique to inject electrons into the accelerating field of a laser-driven plasma wave and coupling of this injector to a lower-density, separately tunable plasma for further acceleration. The technique relies on a single laser pulse powering a plasma structure with a tailored longitudinal density profile, to produce beams that can be tuned in the range of 100-400 MeV with percent-level stability, using laser pulses of less than 40 TW. The resulting device is a simple stand-alone accelerator or the front end for a multistage higher-energy accelerator.

  1. Density profile evolution during dynamic processes in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Nunes, I.; Santos, J.; Salzedas, F.; Manso, M.; Serra, F.; Conway, G.D.; Horton, L.D.; Neuhauser, J.; Suttrop, W.

    2005-01-01

    The current understanding of edge localized modes (ELMs) and the trigger of major disruptions is largely based on phenomenology. The need to better understand the processes underlying these phenomena requires high temporal and spatial resolution diagnostics. Fast diagnostics for the temperature measurements exist, such as the ECE radiometer but, for the plasma density, the existing diagnostics such as Lithium Beam and Thomson Scattering do not have the required high temporal resolution for a period long enough to characterize the entire ELM event. The microwave reflectometry system on ASDEX Upgrade has the capability to measure electron density profiles simultaneously at the low-field and high-field sides, in broadband swept ultrafast (35μs) operation with a spatial resolution of 5mm. In this paper we report on recent results on the effects of type I ELMs on density profiles and on the density pedestal width and ELM affected depth. During the ELM event, three phases are identified: precursor, collapse and recovery. The density pedestal width is found to be approximately constant for all the ELMy H-mode discharges analyzed here, except for high input power discharges, where an increase of the density pedestal width is observed. Major disruptions limit the range of parameters used in the operation of a tokamak, especially density limit disruptions, that limit the maximum usable density. Very abrupt increases of density are observed before the onset of the electron temperature profile erosion, supporting the hypothesis that this erosion is due to convection of the magnetic field. In ITER, during the long steady state flat-top phase of the discharges magnetic measurements may accumulate significant drifts. Plasma position and shape control using reflectometry is being assessed in ASDEX Upgrade for ITER like scenarios with successful results, where it is shown that position measurements from reflectometry compared to magnetic data satisfy the ITER requirements

  2. Density Dependence of Particle Transport in ECH Plasmas of the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Vargas, V. I.; Lopez-Bruna, D.; Guasp, J.; Herranz, J.; Estrada, T.; Medina, F.; Ochando, M.A.; Velasco, J.L.; Reynolds, J.M.; Ferreira, J.A.; Tafalla, D.; Castejon, F.; Salas, A.

    2009-05-21

    We present the experimental dependence of particle transport on average density in electron cyclotron heated (ECH) hydrogen plasmas of the TJ-II stellarator. The results are based on: (I) electron density and temperature data from Thomson Scattering and reflectometry diagnostics; (II) a transport model that reproduces the particle density profiles in steady state; and (III) Eirene, a code for neutrals transport that calculates the particle source in the plasma from the particle confinement time and the appropriate geometry of the machine/plasma. After estimating an effective particle diffusivity and the particle confinement time, a threshold density separating qualitatively and quantitatively different plasma transport regimes is found. The poor confinement times found below the threshold are coincident with the presence of ECH-induced fast electron losses and a positive radial electric field all over the plasma. (Author) 40 refs.

  3. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  4. Ion acceleration in electrostatic collisionless shock: on the optimal density profile for quasi-monoenergetic beams

    Science.gov (United States)

    Boella, E.; Fiúza, F.; Stockem Novo, A.; Fonseca, R.; Silva, L. O.

    2018-03-01

    A numerical study on ion acceleration in electrostatic shock waves is presented, with the aim of determining the best plasma configuration to achieve quasi-monoenergetic ion beams in laser-driven systems. It was recently shown that tailored near-critical density plasmas characterized by a long-scale decreasing rear density profile lead to beams with low energy spread (Fiúza et al 2012 Phys. Rev. Lett. 109 215001). In this work, a detailed parameter scan investigating different plasma scale lengths is carried out. As result, the optimal plasma spatial scale length that allows for minimizing the energy spread while ensuring a significant reflection of ions by the shock is identified. Furthermore, a new configuration where the required profile has been obtained by coupling micro layers of different densities is proposed. Results show that this new engineered approach is a valid alternative, guaranteeing a low energy spread with a higher level of controllability.

  5. Microwave reflectrometry for electron density measurements in the TJ-1 tokamak plasma

    International Nuclear Information System (INIS)

    Anabitarte, E.; Bustamante, E.G.; Calderon, M.A.G.; Vegas, A.

    1986-01-01

    A study about microwave reflectometry to measure the outside profile of the electron plasma density on tokamak TJ-1 is presented. It is also presented the condition of applicability of this method after the characteristic parameters of the plasma and its resolution. The simulation of the plasma in laboratory by means of a metallic mirror causes the whole characterization of the reflectometer. (author)

  6. Model-based Optimization and Feedback Control of the Current Density Profile Evolution in NSTX-U

    Science.gov (United States)

    Ilhan, Zeki Okan

    Nuclear fusion research is a highly challenging, multidisciplinary field seeking contributions from both plasma physics and multiple engineering areas. As an application of plasma control engineering, this dissertation mainly explores methods to control the current density profile evolution within the National Spherical Torus eXperiment-Upgrade (NSTX-U), which is a substantial upgrade based on the NSTX device, which is located in Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ. Active control of the toroidal current density profile is among those plasma control milestones that the NSTX-U program must achieve to realize its next-step operational goals, which are characterized by high-performance, long-pulse, MHD-stable plasma operation with neutral beam heating. Therefore, the aim of this work is to develop model-based, feedforward and feedback controllers that can enable time regulation of the current density profile in NSTX-U by actuating the total plasma current, electron density, and the powers of the individual neutral beam injectors. Motivated by the coupled, nonlinear, multivariable, distributed-parameter plasma dynamics, the first step towards control design is the development of a physics-based, control-oriented model for the current profile evolution in NSTX-U in response to non-inductive current drives and heating systems. Numerical simulations of the proposed control-oriented model show qualitative agreement with the high-fidelity physics code TRANSP. The next step is to utilize the proposed control-oriented model to design an open-loop actuator trajectory optimizer. Given a desired operating state, the optimizer produces the actuator trajectories that can steer the plasma to such state. The objective of the feedforward control design is to provide a more systematic approach to advanced scenario planning in NSTX-U since the development of such scenarios is conventionally carried out experimentally by modifying the tokamak's actuator

  7. Response of temperature and density profiles to heat deposition profile and its impact on global scaling in LHD

    International Nuclear Information System (INIS)

    Yamada, H.

    2002-01-01

    Significant density dependence of the energy confinement time as described in the ISS95 scaling has been demonstrated in the extended parameter regimes in LHD. However, recent experiments have indicated that this density dependence is lost at a certain density under specific conditions. This paper discusses the cause of this saturation and related characteristics of anomalous transport. The saturation of the energy confinement time is observed in the density ramp-up phase of NBI heated plasmas. In contrast to the global energy confinement time, the local heat conduction coefficient still indicates the temperature dependence which is a companion to the density dependence of the energy confinement time. The apparent contradiction between the global confinement and the local transport can be attributed to the change of the heat deposition profile. Through this study, the response of temperature and density profiles to the heat deposition profile is highlighted, which is contrasted to the concept of stiffness or profile consistency observed in tokamaks. The major anomalous transport models based on ITG/TEM and interchange/ballooning modes are assessed. (author)

  8. LPWA using supersonic gas jet with tailored density profile

    Science.gov (United States)

    Kononenko, O.; Bohlen, S.; Dale, J.; D'Arcy, R.; Dinter, M.; Erbe, J. H.; Indorf, G.; di Lucchio, L.; Goldberg, L.; Gruse, J. N.; Karstensen, S.; Libov, V.; Ludwig, K.; Martinez de La Ossa, A.; Marutzky, F.; Niroula, A.; Osterhoff, J.; Quast, M.; Schaper, L.; Schwinkendorf, J.-P.; Streeter, M.; Tauscher, G.; Weichert, S.; Palmer, C.; Horbatiuk, Taras

    2016-10-01

    Laser driven plasma wakefield accelerators have been explored as a potential compact, reproducible source of relativistic electron bunches, utilising an electric field of many GV/m. Control over injection of electrons into the wakefield is of crucial importance in producing stable, mono-energetic electron bunches. Density tailoring of the target, to control the acceleration process, can also be used to improve the quality of the bunch. By using gas jets to provide tailored targets it is possible to provide good access for plasma diagnostics while also producing sharp density gradients for density down-ramp injection. OpenFOAM hydrodynamic simulations were used to investigate the possibility of producing tailored density targets in a supersonic gas jet. Particle-in-cell simulations of the resulting density profiles modelled the effect of the tailored density on the properties of the accelerated electron bunch. Here, we present the simulation results together with preliminary experimental measurements of electron and x-ray properties from LPWA experiments using gas jet targets and a 25 TW, 25 fs Ti:Sa laser system at DESY.

  9. Analysis of line integrated electron density using plasma position data on Korea Superconducting Tokamak Advanced Research

    International Nuclear Information System (INIS)

    Nam, Y. U.; Chung, J.

    2010-01-01

    A 280 GHz single-channel horizontal millimeter-wave interferometer system has been installed for plasma electron density measurements on the Korea Superconducting Tokamak Advanced Research (KSTAR) device. This system has a triangular beam path that does not pass through the plasma axis due to geometrical constraints in the superconducting tokamak. The term line density on KSTAR has a different meaning from the line density of other tokamaks. To estimate the peak density and the mean density from the measured line density, information on the position of the plasma is needed. The information has been calculated from tangentially viewed visible images using the toroidal symmetry of the plasma. Interface definition language routines have been developed for this purpose. The calculated plasma position data correspond well to calculation results from magnetic analysis. With the position data and an estimated plasma profile, the peak density and the mean density have been obtained from the line density. From these results, changes of plasma density themselves can be separated from effects of the plasma movements, so they can give valuable information on the plasma status.

  10. High density plasma productions by hydrogen storage electrode in the Tohoku University Heliac

    International Nuclear Information System (INIS)

    Utoh, H.; Takahashi, H.; Tanaka, Y.; Takenaga, M.; Ogawa, M.; Shinde, J.; Iwazaki, K.; Shinto, K.; Kitajima, S.; Sasao, M.; Nishimura, K.; Inagaki, S.

    2005-01-01

    In the Tohoku University Heliac (TU-Heliac), the influence of a radial electric field on improved modes has been investigated by an electrode biasing. In both positive and negative biasing experiments by the stainless steel (SUS) electrode (cold-electron or ion collection), the improvement of plasma confinement was clearly observed. Furthermore, by negative biasing with a hot cathode (electron injection), the radial electric fields can be actively controlled as a consequence of the control of the electrode current I E . By using the electrode made of a hydrogen storage metal, for example Titanium (Ti) or Vanadium (V), the following possibility can be expected: (1) ions accelerated from the positive biased electrode allow the simulation for the orbit loss of high-energy particles, (2) the electrons/neutral- particles injected from the negative biased electrode provide the production of the high- density plasma, if hydrogen are successfully stored in the electrode. In this present work, several methods were tried as the treatment for hydrogen storage. In the case of the Ti electrode biased positively after the treatment, the improvement of plasma confinement was observed in He plasma, which were same as the experimental results of the SUS electrode. However, in the electron density profiles inside the electrode position there was difference between the biased plasma by the Ti electrode and that by the SUS electrode. In some of Ar discharges biased negatively with the Ti electrode after the treatment, the electron density and the line intensity of H α increased about 10 times of those before biasing. This phenomenon has not been observed in the Ar plasma biased by the SUS electrode. This result suggested that the Ti electrode injected electrons/neutral-hydrogen into the plasma. This high-density plasma productions were observed only 1 ∼ 3 times in the one treatment for hydrogen storage. By using a Vanadium (V) electrode, productions of the high-density plasma

  11. Plasma mass density, species mix and fluctuation diagnostics using fast Alfven wave

    International Nuclear Information System (INIS)

    Ikezi, H.; deGrassie, J.S.; Pinsker, R.I.; Snider, R.T.

    1996-06-01

    The authors propose to employ a fast Alfven wave interferometer and reflectometer as a tokamak diagnostic to measure the plasma mass density, D-T species mix profile, and density fluctuations. Utilize the property that the phase velocity of the fast wave propagating across the magnetic field is the Alfven speed with thermal correction, this fast wave interferometer on the DIII-D tokamak was successfully used to obtain the line integrated density. Since the position of the ion-ion hybrid cut-off in tokamaks is uniquely determined by the species mix ratio and the wave frequency, the reflectometer arrangement finds the species mix profile. The inversion method of reflectometry is discussed. The multiple chord interferometer also measures the mass density fluctuation profile

  12. Plasma mass density, species mix and fluctuation diagnostics using fast Alfven wave

    Energy Technology Data Exchange (ETDEWEB)

    Ikezi, H.; deGrassie, J.S.; Pinsker, R.I.; Snider, R.T.

    1996-06-01

    The authors propose to employ a fast Alfven wave interferometer and reflectometer as a tokamak diagnostic to measure the plasma mass density, D-T species mix profile, and density fluctuations. Utilize the property that the phase velocity of the fast wave propagating across the magnetic field is the Alfven speed with thermal correction, this fast wave interferometer on the DIII-D tokamak was successfully used to obtain the line integrated density. Since the position of the ion-ion hybrid cut-off in tokamaks is uniquely determined by the species mix ratio and the wave frequency, the reflectometer arrangement finds the species mix profile. The inversion method of reflectometry is discussed. The multiple chord interferometer also measures the mass density fluctuation profile.

  13. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  14. Multispecies density peaking in gyrokinetic turbulence simulations of low collisionality Alcator C-Mod plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mikkelsen, D. R., E-mail: dmikkelsen@pppl.gov; Bitter, M.; Delgado-Aparicio, L.; Hill, K. W. [Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, New Jersey 08543 (United States); Greenwald, M.; Howard, N. T.; Hughes, J. W.; Rice, J. E. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); Reinke, M. L. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); York Plasma Institute, Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Podpaly, Y. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); AAAS S and T Fellow placed in the Directorate for Engineering, NSF, 4201 Wilson Blvd., Arlington, Virginia 22230 (United States); Ma, Y. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France); Candy, J.; Waltz, R. E. [General Atomics, P.O. Box 85608, San Diego, California 92186-5608 (United States)

    2015-06-15

    Peaked density profiles in low-collisionality AUG and JET H-mode plasmas are probably caused by a turbulently driven particle pinch, and Alcator C-Mod experiments confirmed that collisionality is a critical parameter. Density peaking in reactors could produce a number of important effects, some beneficial, such as enhanced fusion power and transport of fuel ions from the edge to the core, while others are undesirable, such as lower beta limits, reduced radiation from the plasma edge, and consequently higher divertor heat loads. Fundamental understanding of the pinch will enable planning to optimize these impacts. We show that density peaking is predicted by nonlinear gyrokinetic turbulence simulations based on measured profile data from low collisionality H-mode plasma in Alcator C-Mod. Multiple ion species are included to determine whether hydrogenic density peaking has an isotope dependence or is influenced by typical levels of low-Z impurities, and whether impurity density peaking depends on the species. We find that the deuterium density profile is slightly more peaked than that of hydrogen, and that experimentally relevant levels of boron have no appreciable effect on hydrogenic density peaking. The ratio of density at r/a = 0.44 to that at r/a = 0.74 is 1.2 for the majority D and minority H ions (and for electrons), and increases with impurity Z: 1.1 for helium, 1.15 for boron, 1.3 for neon, 1.4 for argon, and 1.5 for molybdenum. The ion temperature profile is varied to match better the predicted heat flux with the experimental transport analysis, but the resulting factor of two change in heat transport has only a weak effect on the predicted density peaking.

  15. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  16. Influence of plasma pedestal profiles on access to ELM-free regimes in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Medvedev, S. Yu., E-mail: medvedev@a5.kiam.ru; Ivanov, A. A., E-mail: aai@a5.kiam.ru; Martynov, A. A., E-mail: martynov@a5.kiam.ru; Poshekhonov, Yu. Yu., E-mail: naida@a5.kiam.ru [Russian Academy of Sciences, Keldysh Institute of Applied Mathematics (Russian Federation); Konovalov, S. V., E-mail: konoval-sv@nrcki.ru [National Research Nuclear University “MEPhI,” (Russian Federation); Polevoi, A. R., E-mail: alexei.polevoi@iter.org [ITER Organization (France)

    2016-05-15

    The influence of current density and pressure gradient profiles in the pedestal on the access to the regimes free from edge localized modes (ELMs) like quiescent H-mode in ITER is investigated. Using the simulator of MHD modes localized near plasma boundary based on the KINX code, calculations of the ELM stability were performed for the ITER plasma in scenarios 2 and 4 under variations of density and temperature profiles with the self-consistent bootstrap current in the pedestal. Low pressure gradient values at the separatrix, the same position of the density and temperature pedestals and high poloidal beta values facilitate reaching high current density in the pedestal and a potential transition into the regime with saturated large scale kink modes. New version of the localized MHD mode simulator allows one to compute the growth rates of ideal peeling-ballooning modes with different toroidal mode numbers and to determine the stability region taking into account diamagnetic stabilization. The edge stability diagrams computations and sensitivity studies of the stability limits to the value of diamagnetic frequency show that diamagnetic stabilization of the modes with high toroidal mode numbers can help to access the quiescent H-mode even with high plasma density but only with low pressure gradient values at the separatrix. The limiting pressure at the top of the pedestal increases for higher plasma density. With flat density profile the access to the quiescent H-mode is closed even with diamagnetic stabilization taken into account, while toroidal mode numbers of the most unstable peeling-ballooning mode decrease from n = 10−40 to n = 3−20.

  17. Relaxation of potential, flows, and density in the edge plasma of Castor tokamak

    International Nuclear Information System (INIS)

    Hron, M.; Weinzettl, V.; Dufkova, E.; Duran, I.; Stoeckel, J.; Hidalgo, C.

    2004-01-01

    Decay times of plasma flows and plasma profiles have been measured after a sudden biasing switch-off in experiments on the Castor tokamak. A biased electrode has been used to polarize the edge plasma. The edge plasma potential and flows have been characterized by means of Langmuir and Mach probes, the radiation was measured using an array of bolometers. Potential profiles and poloidal flows can be well fitted by an exponential decay time in the range of 10 - 30 μs when the electrode biasing is turned off in the Castor tokamak. The radiation shows a slower time scale (about 1 ms), which is linked to the evolution in the plasma density and particle confinement. (authors)

  18. Detection of an electron beam in a high density plasma via an electrostatic probe

    Science.gov (United States)

    Majeski, Stephen; Yoo, Jongsoo; Zweben, Stewart; Yamada, Masaaki; Ji, Hantao

    2017-10-01

    The perturbation in floating potential by an electron beam is detected by a 1D floating potential probe array to evaluate the use of an electron beam for magnetic field line mapping in the Magnetic Reconnection Experiment (MRX) plasma. The MRX plasma is relatively high density (1013 cm-3) and low temperature (5 eV). Beam electrons are emitted from a tungsten filament and are accelerated by a 200 V potential across the sheath. They stream along the magnetic field lines towards the probe array. The spatial electron beam density profile is assumed to be a Gaussian along the radial axis of MRX and the effective beam width is determined from the radial profile of the floating potential. The magnitude of the perturbation is in agreement with theoretical predictions and the location of the perturbation is also in agreement with field line mapping. In addition, no significant broadening of the electron beam is observed after propagation for tens of centimeters through the high density plasma. These results demonstrate that this method of field line mapping is, in principle, feasible in high density plasmas. This work is supported by the DOE Contract No. DE-AC0209CH11466.

  19. Radiation power profiles and density limit with a divertor in the W7-AS stellarator

    International Nuclear Information System (INIS)

    Giannone, L.; Burhenn, R.; McCormick, K.; Brakel, R.; Feng, Y.; Grigull, P.; Igitkhanov, Y.

    2002-01-01

    The addition of a divertor into the W7-AS stellarator has allowed access to a high density regime where the radiation profiles reach a steady state. In earlier limiter discharges, the plasma suffered a radiative collapse at high densities. In contrast to limiter experiments, where the impurity confinement time measured by Al laser blow-off increased with increasing line integrated density, in divertor discharges, above a density threshold, the impurity confinement time decreased with increasing line integrated density. The observation that the divertor plasma radiates mainly at the plasma edge rather than the plasma centre is a further indication that changes to the impurity transport coefficients at these high densities are the basis for the achievement of steady state discharges in the divertor configuration of W7-AS. The maximum line integrated density reached with a divertor is compared to that reached with a limiter. The previously derived scaling law for the density limit with a limiter shows that the achieved densities do not exceed those predicted when the higher deposited power is taken into account. In a divertor the radiated power is located at the plasma edge and increasing the density, cooling the plasma edge and radiating sufficient power to cause plasma detachment determines the density limit. (author)

  20. Evolution of plasma wakes in density up- and down-ramps

    Science.gov (United States)

    Zhang, C. J.; Joshi, C.; Xu, X. L.; Mori, W. B.; Li, F.; Wan, Y.; Hua, J. F.; Pai, C. H.; Wang, J.; Lu, W.

    2018-02-01

    The time evolution of plasma wakes in density up- and down-ramps is examined through theory and particle-in-cell simulations. Motivated by observation of the reversal of a linear plasma wake in a plasma density upramp in a recent experiment (Zhang et al 2017 Phys. Rev. Lett. 119 064801) we have examined the behaviour of wakes in plasma ramps that always accompany any plasma source used for plasma-based acceleration. In the up-ramp case it is found that, after the passage of the drive pulse, the wavnumber/wavelength of the wake starts to decrease/increase with time until it eventually tends to zero/infinity, then the wake reverses its propagation direction and the wavenunber/wavelength of the wake begins to increase/shrink. The evolutions of the wavenumber and the phase velocity of the wake as functions of time are shown to be significantly different in the up-ramp and the down-ramp cases. In the latter case the wavenumber of the wake at a particular position in the ramp increases until the wake is eventually damped. It is also shown that the waveform of the wake at a particular time after being excited can be precisely controlled by tuning the initial plasma density profile, which may enable a new type of plasma-based ultrafast optics.

  1. ICRF power-deposition profiles and heating in monster sawtooth and peaked-density profile discharges in JET

    International Nuclear Information System (INIS)

    Bhatnagar, V.P.; Taroni, A.; Ellis, J.J.; Jacquinot, J.; Stuart, D.F.

    1989-01-01

    In this paper, we compare experimental results of electron and ion-heating in discharges that feature monster sawtooth with those in pellet-produced peaked-density profile discharges which were heated with ICRF. Also we carry out a comprehensive analysis of ICRF-heated peaked-density profile discharges by a transport code to simulate the evolution of JET discharges and to provide an insight into the improved heating and confinement found in these discharges. In this analysis, the ICRF power-deposition profile in the minority-heating scenario is computed by the ray-tracing code BRAYCO that self-consistently takes the finite antenna geometry, its radiation spectrum and the hot-plasma damping into account. The power delivered to ions and electrons is calculated based on Stix model. (author) 10 refs., 5 figs

  2. Quasi-quadrature interferometer for plasma density radial profile measurements

    International Nuclear Information System (INIS)

    Lowenthal, D.D.; Hoffman, A.L.

    1979-01-01

    A cw Mach Zehnder multichannel interferometer has been developed to measure time-dependent fractional fringe shifts with an accuracy of one-fortieth fringe. The design is quasi-quadrature in that known phase shifts, introduced in the reference beam, are time multiplexed with the normal reference beam. This technique requires only one detector per interferometer channel as compared to two detectors for most quadrature designs. The quadrature information makes the sense of density changes unambiguous, it automatically calibrates the instrument during the plasma event, and it makes fringe shift measurements virtually independent of fringe contrast fluctuations caused by plasma refractive and/or absorptive effects. The interferometer optical design is novel in that the electro-optic crystal used to introduce the 90 0 phase shifts is located in the common 2-mm-diam HeNe entrance beam to the interferometer, by exploiting polarization techniques, rather than in the expanded 1--2-cm reference beam itself. This arrangement greatly reduces the size, cost, and high-voltage requirements for the phase modulating crystal

  3. Density and impurity profile behaviours in HL-2A tokamak with different gas fuelling methods

    International Nuclear Information System (INIS)

    Zheng-Ying, Cui; Yan, Zhou; Wei, Li; Bei-Bin, Feng; Ping, Sun; Chun-Feng, Dong; Yi, Liu; Wen-Yu, Hong; Qing-Wei, Yang; Xuan-Tong, Ding; Xu-Ru, Duan

    2009-01-01

    The electron density profile peaking and the impurity accumulation in the HL-2A tokamak plasma are observed when three kinds of fuelling methods are separately used at different fuelling particle locations. The density profile becomes more peaked when the line-averaged electron density approaches the Greenwald density limit n G and, consequently, impurity accumulation is often observed. A linear increase regime in the density range n e G and a saturation regime in n e > 0.6n G are obtained. There is no significant difference in achieved density peaking factor f ne between the supersonic molecular beam injection (SMBI) and gas puffing into the plasma main chamber. However, the achieved f ne is relatively low, in particular, in the case of density below 0.7n G , when the working gas is puffed into the divertor chamber. A discharge with a density as high as 1.2n G , i.e. n e = 1.2n G , can be achieved by SMBI just after siliconization as a wall conditioning. The metallic impurities, such as iron and chromium, also increase remarkably when the impurity accumulation happens. The mechanism behind the density peaking and impurity accumulation is studied by investigating both the density peaking factor versus the effective collisionality and the radiation peaking versus density peaking. (fluids, plasmas and electric discharges)

  4. Semi-analytical study of the tokamak pedestal density profile in a single-null diverted plasma with puffing-recycling gas sources

    Science.gov (United States)

    Shi, Bingren

    2010-10-01

    The tokamak pedestal density structure is generally studied using a diffusion-dominant model. Recent investigations (Stacey and Groebner 2009 Phys. Plasmas 16 102504) from first principle based physics have shown a plausible existence of large inward convection in the pedestal region. The diffusion-convection equation with rapidly varying convection and diffusion coefficients in the near edge region and model puffing-recycling neutral particles is studied in this paper. A peculiar property of its solution for the existence of the large convection case is that the pedestal width of the density profile, qualitatively different from the diffusion-dominant case, depends mainly on the width of the inward convection and only weakly on the neutral penetration length and its injection position.

  5. Semi-analytical study of the tokamak pedestal density profile in a single-null diverted plasma with puffing-recycling gas sources

    Energy Technology Data Exchange (ETDEWEB)

    Shi Bingren, E-mail: shibr@swip.ac.c [Southwestern Institute of Physics, PO Box 432, Chengdu, Sichuan 610041 (China)

    2010-10-15

    The tokamak pedestal density structure is generally studied using a diffusion-dominant model. Recent investigations (Stacey and Groebner 2009 Phys. Plasmas 16 102504) from first principle based physics have shown a plausible existence of large inward convection in the pedestal region. The diffusion-convection equation with rapidly varying convection and diffusion coefficients in the near edge region and model puffing-recycling neutral particles is studied in this paper. A peculiar property of its solution for the existence of the large convection case is that the pedestal width of the density profile, qualitatively different from the diffusion-dominant case, depends mainly on the width of the inward convection and only weakly on the neutral penetration length and its injection position.

  6. Measurements of electron density and temperature profiles in a gas blanket experiment

    International Nuclear Information System (INIS)

    Kuthy, A.

    1979-02-01

    Radial profiles of electron density, temperature and H sub(β) intensity are presented for the rotating plasma device F-1. The hydrogen filling pressure, the average magnetic field strength at the midplane, and the power input to the discharge have been varied in the ranges 10-100 mTorr, 0.25-0.5 Tesla, and 0.1 to 1.5 MW, respectively. These experiments have been performed with the main purpose of studying the gas blanket (cold-mantle) state of the plasma. It is shown, that a simple spectroscopic method can be used to derive the radial distribution of the electron temperature in such plasmas. The observed peak temperatures and densities are in agreement with earlier theoretical estimates. (author)

  7. Control of quasi-monoenergetic electron beams from laser-plasma accelerators with adjustable shock density profile

    Science.gov (United States)

    Tsai, Hai-En; Swanson, Kelly K.; Barber, Sam K.; Lehe, Remi; Mao, Hann-Shin; Mittelberger, Daniel E.; Steinke, Sven; Nakamura, Kei; van Tilborg, Jeroen; Schroeder, Carl; Esarey, Eric; Geddes, Cameron G. R.; Leemans, Wim

    2018-04-01

    The injection physics in a shock-induced density down-ramp injector was characterized, demonstrating precise control of a laser-plasma accelerator (LPA). Using a jet-blade assembly, experiments systematically varied the shock injector profile, including shock angle, shock position, up-ramp width, and acceleration length. Our work demonstrates that beam energy, energy spread, and pointing can be controlled by adjusting these parameters. As a result, an electron beam that was highly tunable from 25 to 300 MeV with 8% energy spread (ΔEFWHM/E), 1.5 mrad divergence, and 0.35 mrad pointing fluctuation was produced. Particle-in-cell simulation characterized how variation in the shock angle and up-ramp width impacted the injection process. This highly controllable LPA represents a suitable, compact electron beam source for LPA applications such as Thomson sources and free-electron lasers.

  8. Negative Ion Density Fronts

    International Nuclear Information System (INIS)

    Igor Kaganovich

    2000-01-01

    Negative ions tend to stratify in electronegative plasmas with hot electrons (electron temperature Te much larger than ion temperature Ti, Te > Ti ). The boundary separating a plasma containing negative ions, and a plasma, without negative ions, is usually thin, so that the negative ion density falls rapidly to zero-forming a negative ion density front. We review theoretical, experimental and numerical results giving the spatio-temporal evolution of negative ion density fronts during plasma ignition, the steady state, and extinction (afterglow). During plasma ignition, negative ion fronts are the result of the break of smooth plasma density profiles during nonlinear convection. In a steady-state plasma, the fronts are boundary layers with steepening of ion density profiles due to nonlinear convection also. But during plasma extinction, the ion fronts are of a completely different nature. Negative ions diffuse freely in the plasma core (no convection), whereas the negative ion front propagates towards the chamber walls with a nearly constant velocity. The concept of fronts turns out to be very effective in analysis of plasma density profile evolution in strongly non-isothermal plasmas

  9. Experimental study of a swept reflectometer with a single antenna for plasma density profile measurement

    International Nuclear Information System (INIS)

    Calderon, M.A.G.; Simonet, F.

    1984-12-01

    The feasibility of a swept microwave reflectometer, with one antenna only, for plasma electron density measurement is studied. Experimental results obtained in the laboratory by simulating the plasma with a metallic mirror are presented

  10. Response of temperature and density profiles to heat deposition profile and its impact on global scaling in LHD

    International Nuclear Information System (INIS)

    Yamada, H.; Murakami, S.; Yamazaki, K.

    2002-01-01

    Energy confinement and heat transport of net current-free NBI-heated plasmas in the Large Helical Device (LHD) are discussed with an emphasis on density dependence. Although the apparent density dependence of the energy confinement time has been demonstrated in a wide parameter range in LHD, the loss of this dependence has been observed in the high density regime under the specific condition. Broad heat deposition due to off-axis alignment and shallow penetration of neutral beams degrades the global energy confinement while the local heat transport maintains a clear temperature dependence lying between Bohm and gyro-Bohm characteristics. The central heat deposition inclines towards an intrinsic density dependence like τ E ∝(n-bar e /P) 0.6 from the saturated state. The broadening of the temperature profile due to the broad heat deposition profile contrasts with the invariant property which has observed widely as profile consistency and stiffness in tokamak experiments. (author)

  11. Response of temperature and density profiles to heat deposition profile and its impact on global scaling in LHD

    International Nuclear Information System (INIS)

    Yamada, H.; Murakami, S.; Yamazaki, K.

    2003-01-01

    Energy confinement and heat transport of net current-free NBI-heated plasmas in the Large Helical Device (LHD) are discussed with an emphasis on density dependence. Although the apparent density dependence of the energy confinement time has been demonstrated in a wide parameter range in LHD, the loss of this dependence has been observed in the high density regime under the specific condition. Broad heat deposition due to off-axis alignment and shallow penetration of neutral beams degrades the global energy confinement while the local heat transport maintains a clear temperature dependence lying between Bohm and gyro-Bohm characteristics. The central heat deposition inclines towards an intrinsic density dependence like τ E ∝(n-bars e /P) 0.6 from the saturated state. The broadening of the temperature profile due to the broad heat deposition profile contrasts with the invariant property which has observed widely as profile consistency and stiffness in tokamak experiments. (author)

  12. Spatiotemporal response of plasma edge density and temperature to non-axisymmetric magnetic perturbations at ASDEX Upgrade

    International Nuclear Information System (INIS)

    Fischer, R; Fuchs, J C; McDermott, R; Rathgeber, S K; Suttrop, W; Wolfrum, E; Willensdorfer, M

    2012-01-01

    Non-axisymmetric magnetic perturbations (MPs) were successfully applied at ASDEX Upgrade to substantially reduce the plasma energy loss and peak divertor power load that occur concomitant with type-I edge localized modes (ELMs). The response of electron density edge profiles and temperature and pressure pedestal-top values to MPs are reported. ELM mitigation is observed above an edge density threshold and independent of the MPs being resonant or non-resonant with the edge safety factor. The edge electron collisionality appears not to be appropriate to separate mitigated from non-mitigated discharges for the present high-collisionality plasmas. No significant change in the position or gradient of the edge density profile could be observed for the transition into the ELM-mitigated phase, except from the effect of the three-dimensional MP field which leads to an apparent profile shift. An increase in the density and decrease in the temperature at the pedestal-top balance such that the pressure saturates at the value of the pre-mitigated phase. The plasma stored energy, the normalized plasma pressure, and the H-mode quality factor follow closely the evolution of the pedestal-top pressure and thus remain almost unaffected. The temporal evolution of the ion effective charge shows that the impurity content does not increase although flushing through type-I ELMs is missing. The type-I ELMs are replaced in the mitigated phase by small-scale and high-frequency edge perturbations. The effect of the small bursts on the density profile, which is correlated with a transient increase of the divertor thermoelectric current, is small compared with the effect of the type-I ELMs. The residual scatter of the profiles in the mitigated phase is small directly after the transition into the ELM-mitigated phase and increases again when the pressure saturates at the value of the pre-mitigated phase. (paper)

  13. Diagnostic development for current density profile control at KSTAR

    Energy Technology Data Exchange (ETDEWEB)

    Ko, J., E-mail: jinseok@nfri.re.kr [National Fusion Research Institute, Daejeon 34133 (Korea, Republic of); University of Science and Technology, Daejeon 34113 (Korea, Republic of); Chung, J. [National Fusion Research Institute, Daejeon 34133 (Korea, Republic of); Messmer, M.C.C. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands)

    2016-11-01

    Highlights: • The motional Stark effect (MSE) diagnostic installed at KSTAR. • Engineering challenges and solutions on the design and fabrication of the front optics housing and filter modules. • Characterization of the bandpass filters and the responses to polarized light. - Abstract: The current density profile diagnostics are critical for the control of the steady-state burning plasma operations. A multi-channel motional Stark effect (MSE) diagnostic system has been implemented for the measurements of the internal magnetic field structures that constrain the magnetic equilibrium reconstruction to accurately produce the tokamak safety factor and current density profiles for the Korea Superconducting Tokamak Advanced Research (KSTAR). This work presents the design and fabrication of the front optics and the filter modules and the calibration activities for the MSE diagnostic at KSTAR.

  14. 2D electron density profile measurement in tokamak by laser-accelerated ion-beam probe.

    Science.gov (United States)

    Chen, Y H; Yang, X Y; Lin, C; Wang, L; Xu, M; Wang, X G; Xiao, C J

    2014-11-01

    A new concept of Heavy Ion Beam Probe (HIBP) diagnostic has been proposed, of which the key is to replace the electrostatic accelerator of traditional HIBP by a laser-driven ion accelerator. Due to the large energy spread of ions, the laser-accelerated HIBP can measure the two-dimensional (2D) electron density profile of tokamak plasma. In a preliminary simulation, a 2D density profile was reconstructed with a spatial resolution of about 2 cm, and with the error below 15% in the core region. Diagnostics of 2D density fluctuation is also discussed.

  15. First results from plasma density measurements in the FTU tokamak by means of a two-frequency pulsed time-of-flight refractometer

    Energy Technology Data Exchange (ETDEWEB)

    Petrov, V. G.; Malyshev, A. Yu.; Markov, V. K.; Petrov, A. A. [Troitsk Institute for Innovation and Fusion Research (Russian Federation); Avino, F.; Angelis, R. de; Tudisco, O. [ENEA-UT Fusione Centro Ricerche Frascati (Italy)

    2012-04-15

    A pulsed time-of-flight refractometer was developed and tested to determine the mean plasma density in the T-11M tokamak by measuring the propagation time of nanosecond microwave pulses in plasma. Later, it was also proposed to use such an instrument to measure and control the mean plasma density in the ITER tokamak by probing the plasma with an extraordinary wave, the electric field of which is perpendicular to the magnetic field in plasma, in the transparency window at frequencies of 50-100 GHz. To avoid the effect of the density profile shape on the measurement results in the nonlinear mode of refractometer operation (near the cutoff), a system operating at two different probing frequencies was developed and tested. Such a system provides two values of the time delay, which can be used to estimate the peaking factor of the density distribution {alpha} and correctly determine the linear density Left-Pointing-Angle-Bracket Nl Right-Pointing-Angle-Bracket , regardless of the density profile (assuming a smooth density profile of the form of N({rho}) = N(0)(1 - {rho}{sup 2}){sup {alpha}}, where N(0) is the central plasma density and {rho} = r/a is the normalized plasma radius). The first experiments on density measurements in the FTU tokamak performed with this refractometer are described, and results from these experiments are presented. The formation of a thin dense plasma layer in the zone of a strong magnetic field (the so-called MARFE layer) at a relatively low (for FTU) plasma density of {approx}6 Multiplication-Sign 10{sup 19} m{sup -3} was detected. The thickness of this layer, determined from the refractometry data, agrees well with the data obtained using a digital camera.

  16. Electron density profile determination by means of laser blow-off injected neutral beam

    International Nuclear Information System (INIS)

    Kocsis, G.; Bakos, J.S.; Ignacz, P.N.; Kardon, B.; Koltai, L.; Veres, G.

    1992-01-01

    This paper is devoted to the experimental and theoretical studies of the determination of the electron density profiles by means of laser blow-off neutrals. For the determination of the density profile the time and spatial distributions of the spectral line radiation intensity of the injected neutrals are used. The method is compared to other previously proposed methods and the advantages and disadvantages of the different methods are discussed. The result of the comparison is that our method gives the most reliable result with the highest temporal resolution for the density profile of the edge plasma. The only disadvantage is the need of careful calibration of the sensitivity of the spatial channels. The advantage is the ability of the method as a standard diagnostic. (orig.)

  17. Advanced density profile reflectometry; the state-of-the-art and measurement prospects for ITER

    Science.gov (United States)

    Doyle, E. J.

    2006-10-01

    Dramatic progress in millimeter-wave technology has allowed the realization of a key goal for ITER diagnostics, the routine measurement of the plasma density profile from millimeter-wave radar (reflectometry) measurements. In reflectometry, the measured round-trip group delay of a probe beam reflected from a plasma cutoff is used to infer the density distribution in the plasma. Reflectometer systems implemented by UCLA on a number of devices employ frequency-modulated continuous-wave (FM-CW), ultrawide-bandwidth, high-resolution radar systems. One such system on DIII-D has routinely demonstrated measurements of the density profile over a range of electron density of 0-6.4x10^19,m-3, with ˜25 μs time and ˜4 mm radial resolution, meeting key ITER requirements. This progress in performance was made possible by multiple advances in the areas of millimeter-wave technology, novel measurement techniques, and improved understanding, including: (i) fast sweep, solid-state, wide bandwidth sources and power amplifiers, (ii) dual polarization measurements to expand the density range, (iii) adaptive radar-based data analysis with parallel processing on a Unix cluster, (iv) high memory depth data acquisition, and (v) advances in full wave code modeling. The benefits of advanced system performance will be illustrated using measurements from a wide range of phenomena, including ELM and fast-ion driven mode dynamics, L-H transition studies and plasma-wall interaction. The measurement capabilities demonstrated by these systems provide a design basis for the development of the main ITER profile reflectometer system. This talk will explore the extent to which these reflectometer system designs, results and experience can be translated to ITER, and will identify what new studies and experimental tests are essential.

  18. Edge density X-mode reflectometry of RF-heated plasmas on ASDEX

    International Nuclear Information System (INIS)

    Schubert, R.

    1991-09-01

    In the present work microwave reflectometry is extended to the outermost part of tokamak plasmas (n e ≅ 10 11 to 1.5x10 13 cm -3 ), which is subject to strong electron density fluctuations. The perturbations of electron density profile measurements by these fluctuations, which lead to strong modulations in intensity and phase of the reflected signal is analysed in detail. By increasing the frequency of the interference fringes to values between 800 kHz and 2.4 MHz it is possible to make reliable profile measurements even in the region of very strong fluctuations. Measurements in the low density region are only possible with reasonable errors in the X-mode (Eperpendicular toB), as only the cut-off frequency of this mode, in contrast to that of the O-mode (EparallelB), takes a finite value (f ce ) for n e ->O. Taking advantage of this property, a method is presented to calibrate the measurements on the first reflection, which occurs directly in front of the microwave antennas (1-4 mm from the opening) thus giving a high precision even in the outermost part of the plasma close to the microwave antennas. For the calculation of the electron density profile a new and numerically stable algorithm has been developed. Measurements in connection with Lower Hybrid have been made with a set of 2 reflectometer antennas installed in ASDEX. (orig./AH)

  19. Measurements of the plasma density in the FTU tokamak by a pulsed time-of-flight X-wave refractometer

    International Nuclear Information System (INIS)

    Petrov, V. G.; Petrov, A. A.; Malyshev, A. Yu.; De Benedetti, M.; Tudisco, O.

    2008-01-01

    On-line control over the plasma density in tokamaks (especially, in long-term discharges) requires reliable measurements of the averaged plasma density. For this purpose, a new method of density measurements-a pulsed time-of-flight plasma refractometry-was developed and tested in the T-11M tokamak. This method allows one to determine the averaged density from the measured time delay of nanosecond microwave pulses propagating through the plasma. For an O-wave, the measured time delay is proportional to the line-averaged density and is independent of the density profile (f>>f p ) τ o ∼ k o 1/f 2 ∫ l N(x)dx. Here, f is the frequency of the probing wave, f p is the plasma frequency, l= 4 a is the path length for two-pass probing in the equatorial plane, a is the plasma minor radius, k O and k X are numerical factors, f c is the electron-cyclotron frequency at the axis of the plasma column, and f p >>f c , f. Measurements of the time delay provide the same information as plasma interferometry, though they do no employ the effect of interference. When the conditions f p >>f c , f are not satisfied, the measured time delay depends on the shape of the density profile. In this case, in order to determine the average density regardless of the density profile, it is necessary to perform simultaneous measurements at several probing frequencies in order to determine the average density. In ITER (Bt ∼ 5T), a spectral window between the lower and upper cutoff frequencies in the range of 50-100 GHz can be used for pulsed time-of-flight X-wave refractometry. This appreciably simplifies the diagnostics and eliminates the problem of the first mirror. In this paper, the first results obtained in the FTU tokamak with a prototype of the ITER pulsed time-of-flight refractometer are presented. The geometry and layout of experiments similar to the planned ITER experiments are described. The density measured by pulsed time-of-flight refractometry is shown to agree well with the

  20. Impact of gas puffing location on density control and plasma parameters in TJ-II

    International Nuclear Information System (INIS)

    Tabares, F.L.; Garcia-Cortes, I.; Estrada, T.; Tafalla, D.; Hidalgo, A.; Ferreira, J.A.; Pastor, I.; Herranz, J.; Ascasibar, E.

    2005-01-01

    Under pure Electron Cyclotron Resonance Heating (ECRH) conditions in TJ-II plasmas (P<300 kW, 53.2 GHz, 2nd harmonic X-mode, power density < 25 W/m''3), plasma start-up and good density control are obtained only by the proper combination of wall conditions and gas puffing characteristics. Such a control is particularly critical for the optimisation of the NBI power transfer to the target plasmas. The relatively low cut-off limit is easily reached due not only to the unfavourable wall/puffing-fuelling ratio but also due to the steep density profiles developed during the Enhanced Particle Confinement (EPC) modes. These modes are triggered by the gas puffing waveform, and they cannot be achieved for high iota magnetic configurations in TJ-II. Comparative experiments under metallic and boronised wall conditions have shown that the sensitivity of the EPC modes to the puffing rate is at least partially related to the energy balance at the plasma periphery under central heating scenarios. In this work, the impact of gas-fuelling location on the plasma parameters and density control is described. For that purpose, three different fuelling locations have been investigated; broad distribution from a side ports, localized injection from long tubes at different poloidal positions and highly localized injection through a movable limiter. Edge density and temperature profiles from a broad set of diagnostics (atomic beams, reflectometry, Thompson Scattering ECE, etc...) are analysed and compared. It has been found that preventing from transition to the EPC mode is achieved by using slow puffing rates, while neutral penetration into the plasma core can be enhanced for highly localized gas sources. Wall inventory, however, has been found to pl ay a dominant role in the fuelling of the plasma under most conditions. (author)

  1. Electron density and plasma dynamics of a colliding plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Wiechula, J., E-mail: wiechula@physik.uni-frankfurt.de; Schönlein, A.; Iberler, M.; Hock, C.; Manegold, T.; Bohlender, B.; Jacoby, J. [Plasma Physics Group, Institute of Applied Physics, Goethe University, 60438 Frankfurt am Main (Germany)

    2016-07-15

    We present experimental results of two head-on colliding plasma sheaths accelerated by pulsed-power-driven coaxial plasma accelerators. The measurements have been performed in a small vacuum chamber with a neutral-gas prefill of ArH{sub 2} at gas pressures between 17 Pa and 400 Pa and load voltages between 4 kV and 9 kV. As the plasma sheaths collide, the electron density is significantly increased. The electron density reaches maximum values of ≈8 ⋅ 10{sup 15} cm{sup −3} for a single accelerated plasma and a maximum value of ≈2.6 ⋅ 10{sup 16} cm{sup −3} for the plasma collision. Overall a raise of the plasma density by a factor of 1.3 to 3.8 has been achieved. A scaling behavior has been derived from the values of the electron density which shows a disproportionately high increase of the electron density of the collisional case for higher applied voltages in comparison to a single accelerated plasma. Sequences of the plasma collision have been taken, using a fast framing camera to study the plasma dynamics. These sequences indicate a maximum collision velocity of 34 km/s.

  2. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  3. Profiles of radiation power density in WEGA stellarator

    International Nuclear Information System (INIS)

    Zhang, D.; Otte, M.; Giannone, L.

    2005-01-01

    On the WEGA stellarator, a 12 channel bolometer camera has been used to measure the radiation power losses of the plasma, which is heated by ECR at 2.45 GHz with a maximum power of 26 kW. The typical electron temperatures achieved are around 10 eV. The bolometer is of the Au resistor type and is positioned on the mid-plane, viewing the plasma from the low-field side with a spatial resolution of about 6 cm. The viewing angle is opened to poloidally (±47 o ) and covers the whole cross-section. Angular profiles of radiation power density (emissivity) can be achieved using the measured fluxes to the channels, which are given by the integrals along the sight lines. Using Abel inversion with maximum entropy regularisation, radial profiles of emissivity could be obtained. It is found that the angular profile of emissivity depends on the magnetic configuration, the working gas (Ar, He) and the heating scenario. Peaked and hollow emissivity profiles have been obtained by using different types of heating antenna. By changing the magnetic configuration, strong edge radiation has been observed. The largest emissivity values are obtained in the upper SOL range of Ar-discharges. This edge radiation can be reduced by shifting the flux surfaces inwards or by changing their shape at the antenna. The reconstruction of the radial profile of the emissivity was carried out in the case of a peaked angular profile with minimum edge radiation. The total radiation power was estimated by linear extrapolation of the integrated radiation power in the viewing region to the torus volume. It is typically less than 30% of the ECRH input power, but depending on the ECRH input power, again the magnetic configuration, the working gas as well as the absolute field strength on the magnetic axis. Maximum radiation losses have been obtained around 0.6·B0, where B 0 =87.5 mT is the resonant field strength of the ECRH. No evidence for impurities was obtained from spectroscopic measurements, and thus the

  4. Electron density profile reconstruction by maximum entropy method with multichannel HCN laser interferometer system on SPAC VII

    International Nuclear Information System (INIS)

    Kubo, S.; Narihara, K.; Tomita, Y.; Hasegawa, M.; Tsuzuki, T.; Mohri, A.

    1988-01-01

    A multichannel HCN laser interferometer system has been developed to investigate the plasma electron confinement properties in SPAC VII device. Maximum entropy method is applied to reconstruct the electron density profile from measured line integrated data. Particle diffusion coefficient in the peripheral region of the REB ring core spherator was obtained from the evolution of the density profile. (author)

  5. The influence of Stark shift and plasma inhomogeneity on half width of self-absorbed line profiles in the plasma emission spectrum

    International Nuclear Information System (INIS)

    Catsalap, K.Yu.; Ershov-Pavlov, E.A.

    2005-01-01

    Emission spectral line profiles are commonly used for the evaluation of local plasma parameters. The plasma parameters and local line profiles are related in a rather simple way: e.g. at quadratic Stark broadening, the local line half widths and shifts are proportional to the electron density. For homogeneous optically thin plasmas, there is no difference in the line profiles of plasma emission and emissivity spectra. However for inhomogeneous source, the profiles are different due to spatial dependence of electron density and plasma temperature: profiles in the plasma emission are a superposition of different local ones. A transition from the recorded to local profiles is usually performed by tomography techniques. As the result, the measurement procedure is getting slower and additional errors occurs. For transparent plasmas, an approach was developed to evaluate local profiles from as recorded spectra using relations found by modeling. However, for semi-transparent plasmas the relation between the recorded and local profiles is more complicated one. With the optical thickness t increase, profile half width Δλ in the plasma emission spectrum changes much comparing to the profile half width Δλ 0 in the spectrum of optically thin plasma. The ratio t h =Δλ/Δλ 0 on τ for dispersion profile and homogeneous plasma can be written as t h =(-1-τ/ln((1+e -τ )/2)) 1/2 . When Δλ and τ are known, the function allows obtaining Δλ 0 , i. e. reducing the problem to the transparent plasma diagnostics. However, the plasma is nearly always inhomogeneous and the value t depends significantly on plasma inhomogeneity and on Stark parameters ratio d/w. Here, the dependence t(τ) for plasmas of different inhomogeneity rates has been obtained by the numerical simulation. The radiation transfer equation has been solved to calculate the spectral line profiles for LTE-plasma of known composition and distribution of temperature along the observation line. The temperature

  6. Density peaking in the JFT-2M tokamak plasma with counter neutral beam injection

    International Nuclear Information System (INIS)

    Ida, K.; Itoh, S.; Itoh, K.

    1991-05-01

    A significant particle pinch and reduction of the effective thermal diffusivity are observed after switching the neutral beam direction from co- to counter- injection in the JFT-2M tokamak. A time delay in the occurrence of density peaking to that of plasma rotation is found. This shows that the particle pinch is related to the profile of the electric field as determined by the plasma rotation profile. The measured particle flux shows qualitative agreement with the theoretically-predicted inward pinch. (author)

  7. Interpretation of fast measurements of plasma potential, temperature and density in SOL of ASDEX Upgrade

    DEFF Research Database (Denmark)

    Horacek, J.; Adamek, J.; Müller, H.W.

    2010-01-01

    This paper focuses on interpretation of fast (1 µs) and local (2–4 mm) measurements of plasma density, potential and electron temperature in the edge plasma of tokamak ASDEX Upgrade. Steady-state radial profiles demonstrate the credibility of the ball-pen probe. We demonstrate that floating...... potential fluctuations measured by a Langmuir probe are dominated by plasma electron temperature rather than potential. Spatial and temporal scales are found consistent with expectations based on interchange-driven turbulence. Conditionally averaged signals found for both potential and density are also...

  8. Real-time evaluation of electron and current density profile parameters on TEXTOR

    International Nuclear Information System (INIS)

    Bruessau, W.D.; Soltwisch, H.

    1985-08-01

    The shapes of electron and current density profiles are monitored in real-time mode in order to get rapid qualitative information on the development of a TEXTOR tokamak plasma. The profiles are described by form parameters which relate to the signals of a 9-channel FIR-polari/interferometer in simple mathematical formulae. These profile parameters are obtained by real-time conversion of measured quantities for display on a storage oscilloscope or on a chart recorder. The application of the parameters is demonstrated in some examples. (orig.)

  9. First density profile measurements using frequency modulation of the continuous wave reflectometry on JETa)

    Science.gov (United States)

    Meneses, L.; Cupido, L.; Sirinelli, A.; Manso, M. E.; Jet-Efds Contributors

    2008-10-01

    We present the main design options and implementation of an X-mode reflectometer developed and successfully installed at JET using an innovative approach. It aims to prove the viability of measuring density profiles with high spatial and temporal resolution using broadband reflectometry operating in long and complex transmission lines. It probes the plasma with magnetic fields between 2.4 and 3.0 T using the V band [~(0-1.4)×1019 m-3]. The first experimental results show the high sensitivity of the diagnostic when measuring changes in the plasma density profile occurring ITER relevant regimes, such as ELMy H-modes. The successful demonstration of this concept motivated the upgrade of the JET frequency modulation of the continuous wave (FMCW) reflectometry diagnostic, to probe both the edge and core. This new system is essential to prove the viability of using the FMCW reflectometry technique to probe the plasma in next step devices, such as ITER, since they share the same waveguide complexity.

  10. On the energy confinement in the TM-G tokamak with high plasma density

    International Nuclear Information System (INIS)

    Stefanovskij, A.M.

    1986-01-01

    Energy confinement time τ E , when plasma density changing, has been measured at the TM-G-tokamak device with a graphite discharge chamber. The measurements have been carried out in three different discharge modes with a similar stability margin on the limiter (q L )=3) and with different values of the discharge current of a longitudinal field (I p =20, 40 and 60 kA, V T =0.8; 1.6 and 2.4 T). On the basis of experimental data analysis the conclusion is made that saturation of τ E (n e ) dependence at high plasma density occurs due to current channel compression and violation of a ''self-consistent'' profile of current density. Drift wave excitation at densities similar to the limiting Murakami density can also play an important role

  11. Spatial profiling of ion and neutral excitation in noble gas electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Rhoades, R.L.; Gorbatkin, S.M.

    1994-01-01

    Optical emission from neutrals and ions of several noble gases has been profiled in an electron cyclotron resonance plasma system. In argon plasmas with a net microwave power of 750 W, the neutral (696.5-nm) and ion (488-nm) emission profiles are slightly center peaked at 0.32 mTorr and gradually shift to a hollow appearance at 2.5 mTorr. Neon profiles show a similar trend from 2.5 to 10.0 mTorr. For the noble gases, transition pressure scales with the ionization potential of the gas, which is consistent with neutral depletion. Studies of noble gas mixtures, however, indicate that neutral depletion is not always dominant in the formation of hollow profiles. For Kr/Ar, Ar/Ne, and Ne/He plasmas, the majority gas tends to set the overall shape of the profile at any given pressure. For the conditions of the current system, plasma density appears to be more dominant than electron temperature in the formation of hollow profiles. The general method described is also a straightforward, inexpensive technique for measuring the spatial distribution of power deposited in plasmas, particularly where absolute scale can be calibrated by some other means

  12. Compatibility of advanced tokamak plasma with high density and high radiation loss operation in JT-60U

    International Nuclear Information System (INIS)

    Takenaga, H.; Asakura, N.; Kubo, H.; Higashijima, S.; Konoshima, S.; Nakano, T.; Oyama, N.; Ide, S.; Fujita, T.; Takizuka, T.; Kamada, Y.; Miura, Y.; Porter, G.D.; Rognlien, T.D.; Rensink, M.E.

    2005-01-01

    Compatibility of advanced tokamak plasmas with high density and high radiation loss has been investigated in both reversed shear (RS) plasmas and high β p H-mode plasmas with a weak positive shear on JT-60U. In the RS plasmas, the operation regime is extended to high density above the Greenwald density (n GW ) with high confinement (HH y2 >1) and high radiation loss fraction (f rad >0.9) by tailoring the internal transport barriers (ITBs). High confinement of HH y2 =1.2 is sustained even with 80% radiation from the main plasma enhanced by accumulated metal impurity. The divertor radiation is enhanced by Ne seeding and the ratio of the divertor radiation to the total radiation is increased from 20% without seeding to 40% with Ne seeding. In the high β p H-mode plasmas, high confinement (HH y2 =0.96) is maintained at high density (n-bar e /n GW =0.92) with high radiation loss fraction (f rad ∼1) by utilizing high-field-side pellets and Ar injections. The high n-bar e /n GW is obtained due to a formation of clear density ITB. Strong core-edge parameter linkage is observed, as well as without Ar injection. In this linkage, the pedestal β p , defined as β p ped =p ped /(B p 2 /2μ 0 ) where p ped is the plasma pressure at the pedestal top, is enhanced with the total β p . The radiation profile in the main plasma is peaked due to Ar accumulation inside the ITB and the measured central radiation is ascribed to Ar. The impurity transport analyses indicate that Ar accumulation by a factor of 2 more than the electron, as observed in the high β p H-mode plasma, is acceptable even with peaked density profile in a fusion reactor for impurity seeding. (author)

  13. X mode reflectometry for edge density profile measurements on Tore Supra

    International Nuclear Information System (INIS)

    Clairet, F.; Bottereau, C.; Chareau, J.M.; Paume, M.; Sabot, R.

    1999-01-01

    X mode heterodyne reflectometry associated with fast sweep capabilities demonstrates very precise measurement on Tore Supra and a high sensitivity (∼10 17 m -3 ) to density variations. Very good agreement with Thomson scattering measurement is observed. Fluctuations of the radial positions of the profile are no more than ± 0.5 cm. However, edge magnetic field ripple can be a concern since it is not easy to stand precisely for the wave trajectory into the plasma and for the toroidal position of the cutoff layer; nevertheless if the error can be estimated to be less than than 3 cm in the position of the whole profile, addition work is needed combining 3-D ray tracing and different antenna systems. Additional LH heating generates an ECE noise in the same frequency range of the reflectometer and is detected. This emission throughout the plasma is fortunately stopped by the upper X mode cutoff and is also reabsorbed by the electron cyclotron resonance. But at the very edge, due to a misalignment of the antenna to the plasma magnetic field and the low optical thickness of the plasma, the first cutoff frequency, i.e. the profile initialization, may be determined less precisely. (authors)

  14. Pulse compression radar reflectometry for density measurements on fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Costley, A; Prentice, R [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Laviron, C [Compagnie Generale des Matieres Nucleaires (COGEMA), 78 - Velizy-Villacoublay (France); Prentice, R [Toulouse-3 Univ., 31 (France). Centre d` Etude Spatiale des Rayonnements

    1994-07-01

    On tokamaks and other toroidal machines, reflectometry is a very rapidly developing technique for density profile measurements, particularly near the edge. Its principle relies on the total reflection of an electromagnetic wave at a cutoff layer, where the critical density is reached and the local refractive index goes to zero. With the new fast frequency synthesizers now available, a method based on pulse compression radar is proposed for plasma reflectometry, overcoming the limitations of the previous reflectometry methods. The measurement can be made on a time-scale which is effectively very short relatively to the plasma fluctuations, and the very high reproducibility and stability of the source allows an absolute calibration of the waveguides to be made, which corrects for the effects of the parasitic reflections. 2 refs., 5 figs.

  15. Numerical Studies of Electron Acceleration Behind Self-Modulating Proton Beam in Plasma with a Density Gradient

    CERN Document Server

    Petrenko, A.; Sosedkin, A.

    2016-01-01

    Presently available high-energy proton beams in circular accelerators carry enough momentum to accelerate high-intensity electron and positron beams to the TeV energy scale over several hundred meters of the plasma with a density of about 1e15 1/cm^3. However, the plasma wavelength at this density is 100-1000 times shorter than the typical longitudinal size of the high-energy proton beam. Therefore the self-modulation instability (SMI) of a long (~10 cm) proton beam in the plasma should be used to create the train of micro-bunches which would then drive the plasma wake resonantly. Changing the plasma density profile offers a simple way to control the development of the SMI and the acceleration of particles during this process. We present simulations of the possible use of a plasma density gradient as a way to control the acceleration of the electron beam during the development of the SMI of a 400 GeV proton beam in a 10 m long plasma. This work is done in the context of the AWAKE project --- the proof-of-prin...

  16. On the origin of plasma density blobs

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, S.I., E-mail: skrash@mae.ucsd.edu

    2016-12-01

    By keeping nonlinear Boltzmann factor in electron density dependence on electrostatic potential it is demonstrated that large plasma density blobs, often seen in experiment inside separatrix, can exist within the framework of drift wave dynamics. The estimates show that plasma density in a blob can be ∼3 times higher that average plasma density, but hardly exceeds this limit, which in a ball park is in agreement with experimental observations.

  17. Drift resonance in high density non-neutral plasmas

    International Nuclear Information System (INIS)

    Kaup, D.J.

    2006-01-01

    Theoretical studies of the operation of crossed-field electron vacuum devices such as magnetrons and crossed-field amplifiers (CFA) have usually centered on their initial growth, taking this as an indication of their operating modes. In such an analysis one solves the equations for the density profile, the operating frequency, the growth rate, and other features of these devices. What one really obtains then are only the conditions for the device to turn on. The dominant interaction in this stage is a Rayleigh-type instability which initiates a quasilinear diffusion process whereby the electron density profile redistributes itself into a profile which will be in equilibrium with the ponderomotive-like forces produced by the growing rf fields. Eventually the rf fields will saturate and an operating device will settle into a stationary operating regime. This stage of a device's operation is called the ''saturation stage.'' This latter stage involves a different set of physical interactions from the initiation stage. No longer is there a growth rate; rather the rf amplitudes have saturated and as a result, the ponderomotive-like forces have also vanished along with the quasilinear diffusion. In this saturation stage, we find that new rf modes appear. In fact, there are a total of five rf modes, two of which are the usual slow modes of the initiation stage, and three of which have fast oscillations in the vertical direction. One fast mode corresponds to a drift plasma oscillation while the other two fast modes are drift cyclotron modes. In this paper, we will describe how the drift plasma oscillation interacts and couples with the slow rf modes at the diocotron resonance

  18. Research on high energy density plasmas and applications

    International Nuclear Information System (INIS)

    1999-01-01

    Recently, technologies on lasers, accelerators, and pulse power machines have been significantly advanced and input power density covers the intensity range from 10 10 W/cm 2 to higher than 10 20 W/cm 2 . As the results, high pressure gas and solid targets can be heated up to very high temperature to create hot dense plasmas which have never appeared on the earth. The high energy density plasmas opened up new research fields such as inertial confinement fusion, high brightness X-ray radiation sources, interiors of galactic nucleus,supernova, stars and planets, ultra high pressure condensed matter physics, plasma particle accelerator, X-ray laser, and so on. Furthermore, since these fields are intimately connected with various industrial sciences and technologies, the high energy density plasma is now studied in industries, government institutions, and so on. This special issue of the Journal of Plasma Physics and Nuclear Fusion Research reviews the high energy density plasma science for the comprehensive understanding of such new fields. In May, 1998, the review committee for investigating the present status and the future prospects of high energy density plasma science was established in the Japan Society of Plasma Science and Nuclear Fusion Research. We held three committee meetings to discuss present status and critical issues of research items related to high energy density plasmas. This special issue summarizes the understandings of the committee. This special issue consists of four chapters: They are Chapter 1: Physics important in the high energy density plasmas, Chapter 2: Technologies related to the plasma generation; drivers such as lasers, pulse power machines, particle beams and fabrication of various targets, Chapter 3: Plasma diagnostics important in high energy density plasma experiments, Chapter 4: A variety of applications of high energy density plasmas; X-ray radiation, particle acceleration, inertial confinement fusion, laboratory astrophysics

  19. Edge electron density profiles and fluctuations measured by two-dimensional beam emission spectroscopy in the KSTAR

    Energy Technology Data Exchange (ETDEWEB)

    Nam, Y. U., E-mail: yunam@nfri.re.kr; Wi, H. M. [National Fusion Research Institute, Daejeon (Korea, Republic of); Zoletnik, S.; Lampert, M. [Wigner RCP Institute for Particle and Nuclear Physics, Budapest (Hungary); Kovácsik, Ákos [Institute of Nuclear Techniques, Budapest Technical University, Budapest (Hungary)

    2014-11-15

    Beam emission spectroscopy (BES) system in Korea Superconducting Tokamak Advanced Research (KSTAR) has recently been upgraded. The background intensity was reduced from 30% to 2% by suppressing the stray lights. This allows acquisition of the relative electron density profiles on the plasma edge without background subtraction from the beam power modulation signals. The KSTAR BES system has its spatial resolution of 1 cm, the temporal resolution of 2 MHz, and a total 32 channel (8 radial × 4 poloidal) avalanche photo diode array. Most measurements were done on the plasma edge, r/a ∼ 0.9, with 8 cm radial measurement width that covers the pedestal range. High speed density profile measurements reveal temporal behaviors of fast transient events, such as the precursors of edge localized modes and the transitions between confinement modes. Low background level also allows analysis of the edge density fluctuation patterns with reduced background fluctuations. Propagation of the density structures can be investigated by comparing the phase delays between the spatially distributed channels.

  20. Kinetic Profiles in NSTX Plasmas

    International Nuclear Information System (INIS)

    Bell, R.E.; LeBlanc, B.P.; Bourdelle, C.; Ernst, D.R.; Fredrickson, E.D.; Gates, D.A.; Hosea, J.C.; Johnson, D.W.; Kaye, S.M.; Maingi, R.; Medley, S.; Menard, J.E.; Mueller, D.; Ono, M.; Paoletti, F.; Peng, M.; Sabbagh, S.A.; Stutman, D.; Swain, D.W.; Synakowski, E.J.; Wilson, J.R.

    2001-01-01

    The National Spherical Torus Experiment (NSTX) is a low aspect ratio (R/a approximately 1.3) device with auxiliary heating from neutral-beam injection (NBI) and high-harmonic fast-wave heating (HHFW). Typical NSTX parameters are R(subscript ''0'') = 85 cm, a = 67 cm, I(subscript ''p'') = 0.7-1.4 MA, B(subscript ''phi'') = 0.25-0.45 T. Three co-directed deuterium neutral-beam sources have injected P(subscript ''NB'') less than or equal to 4.7 MW. HHFW plasmas typically have delivered P(subscript ''RF'') less than or equal to 3 MW. Important to the understanding of NSTX confinement are the new kinetic profile diagnostics: a multi-pulse Thomson scattering system (MPTS) and a charge-exchange recombination spectroscopy (CHERS) system. The MPTS diagnostic currently measures electron density and temperature profiles at 30 Hz at ten spatial locations. The CHERS system has recently become available to measure carbon ion temperature and toroidal flow at 17 radial positions spanning the outer half of the minor radius with 20 msec time resolution during NBI. Experiments conducted during the last year have produced a wide range of kinetic profiles in NSTX. Some interesting examples are presented below

  1. Resonant transducers for solid-state plasma density modulation

    Energy Technology Data Exchange (ETDEWEB)

    Hallock, Gary A., E-mail: hallock@ece.utexas.edu [The University of Texas at Austin, Austin, Texas 78701 (United States); Meier, Mark A., E-mail: mark.a.meier@exxonmobil.com [ExxonMobil Upstream Research Company, Houston, Texas 77389 (United States)

    2016-04-15

    We have developed transducers capable of modulating the plasma density and plasma density gradients in indium antimonide. These transducers make use of piezoelectric drivers to excite acoustic pressure resonance at 3λ/2, generating large amplitude standing waves and plasma density modulations. The plasma density has been directly measured using a laser diagnostic. A layered media model shows good agreement with the experimental measurements.

  2. High density plasma heating in the Tokamak à configuration variable

    International Nuclear Information System (INIS)

    Curchod, L.

    2011-04-01

    The Tokamak à Configuration Variable (TCV) is a medium size magnetic confinement thermonuclear fusion experiment designed for the study of the plasma performances as a function of its shape. It is equipped with a high power and highly flexible electron cyclotron heating (ECH) and current drive (ECCD) system. Up to 3 MW of 2 nd harmonic EC power in ordinary (O 2 ) or extraordinary (X 2 ) polarization can be injected from TCV low-field side via six independently steerable launchers. In addition, up to 1.5 MW of 3 rd harmonic EC power (X 3 ) can be launched along the EC resonance from the top of TCV vacuum vessel. At high density, standard ECH and ECCD are prevented by the appearance of a cutoff layer screening the access to the EC resonance at the plasma center. As a consequence, less than 50% of TCV density operational domain is accessible to X 2 and X 3 ECH. The electron Bernstein waves (EBW) have been proposed to overcome this limitation. EBW is an electrostatic mode propagating beyond the plasma cutoff without upper density limit. Since it cannot propagate in vacuum, it has to be excited by mode conversion of EC waves in the plasma. Efficient electron Bernstein waves heating (EBH) and current drive (EBCD) were previously performed in several fusion devices, in particular in the W7-AS stellarator and in the MAST spherical tokamak. In TCV, the conditions for an efficient O-X-B mode conversion (i.e. a steep density gradient at the O 2 plasma cutoff) are met at the edge of high confinement (H-mode) plasmas characterized by the appearance of a pedestal in the electron temperature and density profiles. TCV experiments have demonstrated the first EBW coupling to overdense plasmas in a medium aspect-ratio tokamak via O-X-B mode conversion. This thesis work focuses on several aspects of ECH and EBH in low and high density plasmas. Firstly, the experimental optimum angles for the O-X-B mode conversion is successfully compared to the full-wave mode conversion calculation

  3. The density limit in JET diverted plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, D J; Clement, S; Gottardi, N; Gowers, C; Harbour, P; Loarte, A; Horton, L; Lingertat, J; Lowry, C G; Saibene, G; Stamp, M; Stork, D [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Monk, R [Royal Holloway Coll., London (United Kingdom). Dept. of Physics

    1994-07-01

    In JET limiter plasmas the density limit is associated with radiated power fractions of 100% and, in plasmas with carbon limiters, it is invariably disruptive. However, in discharges with solid beryllium limiters the limit is identified with the formation of a MARFE and disruptions are less frequent. In addition, the improved conditioning of the vessel arising from the use of beryllium has significantly improved the density limit scaling, so that the maximum density rises with the square root of the input power. In diverted plasmas several confinement regimes exist, making the characterization of the density limit more complex. While the density limit in L-mode plasmas is generally disruptive, the limit in ELMy and ELM-free H-modes generally prompts a return to the L-mode and a disruption is not inevitable. The density limit does rise with the increasing power, but the L-to-H transition complicates the analysis. Nevertheless, at low plasma currents (<2 MA), densities significantly above the Greenwald limit can be achieved, while at higher currents power handling limitations have constrained the range of density which can be achieved. (authors). 7 refs., 4 figs.

  4. Real-time control of the current density and pressure profiles in Jet

    International Nuclear Information System (INIS)

    Mazon, D.; Moreau, D.; Litaudon, X.; Joffrin, E.; Laborde, L.; Zabeo, L.; Crisanti, F.; Riva, M.; Felton, R.; Murari, A.; Tala, T.

    2003-01-01

    In order to ultimately control internal transport barriers during advanced operation scenarios, new algorithms using a truncated singular value decomposition of a linearized model operator have been implemented in the JET real-time controller, with the potentiality of retaining the distributed nature of plasma parameter profiles. First experiments using the simplest, lumped-parameter, version of this technique have been dedicated to the feedback control of the current density profile in a negative shear plasma using three heating and current drive actuators, namely neutral beam injection (NBI), ion cyclotron resonant frequency heating (ICRH) and lower hybrid current drive (LHCD). Successful control of the safety factor profile has been achieved on the time scale of the current redistribution time, first during an extended preheat phase with only LHCD as actuator and, then, in quasi steady-state conditions during the main heating phase of a discharge, using the three heating and current drive actuators

  5. Fast-scan monitor examines neutral-beam ion-density profile

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    All of the magnetic mirror confinement fusion experiments at LLL and at other laboratories depend on pulsed, energetic neutral-beam injection for fueling and imparting energy to the trapped plasma for density build-up and stability studies. It is vital to be able to monitor how well the injected ion beam is aimed and focused. To do this, we have designed an ion-beam current-density profile monitor that uses a commercial minimodular data acquisition system. Our prototype model monitors a single 20-kV, 50-A, 10-ms beam. However, the method is applicable to any number of beams with similar sampling target arrays. Also, the electronics can be switched to monitor any one of several target collectors

  6. Plasma rotation and radial electric field with a density ramp in an ohmically heated tokamak

    International Nuclear Information System (INIS)

    Duval, B.P.; Joye, B.; Marchal, B.

    1991-10-01

    Measurements of toroidal and poloidal rotation of the TCA plasma with Alfven Wave Heating and different levels of gas feed are reported. The temporal evolution of the rotation was inferred from intrinsic spectral lines of CV, CIII and, using injected helium gas, from HeII. The light collection optics and line intensity permitted the evolution of the plasma rotation to be measured with a time resolution of 2ms. The rotation velocities were used to deduce the radial electric field. With Alfven heating there was no observable change of this electric field that could have been responsible for the density rise which is characteristic of the RF experiments on TCA. The behaviour of the plasma rotation with different plasma density ramp rates was investigated. The toroidal rotation was observed to decrease with increasing plasma density. The poloidal rotation was observed to follow the value of the plasma density. With hard gas puffing, changes in the deduced radial electric field were found to coincide with changes in the peaking of the plasma density profile. Finally, with frozen pellet injection, the expected increase in the radial electric field due to the increased plasma density was not observed, which may explain the poorer confinement of the injected particles. Even in an ohmically heated tokamak, the measurement of the plasma rotation and the radial electric field are shown to be strongly related to the confinement. A thorough statistical analysis of the systematic errors is presented and a new and significant source of uncertainty in the experimental technique is identified. (author) 18 figs., 18 refs

  7. Effects of triangularity on confinement, density limit and profile stiffness of H-modes on ASDEX upgrade

    International Nuclear Information System (INIS)

    Stober, J.; Gruber, O.; Kallenbach, A.; Mertens, V.; Ryter, F.; Staebler, A.; Suttrop, W.; Treutterer, W.

    2000-01-01

    At ASDEX Upgrade the influence of triangularity on the H-mode performance has been studied intensively. It has been found that confinement increases with δ for a fixed line-averaged density. Though confinement decreases with increasing density for all analysed values of δ, H-factors (ITERH-98P) at the Greenwald density could be raised to 1 for the highest δ values achieved so far. The H-mode density limit could be increased by approx. 20%. There is a scatter of about 30% on the confinement data, which is anti-correlated to the average density in the scrape-off layer or the neutral fluxes outside the plasma. For nearly all discharges analysed so far, the temperature profiles are self-similar. This indication of profile stiffness could be verified by changing the heat-flux profile by changing the beam-voltage of the neutral-beam injection (NBI) at high density. At low density, first results indicate a deviation from this stiff behaviour. (author)

  8. The virialization density of peaks with general density profiles under spherical collapse

    OpenAIRE

    Rubin, Douglas; Loeb, Abraham

    2013-01-01

    We calculate the non-linear virialization density, $\\Delta_c$, of halos under spherical collapse from peaks with an arbitrary initial and final density profile. This is in contrast to the standard calculation of $\\Delta_c$ which assumes top-hat profiles. Given our formalism, the non-linear halo density can be calculated once the shape of the initial peak's density profile and the shape of the virialized halo's profile are provided. We solve for $\\Delta_c$ for halos in an Einstein de-Sitter an...

  9. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  10. The control of plasma density profile in Tore Supra. Comparison of different fueling techniques

    International Nuclear Information System (INIS)

    Commaux, N.

    2007-09-01

    The behaviour of a reactor-class plasma when fuelled using the existing techniques (gas puffing, supersonic molecular beam injection and pellet injection) is still very difficult to foresee. The present work has been initiated on Tore Supra in order to extrapolate the consequences of the different fuelling systems on ITER. Two main topics have been studied: the comparison of the plasma behaviour when fuelled using the different techniques at high Greenwald density fractions and the study of the homogenization following a pellet injection (main fuelling technique for ITER burning plasmas). The experiments at high Greenwald density fractions performed on Tore Supra showed that the plasma behaviour is very dependent on the fuelling method. The plasma energy confinement is following the scaling laws determined at low density when fuelled using pellet injection. which is better than for gas puffing and SMBI. both inducing a significant confinement loss. This behaviour is nor related to a transport modification: the ratio between effective diffusion and convection is similar to the pellet case. The difference between these shots is related only to the position of the matter source (at the edge for gas and close to the center for pellets). The study concerning the homogenization phenomena following a pellet injection aims mainly to study the ∇B-drift effect that expels the mater deposited by a pellet toward the low field side. A new phenomenon. which appears to be particularly important for the ∇B-drift during low field side injections. was then discovered: the influence of magnetic surfaces with an integer-valued safety factor (q). When the mater drifting toward low field side crosses an integer q surface. it experiences an important braking effect which stops the drift motion. It implies that the pellet material is mainly deposited on the last integer q surface crossed by the pellet during its injection. This study allows also to determine that the

  11. Numerical studies on the ramped density plasma lens

    International Nuclear Information System (INIS)

    Williams, R.L.; Katsouleas, T.

    1992-01-01

    We consider the so-called adiabatic plasma lens when the plasma density is ramped too quickly to be considered adiabatic. The lens length can be much shorter in such a case, but the final spot size is shown to be larger by a factor of √1+α 2 than for a slowly ramped plasma lens with the same initial and final density (where α=-β'/2 is proportional to the plasma density gradient). We find that the final spot size is the same whether or not the Courant-Snyder parameters of the beam (α and β) are matched to the lens. However, matched beams allow the plasma density to be lower while unmatched beams allow the lens to be shorter (for the same α and for the same final to initial plasma density ratio). Finally, we find that a smaller spot size can be obtained for a given lens length and density ratio by starting at smaller α and increasing α along the lens

  12. Application of laser fluorescence spectroscopy by two-photon excitation into atomic hydrogen density measurement in reactive plasmas

    International Nuclear Information System (INIS)

    Kajiwara, Toshinori; Takeda, Kazuyuki; Kim, Hee Je; Park, Won Zoo; Muraoka, Katsunori; Akazaki, Masanori; Okada, Tatsuo; Maeda, Mitsuo.

    1990-01-01

    Density profiles of hydrogen atoms in reactive plasmas of hydrogen and methane gases were measured, for the first time, using the laser fluorescence spectroscopy by two-photon excitation of Lyman beta transition and observation at the Balmer alpha radiation. Absolute density determinations showed atomic densities of around 3 x 10 17 m -3 , or the degree of dissociation to be 10 -4 . Densities along the axis perpendicular to the RF electrode showed peaked profiles, which were due to the balance of atomic hydrogen production by electron impact on molecules against diffusion loss to the walls. (author)

  13. Radial plasma profile and neutron yield in an adiabatic trap with fast atom injection

    International Nuclear Information System (INIS)

    Panov, D.A.

    1988-01-01

    Radial profiles of ion densities depending on two dimensionless parameters, which values are determined by the trap, plasma and injected beam parameters are found in dimensionless units for a plasma generated by fast atom injection in an adiabatic trap. The calculated profiles are used for determining the neutron yield. Simple approximated dimensional relations permitting to estimate quickly neutron yield, required injection power, flux of charge exchange atoms on the wall around the plasma in a wide energy range of injected atoms, trap field modulud, injection angle, trap radius and length are determined. The energetic efficiency of neutron production is estimated and it is shown that it grows with the injection energy. 7 refs.; 7 figs

  14. Magnetohydrodynamically stable plasma with supercritical current density at the axis

    Energy Technology Data Exchange (ETDEWEB)

    Burdakov, A. V. [Budker Institute of Nuclear Physics, 11 Lavrentjev Avenue, 630090 Novosibirsk (Russian Federation); Novosibirsk State Technical University, 20 Karl Marks Avenue, 630092 Novosibirsk (Russian Federation); Postupaev, V. V., E-mail: V.V.Postupaev@inp.nsk.su; Sudnikov, A. V. [Budker Institute of Nuclear Physics, 11 Lavrentjev Avenue, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 2 Pirogova st., 630090 Novosibirsk (Russian Federation)

    2014-05-15

    In this work, an analysis of magnetic perturbations in the GOL-3 experiment is given. In GOL-3, plasma is collectively heated in a multiple-mirror trap by a high-power electron beam. During the beam injection, the beam-plasma interaction maintains a high-level microturbulence. This provides an unusual radial profile of the net current (that consists of the beam current, current of the preliminary discharge, and the return current). The plasma core carries supercritical current density with the safety factor well below unity, but as a whole, the plasma is stable with q(a) ≈ 4. The net plasma current is counter-directed to the beam current; helicities of the magnetic field in the core and at the edge are of different signs. This forms a system with a strong magnetic shear that stabilizes the plasma core in good confinement regimes. We have found that the most pronounced magnetic perturbation is the well-known n = 1, m = 1 mode for both stable and disruptive regimes.

  15. Electron density profile measurements from hydrogen line intensity ratio method in Versatile Experimental Spherical Torus

    Energy Technology Data Exchange (ETDEWEB)

    Kim, YooSung; Shi, Yue-Jiang, E-mail: yjshi@snu.ac.kr; Yang, Jeong-hun; Kim, SeongCheol; Kim, Young-Gi; Dang, Jeong-Jeung; Yang, Seongmoo; Jo, Jungmin; Chung, Kyoung-Jae [Department of Nuclear Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Oh, Soo-Ghee [Division of Energy Systems Research, Ajou University, Suwon 442-749 (Korea, Republic of); Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Center for Advanced Research in Fusion Reactor Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of)

    2016-11-15

    Electron density profiles of versatile experiment spherical torus plasmas are measured by using a hydrogen line intensity ratio method. A fast-frame visible camera with appropriate bandpass filters is used to detect images of Balmer line intensities. The unique optical system makes it possible to take images of H{sub α} and H{sub β} radiation simultaneously, with only one camera. The frame rate is 1000 fps and the spatial resolution of the system is about 0.5 cm. One-dimensional local emissivity profiles have been obtained from the toroidal line of sight with viewing dumps. An initial result for the electron density profile is presented and is in reasonable agreement with values measured by a triple Langmuir probe.

  16. Application of soft x-ray laser interferometry to study large-scale-length, high-density plasmas

    International Nuclear Information System (INIS)

    Wan, A.S.; Barbee, T.W., Jr.; Cauble, R.

    1996-01-01

    We have employed a Mach-Zehnder interferometer, using a Ne-like Y x- ray laser at 155 Angstrom as the probe source, to study large-scale- length, high-density colliding plasmas and exploding foils. The measured density profile of counter-streaming high-density colliding plasmas falls in between the calculated profiles using collisionless and fluid approximations with the radiation hydrodynamic code LASNEX. We have also performed simultaneous measured the local gain and electron density of Y x-ray laser amplifier. Measured gains in the amplifier were found to be between 10 and 20 cm -1 , similar to predictions and indicating that refraction is the major cause of signal loss in long line focus lasers. Images showed that high gain was produced in spots with dimensions of ∼ 10 μm, which we believe is caused by intensity variations in the optical drive laser. Measured density variations were smooth on the 10-μm scale so that temperature variations were likely the cause of the localized gain regions. We are now using the interferometry technique as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy-density physics experiments. 11 refs., 6 figs

  17. Generalized saddle point condition for ignition in a tokamak reactor with temperature and density profiles

    International Nuclear Information System (INIS)

    Mitari, O.; Hirose, A.; Skarsgard, H.M.

    1989-01-01

    In this paper, the concept of a generalized ignition contour map, is extended to the realistic case of a plasma with temperature and density profiles in order to study access to ignition in a tokamak reactor. The generalized saddle point is found to lie between the Lawson and ignition conditions. If the height of the operation path with Goldston L-mode scaling is higher than the generalized saddle point, a reactor can reach ignition with this scaling for the case with no confinement degradation effect due to alpha-particle heating. In this sense, the saddle point given in a general form is a new criterion for reaching ignition. Peaking the profiles for the plasma temperature and density can lower the height of the generalized saddle point and help a reactor to reach ignition. With this in mind, the authors can judge whether next-generation tokamaks, such as Compact Ignition Tokamak, Tokamak Ignition/Burn Experimental Reactor, Next European Torus, Fusion Experimental Reactor, International Tokamak Reactor, and AC Tokamak Reactor, can reach ignition with realistic profile parameters and an L-mode scaling law

  18. Investigation of Physical Processes Limiting Plasma Density in DIII--D

    Science.gov (United States)

    Maingi, R.

    1996-11-01

    Understanding the physical processes which limit operating density is crucial in achieving peak performance in confined plasmas. Studies from many of the world's tokamaks have indicated the existence(M. Greenwald, et al., Nucl. Fusion 28) (1988) 2199 of an operational density limit (Greenwald limit, n^GW_max) which is proportional to the plasma current and independent of heating power. Several theories have reproduced the current dependence, but the lack of a heating power dependence in the data has presented an enigma. This limit impacts the International Thermonuclear Experimental Reactor (ITER) because the nominal operating density for ITER is 1.5 × n^GW_max. In DIII-D, experiments are being conducted to understand the physical processes which limit operating density in H-mode discharges; these processes include X-point MARFE formation, high core recycling and neutral pressure, resistive MHD stability, and core radiative collapse. These processes affect plasma properties, i.e. edge/scrape-off layer conduction and radiation, edge pressure gradient and plasma current density profile, and core radiation, which in turn restrict the accessible density regime. With divertor pumping and D2 pellet fueling, core neutral pressure is reduced and X-point MARFE formation is effectively eliminated. Injection of the largest-sized pellets does cause transient formation of divertor MARFEs which occasionally migrate to the X-point, but these are rapidly extinguished in pumped discharges in the time between pellets. In contrast to Greenwald et al., it is found that the density relaxation time after pellets is largely independent of the density relative to the Greenwald limit. Fourier analysis of Mirnov oscillations indicates the de-stabilization and growth of rotating, tearing-type modes (m/n= 2/1) when the injected pellets cause large density perturbations, and these modes often reduce energy confinement back to L-mode levels. We are examining the mechanisms for de

  19. DAQ system for low density plasma parameters measurement

    International Nuclear Information System (INIS)

    Joshi, Rashmi S.; Gupta, Suryakant B.

    2015-01-01

    In various cases where low density plasmas (number density ranges from 1E4 to 1E6 cm -3 ) exist for example, basic plasma studies or LEO space environment measurement of plasma parameters becomes very critical. Conventional tip (cylindrical) Langmuir probes often result into unstable measurements in such lower density plasma. Due to larger surface area, a spherical Langmuir probe is used to measure such lower plasma densities. Applying a sweep voltage signal to the probe and measuring current values corresponding to these voltages gives V-I characteristics of plasma which can be plotted on a digital storage oscilloscope. This plot is analyzed for calculating various plasma parameters. The aim of this paper is to measure plasma parameters using a spherical Langmuir probe and indigenously developed DAQ system. DAQ system consists of Keithley source-meter and a host system connected by a GPIB interface. An online plasma parameter diagnostic system is developed for measuring plasma properties for non-thermal plasma in vacuum. An algorithm is developed using LabVIEW platform. V-I characteristics of plasma are plotted with respect to different filament current values and different locations of Langmuir probe with reference to plasma source. V-I characteristics is also plotted for forward and reverse voltage sweep generated programmatically from the source meter. (author)

  20. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  1. Temporal evolutions of electron temperature and density of turbulently-heated tokamak plasmas in TRIAM-1

    Energy Technology Data Exchange (ETDEWEB)

    Hiraki, N; Nakamura, K; Nakamura, Y; Itoh, S [Kyushu Univ., Fukuoka (Japan). Research Inst. for Applied Mechanics

    1981-04-01

    The temporal evolution of the electron temperature and density are measured in a turbulent heating experiment in TRIAM-1. Skin-like profiles of the electron temperature and density are clearly observed. The anomality in the electrical resistivity of the plasma in this skin-layer is estimated, and the plasma heating in this skin-layer is regarded as being due to anomalous joule heating arising from this anomalous resistivity. The ratio of drift velocity to electron thermal velocity in the layer is also calculated, and it is shown that the conditions needed to make the current-driven ion-acoustic instability triggerable are satisfied.

  2. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  3. Multichannel microwave interferometer with an antenna switching system for electron density measurement in a laboratory plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Kawamori, Eiichirou; Lin, Yu-Hsiang [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Mase, Atsushi [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga 816-8580 (Japan); Nishida, Yasushi; Cheng, C. Z. [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Plasma and Space Science Center, National Cheng Kung University, Tainan 70101, Taiwan (China)

    2014-02-15

    This study presents a simple and powerful technique for multichannel measurements of the density profile in laboratory plasmas by microwave interferometry. This technique uses electromechanical microwave switches to temporally switch the connection between multiple receiver antennas and one phase-detection circuit. Using this method, the phase information detected at different positions is rearranged into a time series that can be acquired from a minimum number of data acquisition channels (e.g., two channels in the case of quadrature detection). Our successfully developed multichannel microwave interferometer that uses the antenna switching method was applied to measure the radial electron density profiles in a magnetized plasma experiment. The advantage of the proposed method is its compactness and scalability to multidimensional measurement systems at low cost.

  4. Errors and limits in the determination of plasma electron density by measuring the absolute values of the emitted continuum radiation intensity

    International Nuclear Information System (INIS)

    Bilbao, L.; Bruzzone, H.; Grondona, D.

    1994-01-01

    The reliable determination of a plasma electron structure requires a good knowledge of the errors affecting the employed technique. A technique based on the measurements of the absolute light intensity emitted by travelling plasma structures in plasma focus devices has been used, but it can be easily modified to other geometries and even to stationary plasma structures with time-varying plasma densities. The purpose of this work is to discuss in some detail the errors and limits of this technique. Three separate errors are shown: the minimum size of the density structure that can be resolved, an overall error in the measurements themselves, and an uncertainty in the shape of the density profile. (author)

  5. Measurement of deuterium density profiles in the H-mode steep gradient region using charge exchange recombination spectroscopy on DIII-D.

    Science.gov (United States)

    Haskey, S R; Grierson, B A; Burrell, K H; Chrystal, C; Groebner, R J; Kaplan, D H; Pablant, N A; Stagner, L

    2016-11-01

    Recent completion of a thirty two channel main-ion (deuterium) charge exchange recombination spectroscopy (CER) diagnostic on the DIII-D tokamak [J. L. Luxon, Nucl. Fusion 42, 614 (2002)] enables detailed comparisons between impurity and main-ion temperature, density, and toroidal rotation. In a H-mode DIII-D discharge, these new measurement capabilities are used to provide the deuterium density profile, demonstrate the importance of profile alignment between Thomson scattering and CER diagnostics, and aid in determining the electron temperature at the separatrix. Sixteen sightlines cover the core of the plasma and another sixteen are densely packed towards the plasma edge, providing high resolution measurements across the pedestal and steep gradient region in H-mode plasmas. Extracting useful physical quantities such as deuterium density is challenging due to multiple photoemission processes. These challenges are overcome using a detailed fitting model and by forward modeling the photoemission using the FIDASIM code, which implements a comprehensive collisional radiative model.

  6. Growth curve analysis for plasma profiles using smoothing splines. Final report, January 1993--January 1995

    International Nuclear Information System (INIS)

    Imre, K.

    1995-07-01

    In this project, we parameterize the shape and magnitude of the temperature and density profiles on JET and the temperature profiles on TFTR. The key control variables for the profiles were tabulated and the response functions were estimated. A sophisticated statistical analysis code was developed to fit the plasma profiles. Our analysis indicate that the JET density shape depends primarily on bar n/B t for Ohmic heating, bar n for L-mode and I p for H-mode. The temperature profiles for JET are mainly determined by q 95 for the case of Ohmic heating, and by B t and P/bar n for the L-mode. For the H-mode the shape depends on the type of auxiliary heating, Z eff , N bar n, q 95 , and P

  7. Fitting of the Thomson scattering density and temperature profiles on the COMPASS tokamak

    International Nuclear Information System (INIS)

    Stefanikova, E.; Peterka, M.; Bohm, P.; Bilkova, P.; Aftanas, M.; Urban, J.; Hron, M.; Panek, R.; Sos, M.

    2016-01-01

    A new technique for fitting the full radial profiles of electron density and temperature obtained by the Thomson scattering diagnostic in H-mode discharges on the COMPASS tokamak is described. The technique combines the conventionally used modified hyperbolic tangent function for the edge transport barrier (pedestal) fitting and a modification of a Gaussian function for fitting the core plasma. Low number of parameters of this combined function and their straightforward interpretability and controllability provide a robust method for obtaining physically reasonable profile fits. Deconvolution with the diagnostic instrument function is applied on the profile fit, taking into account the dependence on the actual magnetic configuration.

  8. Fitting of the Thomson scattering density and temperature profiles on the COMPASS tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Stefanikova, E. [Institute of Plasma Physics of the CAS, Za Slovankou 1782/3, 180 00 Prague (Czech Republic); Division of Fusion Plasma Physics, KTH Royal Institute of Technology, SE-10691 Stockholm (Sweden); Peterka, M. [Institute of Plasma Physics of the CAS, Za Slovankou 1782/3, 180 00 Prague (Czech Republic); MFF Charles University, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Bohm, P., E-mail: bohm@ipp.cas.cz; Bilkova, P.; Aftanas, M.; Urban, J.; Hron, M.; Panek, R. [Institute of Plasma Physics of the CAS, Za Slovankou 1782/3, 180 00 Prague (Czech Republic); Sos, M. [Institute of Plasma Physics of the CAS, Za Slovankou 1782/3, 180 00 Prague (Czech Republic); Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University in Prague, Břehová 7, 115 19 Prague 1 (Czech Republic)

    2016-11-15

    A new technique for fitting the full radial profiles of electron density and temperature obtained by the Thomson scattering diagnostic in H-mode discharges on the COMPASS tokamak is described. The technique combines the conventionally used modified hyperbolic tangent function for the edge transport barrier (pedestal) fitting and a modification of a Gaussian function for fitting the core plasma. Low number of parameters of this combined function and their straightforward interpretability and controllability provide a robust method for obtaining physically reasonable profile fits. Deconvolution with the diagnostic instrument function is applied on the profile fit, taking into account the dependence on the actual magnetic configuration.

  9. Interferometric measurements of plasma density in high-β plasmas

    International Nuclear Information System (INIS)

    Quinn, W.E.

    1977-01-01

    The coupled-cavity laser interferometer technique is particularly applicable to the measurement of pulsed plasma densities. This technique is based on the fact that if a small fraction of a gas laser's output radiation is reflected into the laser with an external mirror, the intensity of the laser output is modulated. These amplitude or intensity modulations are produced by changes in the laser gain. A rotating corner mirror or an oscillating mirror can be used to produce a continuous feedback modulation of the interferometer which produces a continuous background fringe pattern. The presence of plasma in the outer cavity causes an additional change which results in a phase shift of the regular period of the background fringe pattern. The integral of the plasma density along the line of sight can be evaluated by comparison of the time history of the fringes obtained with and without plasma

  10. Plasma electron density measurement with multichannel microwave interferometer on the HL-1 tokamak device

    International Nuclear Information System (INIS)

    Xu Deming; Zhang Hongyin; Liu Zetian; Ding Xuantong; Li Qirui; Wen Yangxi

    1989-11-01

    A multichannel microwave interferometer which is composed of different microwave interferometers (one 2 mm band, one 4 mm band and two 8 mm band) has been used to measure the plasma electron density on HL-1 tokamak device. The electron density approaching to 5 x 10 13 cm -3 is measured by a 2 mm band microwave interferometer. In the determinable range, the electron density profile in the cross-section on HL-1 device has been measured by this interferometer. A microcomputer data processing system is also developed

  11. Simulation of a coupled dynamic system of temperature and density in a fusion plasma

    International Nuclear Information System (INIS)

    Le Roux, M.N.; Weiland, J.; Wilhelmsson, H.

    1992-01-01

    Simulation studies of a coupled system of equations for the evolution of temperature and density have been performed. The results are presented in graphs displaying the evolution in time of the temperature and density profiles, as well as in phase-plane plots, relating the central values of temperature and density. Particular emphasis is devoted to the particle and heat pinch effects, which tend to counter-balance the ordinary diffusion, and to co-operate with the alpha particle heating in sustaining plasma equilibrium. Oscillatory approaches to equilibria are recorded. 28 refs., 20 figs

  12. Temporal evolution of plasma density in femtosecond light filaments

    International Nuclear Information System (INIS)

    Wang Haitao; Fan Chengyu; Shen Hong; Qiao Chunhong; Zhang Jinghui; Zhang Pengfei; Ma Huimin; Xu Huiling

    2012-01-01

    By using a legible and comprehensive physical model describing the generation and evolvement of ion densities in the plasma channel induced by intense femtosecond laser pulse, the work studied the temporal evolution of the plasma densities in femtosecond light filaments. It shows that the contribution of the ionization of oxygen and nitrogen molecules to the total electron densities varies much for different laser pulse shapes, and the pulse shapes have more effects on the lifetime of the higher density plasma. It is necessary to control the pulse shape for efficient using of the plasma channel. Pulses of long duration and short wavelength can obtain a plasma channel with higher electron density, but the channel lifetime thoroughly depends on the later evolution of the self-guided channel. (authors)

  13. Relation between plasma plume density and gas flow velocity in atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Taka, Shogo; Ogura, Kazuo

    2014-01-01

    We have studied atmospheric pressure plasma generated using a quartz tube, helium gas, and copper foil electrode by applying RF high voltage. The atmospheric pressure plasma in the form of a bullet is released as a plume into the atmosphere. To study the properties of the plasma plume, the plasma plume current is estimated from the difference in currents on the circuit, and the drift velocity is measured using a photodetector. The relation of the plasma plume density n plu , which is estimated from the current and the drift velocity, and the gas flow velocity v gas is examined. It is found that the dependence of the density on the gas flow velocity has relations of n plu ∝ log(v gas ). However, the plasma plume density in the laminar flow is higher than that in the turbulent flow. Consequently, in the laminar flow, the density increases with increasing the gas flow velocity

  14. Magnetic energy density and plasma energy density in the Venus wake

    Science.gov (United States)

    Perez De Tejada, H. A.; Durand-Manterola, H. J.; Lundin, R.; Barabash, S.; Zhang, T.; Reyes-Ruiz, M.; Sauvaud, J.

    2013-05-01

    Magnetic energy density and plasma energy density in the Venus wake H. Pérez-de-Tejada1, H. Durand-Manterola1, R. Lundin2, S. Barabash2, T. L. Zhang3, A. Sauvaud4, M. Reyes-Ruiz5. 1 - Institute of Geophysics, UNAM, México, D. F. 2 - Swedish Institute of Space Physics, Umea, Sweden 3 - Space Research Institute, Graz, Austria 4 - CESR, Toulouse, France 5 - Institute of Astronomy, UNAM, Ensenada, México Measurements conducted in the Venus wake with the magnetometer and the Aspera-4 plasma instrument of the Venus Express spacecraft show that average values of the kinetic energy density of the plasma in that region are comparable to average local values of the magnetic energy density. Observations were carried out in several orbits of the Venus Express near the midnight plane and suggest that the total energy content in the Venus wake is distributed with nearly comparable values between the plasma and the magnetic field. Processes associated with the solar wind erosion of planetary ions from the polar magnetic regions of the ionosphere are involved in the comparable distribution of both energy components.

  15. Plasma heating in collisionless plasma at low plasma density

    International Nuclear Information System (INIS)

    Wulf, H.O.

    1977-01-01

    The high frequency heating of a collisionless, fully ionized low density plasma is investigated in the range: 2ωc 2 2 under pumping frequencies. A pulsed 1 MHz transmitter excites a fast standing, magneto-acoustical wave in the plasma, via the high frequency magnetic field of a Stix solenoid. The available modulation degrees are between 0.7 and 7.0%. As power consumption measurements show, there appears at all investigated pumping frequencies an effective energy transfer to the plasma that cannot be explained with the classical MHD models. Measurements with electrostatic probes and further with a miniature counter-field spectrometer yield an electron and ion temperature gain of two to three factors and 15-18, compared to the corresponding values in the initial plasma. (orig./HT) [de

  16. Improved GAMMA 10 tandem mirror confinement in high density plasma

    International Nuclear Information System (INIS)

    Yatsu, K.; Cho, T.; Higaki, H.; Hirata, M.; Hojo, H.; Ichimura, M.; Ishii, K.; Ishimoto, Y.; Itakura, A.; Katanuma, I.; Kohagura, J.; Minami, R.; Nakashima, Y.; Numakura, T.; Saito, T.; Saosaki, S.; Takemura, Y.; Tatematsu, Y.; Yoshida, M.; Yoshikawa, M.

    2003-01-01

    GAMMA 10 experiments have advanced in high density experiments after the last IAEA fusion energy conference in 2000 where we reported the production of the high density plasma through use of ion cyclotron range of frequency heating at a high harmonic frequency and neutral beam injection in the anchor cells. However, the diamagnetic signal of the plasma decreased when electron cyclotron resonance heating was applied for the potential formation. Recently a high density plasma has been obtained without degradation of the diamagnetic signal and with much improved reproducibility than before. The high density plasma was attained through adjustment of the spacing of the conducting plates installed in the anchor transition regions. The potential confinement of the plasma has been extensively studied. Dependences of the ion confinement time, ion-energy confinement time and plasma confining potential on plasma density were obtained for the first time in the high density region up to a density of 4x10 18 m -3 . (author)

  17. Bayesian modeling of JET Li-BES for edge electron density profiles using Gaussian processes

    Science.gov (United States)

    Kwak, Sehyun; Svensson, Jakob; Brix, Mathias; Ghim, Young-Chul; JET Contributors Collaboration

    2015-11-01

    A Bayesian model for the JET lithium beam emission spectroscopy (Li-BES) system has been developed to infer edge electron density profiles. The 26 spatial channels measure emission profiles with ~15 ms temporal resolution and ~1 cm spatial resolution. The lithium I (2p-2s) line radiation in an emission spectrum is calculated using a multi-state model, which expresses collisions between the neutral lithium beam atoms and the plasma particles as a set of differential equations. The emission spectrum is described in the model including photon and electronic noise, spectral line shapes, interference filter curves, and relative calibrations. This spectral modeling gets rid of the need of separate background measurements for calculating the intensity of the line radiation. Gaussian processes are applied to model both emission spectrum and edge electron density profile, and the electron temperature to calculate all the rate coefficients is obtained from the JET high resolution Thomson scattering (HRTS) system. The posterior distributions of the edge electron density profile are explored via the numerical technique and the Markov chain Monte Carlo (MCMC) samplings. See the Appendix of F. Romanelli et al., Proceedings of the 25th IAEA Fusion Energy Conference 2014, Saint Petersburg, Russia.

  18. Ion transition heights from topside electron density profiles

    International Nuclear Information System (INIS)

    Titheridge, J.E.

    1976-01-01

    Theoretical electron density profiles are calculated for the topside ionosphere to determine the major factors controlling the profile shape. Only the mean temperature, the vertical temperature gradient and the O + /H + ion transition height are important. Vertical proton fluxes alter the ion transition height but have no other effect on the profile shape. Diffusive equilibrium profiles including only these three effects fit observed profiles, at all latitudes, to within experimental accuracy. Values of plasma temperature, temperature gradient and ion transition height hsub(T) were determined by fitting theoretical models to 60,000 experimental profiles obtained from Alouette 1 ionograms, at latitudes of 75 0 S to 85 0 N near solar minimum. Inside the plasmasphere hsub(T) varies from about 500 km on winter nights to 850 km on summer days. Diurnal variations are caused primarily by the production and loss of O + in the ionosphere. The approximately constant winter night value of hsub(T) is close to the level for chemical equilibrium. In summer hsub(T) is always above the equilibrium level, giving a continual production of protons which travel along lines of force to aid in maintaining the conjugate winter night ionosphere. Outside the plasmasphere hsub(T) is 300 to 600 km above the equilibrium level at all times. This implies a continual near-limiting upwards flux of protons which persists down to latitudes of about 60 0 at night and 50 0 during the day. (author)

  19. Monte Carlo neutral density calculations for ELMO Bumpy Torus

    International Nuclear Information System (INIS)

    Davis, W.A.; Colchin, R.J.

    1986-11-01

    The steady-state nature of the ELMO Bumpy Torus (EBT) plasma implies that the neutral density at any point inside the plasma volume will determine the local particle confinement time. This paper describes a Monte Carlo calculation of three-dimensional atomic and molecular neutral density profiles in EBT. The calculation has been done using various models for neutral source points, for launching schemes, for plasma profiles, and for plasma densities and temperatures. Calculated results are compared with experimental observations - principally spectroscopic measurements - both for guidance in normalization and for overall consistency checks. Implications of the predicted neutral profiles for the fast-ion-decay measurement of neutral densities are also addressed

  20. Comparison of collisional radiative models for edge electron density reconstruction from Li I (2s-2p) emission profiles

    Energy Technology Data Exchange (ETDEWEB)

    Stoschus, H.; Hudson, B.; Munoz Burgos, J. M. [Oak Ridge Institute for Science and Education, Oak Ridge, Tennessee 37831-0117 (United States); Thomas, D. M. [General Atomics, San Diego, California 92186-5608 (United States); Schweinzer, J. [Max-Planck-Institut fuer Plasmaphysik, Euratom Association, 85748 Garching (Germany)

    2012-10-15

    Four collisional radiative models (CRMs) for reconstruction of the edge electron density profile from the measured Li I (2s-2p) emission profile of an accelerated lithium beam are compared using experimental data from DIII-D. It is shown for both L- and H-mode plasmas that edge density profiles reconstructed with the CRMs DDD2, ABSOLUT, [Sasaki et al. Rev. Sci. Instrum. 64, 1699 (1993)] and a new model developed at DIII-D agree in a density scan from n{sub e}{sup ped}= (2.0-6.5) Multiplication-Sign 10{sup 19} m{sup -3} within 20%, 20%, <5%, and 40%, respectively, of the pedestal density measured with Thomson scattering. Profile shape and absolute density vary in a scan of the effective ion charge Z{sub eff}= 1-6 up to a factor of two but agree with Thomson data for Z{sub eff}= 1-2 within the error bars.

  1. Density and geometry of single component plasmas

    International Nuclear Information System (INIS)

    Speck, A.; Gabrielse, G.; Larochelle, P.; Le Sage, D.; Levitt, B.; Kolthammer, W.S.; McConnell, R.; Wrubel, J.; Grzonka, D.; Oelert, W.; Sefzick, T.; Zhang, Z.; Comeau, D.; George, M.C.; Hessels, E.A.; Storry, C.H.; Weel, M.; Walz, J.

    2007-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H-bar) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials

  2. Density and geometry of single component plasmas

    CERN Document Server

    Speck, A; Larochelle, P; Le Sage, D; Levitt, B; Kolthammer, W S; McConnell, R; Wrubel, J; Grzonka, D; Oelert, W; Sefzick, T; Zhang, Z; Comeau, D; George, M C; Hessels, E A; Storry, C H; Weel, M; Walz, J

    2007-01-01

    The density and geometry of p¯ and e+ plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H¯) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials.

  3. Axisymmetric instability of a self-pinched beam with rounded radial density profile

    International Nuclear Information System (INIS)

    Chen, H.C.; Uhm, H.S.

    1983-01-01

    The axisymmetric perturbations (sausage and hollowing modes) of an intense relativistic self-pinched electron beam propagating in a resistive plasma background are studied, especially for a beam with rounded radial density profile. The Bennett profiles are assumed for both the equilibrium beam current J/sub b/(r) = J/sub b/(0) (1+r 2 /R 2 /sub b/) -2 and plasma return current J/sub p/(r) = -fJ/sub b/(0) (1+r 2 /R 2 /sub p/) -2 , where R/sub b/ and R/sub p/ are the characteristic radii of the beam and plasma return currents, respectively. It is further assumed that the electric conductivity sigma(r) of the plasma channel is proportional to the return current. For a paraxial electron beam with complete space-charge neutralization by the ambient plasma, the axisymmetric modes can be destabilized by the phase lag between the magnetic field and beam current, even without the plasma return current. The plasma return current significantly modifies the growth rate of the instability such that the ratio of plasma current to beam current (-I/sub p//I/sub b/ = fR 2 /sub p// iR 2 /sub b/) largely determines the stability character of the beam. Furthermore, for the same fractional current neutralization f, the modes are highly unstable for a smaller ratio of plasma to beam radius R/sub p//R/sub b/. As compared to the resistive hose instability, the growth rates for the hollowing mode can be larger than those of the hose mode, while the sausage mode is much stabler than the hose mode. Stability properties are illustrated in detail for various system parameters

  4. A statistical approach to plasma profile analysis

    International Nuclear Information System (INIS)

    Kardaun, O.J.W.F.; McCarthy, P.J.; Lackner, K.; Riedel, K.S.

    1990-05-01

    A general statistical approach to the parameterisation and analysis of tokamak profiles is presented. The modelling of the profile dependence on both the radius and the plasma parameters is discussed, and pertinent, classical as well as robust, methods of estimation are reviewed. Special attention is given to statistical tests for discriminating between the various models, and to the construction of confidence intervals for the parameterised profiles and the associated global quantities. The statistical approach is shown to provide a rigorous approach to the empirical testing of plasma profile invariance. (orig.)

  5. Plasma density control in real-time on the COMPASS tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Janky, F., E-mail: filip.janky.work@gmail.com [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Hron, M. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Havlicek, J. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Varavin, M.; Zacek, F.; Seidl, J.; Panek, R. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic)

    2015-10-15

    Highlights: • We fitted length of the chord of the interferometry crossing plasma in the different plasma scenarios. • We add correction to the actual length of the chord of the interferometry according to plasma shape and position in real-time code. • We used this correction to control plasma density in real-time. - Abstract: The electron density on COMPASS is measured using 2 mm microwave interferometer. Interferometer signal is used as an input for the feedback control loop, running under the MARTe real-time framework. Two different threads are used to calculate (fast 50 μs thread) and to control (slow 500 μs thread) the electron density. The interferometer measures a line averaged density along a measurement chord. This paper describes an approach to control the line-averaged electron density in a real-time loop, using a correction to the real plasma shape, the plasma position, and non-linear effects of the electron density measurement at high densities. Newly developed real-time electron density control give COMPASS the chance to control the electron density more accurately which is essential for parametric scans for diagnosticians, for physics experiments and also for achieving plasma scenarios with H-mode.

  6. A method for measuring plasma position in TJ-I Tokamak

    International Nuclear Information System (INIS)

    Quin, J.; TJ-I Team.

    1993-01-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author)

  7. A statistical approach for predicting thermal diffusivity profiles in fusion plasmas as a transport model

    International Nuclear Information System (INIS)

    Yokoyama, Masayuki

    2014-01-01

    A statistical approach is proposed to predict thermal diffusivity profiles as a transport “model” in fusion plasmas. It can provide regression expressions for the ion and electron heat diffusivities (χ i and χ e ), separately, to construct their radial profiles. An approach that this letter is proposing outstrips the conventional scaling laws for the global confinement time (τ E ) since it also deals with profiles (temperature, density, heating depositions etc.). This approach has become possible with the analysis database accumulated by the extensive application of the integrated transport analysis suite to experiment data. In this letter, TASK3D-a analysis database for high-ion-temperature (high-T i ) plasmas in the LHD (Large Helical Device) is used as an example to describe an approach. (author)

  8. Transport analysis of high radiation and high density plasmas in the ASDEX Upgrade tokamak

    Directory of Open Access Journals (Sweden)

    Casali L.

    2014-01-01

    Full Text Available Future fusion reactors, foreseen in the “European road map” such as DEMO, will operate under more demanding conditions compared to present devices. They will require high divertor and core radiation by impurity seeding to reduce heat loads on divertor target plates. In addition, DEMO will have to work at high core densities to reach adequate fusion performance. The performance of fusion reactors depends on three essential parameters: temperature, density and energy confinement time. The latter characterizes the loss rate due to both radiation and transport processes. The DEMO foreseen scenarios described above were not investigated so far, but are now addressed at the ASDEX Upgrade tokamak. In this work we present the transport analysis of such scenarios. Plasma with high radiation by impurity seeding: transport analysis taking into account the radiation distribution shows no change in transport during impurity seeding. The observed confinement improvement is an effect of higher pedestal temperatures which extend to the core via stiffness. A non coronal radiation model was developed and compared to the bolometric measurements in order to provide a reliable radiation profile for transport calculations. High density plasmas with pellets: the analysis of kinetic profiles reveals a transient phase at the start of the pellet fuelling due to a slower density build up compared to the temperature decrease. The low particle diffusion can explain the confinement behaviour.

  9. A control approach for plasma density in tokamak machines

    Energy Technology Data Exchange (ETDEWEB)

    Boncagni, Luca, E-mail: luca.boncagni@enea.it [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Pucci, Daniele; Piesco, F.; Zarfati, Emanuele [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy); Mazzitelli, G. [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Monaco, S. [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy)

    2013-10-15

    Highlights: •We show a control approach for line plasma density in tokamak. •We show a control approach for pressure in a tokamak chamber. •We show experimental results using one valve. -- Abstract: In tokamak machines, chamber pre-fill is crucial to attain plasma breakdown, while plasma density control is instrumental for several tasks such as machine protection and achievement of desired plasma performances. This paper sets the principles of a new control strategy for attaining both chamber pre-fill and plasma density regulation. Assuming that the actuation mean is a piezoelectric valve driven by a varying voltage, the proposed control laws ensure convergence to reference values of chamber pressure during pre-fill, and of plasma density during plasma discharge. Experimental results at FTU are presented to discuss weaknesses and strengths of the proposed control strategy. The whole system has been implemented by using the MARTe framework [1].

  10. Estimation of Neutral Density in Edge Plasma with Double Null Configuration in EAST

    International Nuclear Information System (INIS)

    Zhang Ling; Xu Guosheng; Ding Siye; Gao Wei; Wu Zhenwei; Chen Yingjie; Huang Juan; Liu Xiaoju; Zang Qing; Chang Jiafeng; Zhang Wei; Li Yingying; Qian Jinping

    2011-01-01

    In this work, population coefficients of hydrogen's n = 3 excited state from the hydrogen collisional-radiative (CR) model, from the data file of DEGAS 2, are used to calculate the photon emissivity coefficients (PECs) of hydrogen Balmer-α (n = 3 → n = 2) (H α ). The results are compared with the PECs from Atomic Data and Analysis Structure (ADAS) database, and a good agreement is found. A magnetic surface-averaged neutral density profile of typical double-null (DN) plasma in EAST is obtained by using FRANTIC, the 1.5-D fluid transport code. It is found that the sum of integral D α and H α emission intensity calculated via the neutral density agrees with the measured results obtained by using the absolutely calibrated multi-channel poloidal photodiode array systems viewing the lower divertor at the last closed flux surface (LCFS). It is revealed that the typical magnetic surface-averaged neutral density at LCFS is about 3.5 x 10 16 m -3 . (magnetically confined plasma)

  11. Hollow density profile on electron cyclotron resonance heating JFT-2M plasma

    International Nuclear Information System (INIS)

    Yamauchi, Toshihiko; Hoshino, Katsumichi; Kawashima, Hisato; Ogawa, Toshihide; Kawakami, Tomohide; Shiina, Tomio; Ishige, Youichi

    1998-01-01

    The first hollow electron density profile in the central region on the JAERI Fusion Torus-2M (JFT-2M) is measured during electron cyclotron resonance heating (ECRH) with a TV Thomson scattering system (TVTS). The peripheral region is not hollow but is accumulated due to pump-out from the central region. The hollowness increases with time but is saturated at ∼40 ms and maintains a constant hollow ratio. The hollowness is strongly related to the steep temperature gradient of the heated zone. (author)

  12. Density measurements of microsecond-conduction-time POS plasmas

    International Nuclear Information System (INIS)

    Hinshelwood, D.; Goodrich, P.J.; Weber, B.V.; Commisso, R.J.; Grossmann, J.M.; Kellogg, J.C.

    1993-01-01

    Measurements of the electron density in a coaxial microsecond conduction time plasma opening switch during switch operation are described. Current conduction is observed to cause a radial redistribution of the switch plasma. A local reduction in axial line density of more than an order of magnitude occurs by the time opening begins. This reduction, and the scaling of conduction current with plasma density, indicate that current conduction in this experiment is limited by hydrodynamic effects. It is hypothesized that the density reduction allows the switch to open by an erosion mechanism. Initial numerical modeling efforts have reproduced the principal observed results. A model that predicts accurately the conduction current is presented

  13. Evolution of the SOL plasma background at density shoulder formation

    International Nuclear Information System (INIS)

    D'Isa, Federico Antonio; Carralero, Daniel; Lunt, Tilmann

    2016-01-01

    One of the main problems of our age is the ever increasing demand of energy. This prompts the search for new energy sources which should have the advantages of being nearly inexhaustible and usable to produce a predictable amount of energy. A possible solution is to build a reactor based on nuclear fusion. ITER will be the first divertor Tokamak to reach fusion break even and it will pave the way to a commercial use of fusion to produce sustainable and clean energy. One of the biggest obstacles to the construction of a commercial fusion reactor is represented by the heat and particle flux toward the main chamber plasma facing components and the divertor targets. A fusion reactor will likely experience power loads and erosion on the verge of the technical limits of available plasma facing materials. To predict properly the distribution of those fluxes between the divertor and the main chamber, a better understanding of the physics in the open field lines region (called Scrape-off layer or SOL) is required. This thesis, being developed in partnership with the Max-Planck-institut fuer Plasmaphysik (Garching bei Muenchen, DE), is framed in this context. In the SOL of L-mode (low confinement) discharges, qualitatively two kinds of density profiles can be distinguished. The first one is characterized by a strong density gradient in the vicinity of the separatrix, followed by a flat region towards the far SOL. The second profile lacks such a strong gradient and displays an almost linear decay in the whole SOL. The latter kind of density profile is characterized by stronger fluxes toward the first wall with respect to the first kind. This my be a threat for the ITER unlike the divertor targets which are made of tungsten, the first wall will be made beryllium which can suffer damage from sputtering. This work is focused on understanding the physics behind the transition between those two profiles, the so-called density shoulder formation. After the shoulder formation it is

  14. Evolution of the SOL plasma background at density shoulder formation

    Energy Technology Data Exchange (ETDEWEB)

    D' Isa, Federico Antonio; Carralero, Daniel; Lunt, Tilmann; Collaboration: ASDEX Upgrade Team

    2016-12-15

    One of the main problems of our age is the ever increasing demand of energy. This prompts the search for new energy sources which should have the advantages of being nearly inexhaustible and usable to produce a predictable amount of energy. A possible solution is to build a reactor based on nuclear fusion. ITER will be the first divertor Tokamak to reach fusion break even and it will pave the way to a commercial use of fusion to produce sustainable and clean energy. One of the biggest obstacles to the construction of a commercial fusion reactor is represented by the heat and particle flux toward the main chamber plasma facing components and the divertor targets. A fusion reactor will likely experience power loads and erosion on the verge of the technical limits of available plasma facing materials. To predict properly the distribution of those fluxes between the divertor and the main chamber, a better understanding of the physics in the open field lines region (called Scrape-off layer or SOL) is required. This thesis, being developed in partnership with the Max-Planck-institut fuer Plasmaphysik (Garching bei Muenchen, DE), is framed in this context. In the SOL of L-mode (low confinement) discharges, qualitatively two kinds of density profiles can be distinguished. The first one is characterized by a strong density gradient in the vicinity of the separatrix, followed by a flat region towards the far SOL. The second profile lacks such a strong gradient and displays an almost linear decay in the whole SOL. The latter kind of density profile is characterized by stronger fluxes toward the first wall with respect to the first kind. This my be a threat for the ITER unlike the divertor targets which are made of tungsten, the first wall will be made beryllium which can suffer damage from sputtering. This work is focused on understanding the physics behind the transition between those two profiles, the so-called density shoulder formation. After the shoulder formation it is

  15. ICRF power-deposition profiles, heating and confinement of monster sawtooth and peaked-density profile discharges in JET

    International Nuclear Information System (INIS)

    Bhatnagar, V.P.; Taroni, A.; Ellis, J.J.; Jacquinot, J.; Start, D.F.H.

    1989-01-01

    The ion cyclotron resonance heating of monster sawtooth (period greater than the energy confinement time) and pellet-fueled peaked-density profiles in limiter discharges of JET Tokamak are studied. The monster sawtooth is a characteristic JET regime which is related to fast ions generated during the minority ion heating. In the ICRF heating of peaked-density profile discharges, we find typically the T i0 is higher roughly by a factor of 2 and T e0 roughly by 35% at a fixed P TOT /n e0 when compared to non-peaked profile cases. Here, T e0 and T i0 are central electron and ion temperatures, respectively, n e0 is the central electron density and P TOT is the total input power. The ion heating is improved in the pellet case, in part, due to a higher collisionality between the background ions and the energetic minority, but more significantly by a reduction of local ion energy transport in the central region. The transport-code simulation of these discharges reveals that there is a reduction of both χ e and χ i in the central region of the plasma in the ICRF heated peaked-profile discharges where χ e and χ i are the electron and ion heat conductivities, respectively. The improvement of confinement is not explained quantitatively by any of the existing η i -driven turbulence theories as the n i parameter (η i = d ln T i /d ln n i where T i is the ion temperature and n i is the ion density), instead of dropping below the critical value, remains above it for most of the duration of the improved confinement phase. The physical mechanism(s) that plays a role in this improvement is not yet clear. (author)

  16. Laser produced plasma density measurement by Mach-Zehnder interferometry

    International Nuclear Information System (INIS)

    Vaziri, A.; Kohanzadeh, Y.; Mosavi, R.K.

    1976-06-01

    This report describes an optical interferometric method of measuring the refractive index of the laser-produced plasma, giving estimates of its electron density. The plasma is produced by the interaction of a high power pulsed CO 2 laser beam with a solid target in the vacuum. The time varying plasma has a transient electron density. This transient electron density gives rise to a changing plasma refractive index. A Mach-Zehnder ruby laser interferometer is used to measure this refractive index change

  17. A method for measuring plasma position in TJ-I Tokamak

    International Nuclear Information System (INIS)

    Quin, J.; TJ-I, Team

    1993-01-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author) 5 refs

  18. A method for measuring plasma position in TJ-I Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Quin, J.; TJ-I, Team

    1993-07-01

    A method using pairs of Mirnov coils to measure the plasma position in TJ-I is presented. The simple toroidal filament model which neglects the effect of plasma current density profile has proven to be acceptable within the experimental accuracy. The effect of plasma current density profile remains to be small, if the plasma current density profile has a quadratic form. (Author) 5 refs.

  19. Full Tokamak discharge simulation and kinetic plasma profile control for ITER

    International Nuclear Information System (INIS)

    Hee Kim, S.

    2009-10-01

    technique that simplifies the active real-time control of several kinetic plasma profiles in ITER. The response of the plasma profiles to power changes of auxiliary H and CD systems is modelled. To allow real-time update of the plasma profile response model, the related physics is simplified with several assumptions. The electron temperature profile response is modelled by simplifying the electron heat transport equation. The q profile response is modelled by directly relating it to the changes of source current density profiles. The required actuator power changes are calculated using the singular value decomposition (SVD) technique, taking the saturation of the actuator powers into account. The potential of this control technique has been shown by applying it to simulations of the ITER hybrid mode operation. (author)

  20. Plasma density determination by microwave interferometry. The 2 mm interferometer of the TJ-1 tokamak

    International Nuclear Information System (INIS)

    Manero, F.; Martin, R.

    1984-01-01

    In this paper a description is given of the microwave interferometer used for measuring the plasma electronic density in the TJ-1 Tokamak of Fusion Division of JEN. The principles of the electronic density measurement are discussed in detail, as well as those concerning the determination of density profiles from experimental data. A description of the interferometer used in the TJ-1 Tokamak is given, together with a detailed analysis of the circuits which constitute the measuring chain. The working principles of the klystron reflex and hybrid rings are also presented. (author)

  1. New aspects of high energy density plasma

    International Nuclear Information System (INIS)

    Hotta, Eiki

    2005-10-01

    The papers presented at the symposium on 'New aspects of high energy density plasma' held at National Institute for Fusion Science are collected in this proceedings. The papers reflect the present status and recent progress in the experiments and theoretical works on high energy density plasma produced by pulsed power technology. The 13 of the presented papers are indexed individually. (J.P.N.)

  2. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, Kimitaka; Itoh, Sanae-I.

    2001-01-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the Wendelstein 7-AS (W7-AS) stellarator. (author)

  3. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, K.; Itoh, S.-I.

    2000-03-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the W7-AS stellarator. (author)

  4. Plasma guns for controlled fussion at megagauss energy-densities

    International Nuclear Information System (INIS)

    Turchi, Peter J.; Roderick, Norman F.; Degnan, James H.; Frese, Michael H.

    2008-01-01

    Electron cyclotron current drive (ECCD) at a low power level has been used on Tore Supra to induce local perturbations of the current density profile. Regimes with strong MHD activity have been analysed, and compared with similar stable discharges, in order to investigate the possible causes of their instability and relate the evolution of the discharge to the localization of EC power deposition. Both co- and counter-current drive pulses have been applied to dominantly or fully non-inductive discharges, sustained by a lower hybrid current drive. Detailed reconstructions by current diffusion calculations have been performed and the error bars evaluated. This method has proved valuable for shedding light on the complex interplay between the evolutions of temperature and safety factor profiles in steady-state tokamak plasmas. The crucial role of the dynamic evolution of rational surfaces has been identified. Moreover, we demonstrate that the operational domain in which ECCD can be employed must cope with the overall current profile characteristics, in particular the position where the safety factor has a minimum.

  5. Development of a heterodyne micro-wave reflectometer with ultra-fast sweeping. The study of the plasma turbulence influence on the measurements of electron density profile; Developppement d`un reflectometre micro-onde heterodyne a balayage ultra rapide. Etude de l`influence de la turbulence du plasma sur la mesure des profils de densite electronique

    Energy Technology Data Exchange (ETDEWEB)

    Moreau, Philippe [Aix-Marseille-1 Univ., 13 - Marseille (France)

    1997-10-17

    The density profile of the fusion plasmas can be investigated by the reflectometry diagnostics. The measurement principle is based on the radar techniques which calculate the phase shift of a millimeter wave propagating into the plasma and reflected at a cut-off layer. However, this propagation is perturbed by the plasma turbulence. These phenomena affect the phase delay measurement by not well understood a process. In this work we have tried to find the mechanisms and origin of the turbulence which is responsible for the phase disturbance. We point out the role of collisionality and plasma radiation in controlling the instability and also, demonstrate that the phase delay of the probing wave is very sensitive to the plasma MHD phenomena and is less affected by the micro-turbulence. The second part of this work is the development and the use of a new heterodyne reflectometer. The principal characteristics are given. Its heterodyne detection allows the separation of phase and amplitude information from the detected signal and then to study their contribution to the mechanism of signal perturbation. The use of this reflectometer allows us to point out the following points: - a high dynamic availability, required by the large amplitude drops, often greater than 30 db; - fast sweep operation requirement to `freeze` the plasma turbulence; - multiple reflection effects which modulate the amplitude and phase of the probing wave if they are not suppressed by filtering the detected signal; - very good localisation of the measurement (of the order of millimeter). The heterodyne reflectometer developed during this work offers several advantages of different distinct reflectometry techniques (fast sweep, absolute and differential phase measurements, heterodyne detection). It could be developed to work over higher frequency range so as to measure density profile over larger radial extension with very high performances. (author) 93 refs., 101 figs., 8 tabs. 3 ills.

  6. Preliminary scaling laws for plasma current, ion kinetic temperature, and plasma number density in the NASA Lewis bumpy torus plasma

    Science.gov (United States)

    Roth, J. R.

    1976-01-01

    Parametric variation of independent variables which may affect the characteristics of bumpy torus plasma have identified those which have a significant effect on the plasma current, ion kinetic temperature, and plasma number density, and those which do not. Empirical power law correlations of the plasma current, and the ion kinetic temperature and number density were obtained as functions of potential applied to the midplane electrode rings, the background neutral gas pressure, and the magnetic field strength. Additional parameters studied included the type of gas, the polarity of the midplane electrode rings, the mode of plasma operation, and the method of measuring the plasma number density. No significant departures from the scaling laws appear to occur at the highest ion kinetic temperatures or number densities obtained to date.

  7. Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique

    OpenAIRE

    Ensinger, Wolfgang

    1996-01-01

    Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique / B. Rauschenbach ... - In: Nuclear instruments and methods in physics research. B. 113. 1996. S. 266-269

  8. Magnetic cusp and electric nested- or single-well configurations for high density antihydrogen and fusion nonneutral plasma applications

    International Nuclear Information System (INIS)

    Ordonez, C. A.

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  9. Magnetic Cusp and Electric Nested- or Single-Well Configurations for High Density Antihydrogen and Fusion Nonneutral Plasma Applications

    International Nuclear Information System (INIS)

    C.A. Ordonez

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  10. A study on current density distribution reproduction by bounded-eigenfunction expansion for a tokamak plasma

    International Nuclear Information System (INIS)

    Kurihara, Kenichi

    1997-11-01

    Plasma current density distribution is one of the most important controlled variables to determine plasma performance of energy confinement and stability in a tokamak. However, its reproduction by using magnetic measurements solely is recognized to yield an ill-posed problem. A method to presume the formulas giving profiles of plasma pressure and current has been adopted to regularize the ill-posedness, and hence it has been reported the current density distribution can be reproduced as a solution of Grad-Shafranov equation within a certain accuracy. In order to investigate its strict reproducibility from magnetic measurements in this inverse problem, a new method of 'bounded-eigenfunction expansion' is introduced, and it was found that the reproducibility directly corresponds to the independence of a series of the special function. The results from various investigations in an aspect of applied mathematics concerning this inverse problem are presented in detail. (author)

  11. Particle acceleration in near critical density plasma

    International Nuclear Information System (INIS)

    Gu, Y.J.; Kong, Q.; Kawata, S.; Izumiyama, T.; Nagashima, T.

    2013-01-01

    Charged particle acceleration schemes driven by ultra intense laser and near critical density plasma interactions are presented. They include electron acceleration in a plasma channel, ion acceleration by the Coulomb explosion and high energy electron beam driven ion acceleration. It is found that under the near critical density plasma both ions and electrons are accelerated with a high acceleration gradient. The electron beam containing a large charge quantity is accelerated well with 23 GeV/cm. The collimated ion bunch reaches 1 GeV. The investigations and discussions are based on 2.5D PIC (particle-in-cell) simulations. (author)

  12. Density dependence of line intensities and application to plasma diagnostics

    International Nuclear Information System (INIS)

    Masai, Kuniaki.

    1993-02-01

    Electron density dependence of spectral lines are discussed in view of application to density diagnostics of plasmas. The dependence arises from competitive level population processes, radiative and collisional transitions from the excited states. Results of the measurement on tokamak plasmas are presented to demonstrate the usefulness of line intensity ratios for density diagnostics. Also general characteristics related to density dependence are discussed with atomic-number scaling for H-like and He-like systems to be helpful for application to higher density plasmas. (author)

  13. Charge density fluctuation of low frequency in a dusty plasma

    Institute of Scientific and Technical Information of China (English)

    李芳; 吕保维; O.Havnes

    1997-01-01

    The charge density fluctuation of low frequency in a dusty plasma, which is derived from the longitudinal dielectric permittivity of the dusty plasma, has been studied by kinetic theory. The results show that the P value, which describes the relative charge density on the dust in the plasma, and the charging frequency of a dust particle Ωc, which describes the ratio of charge changing of the dust particles, determine the character of the charge density fluctuation of low frequency. For a dusty plasma of P<<1, when the charging frequency Ωc is much smaller than the dusty plasma frequency wd, there is a strong charge density fluctuation which is of character of dust acoustic eigen wave. For a dusty plasma of P>>1, when the frequency Ωc, is much larger than wd there are weaker fluctuations with a wide spectrum. The results have been applied to the ionosphere and the range of radius and density of dust particles is found, where a strong charge density fluctuation of low frequency should exist.

  14. Inter-ELM evolution of the edge current density profile on the ASDEX Upgrade tokamak

    International Nuclear Information System (INIS)

    Dunne, Michael G.

    2014-01-01

    The sudden decrease of plasma stored energy and subsequent power deposition on the first wall of a tokamak device due to edge localised modes (ELMs) is potentially detrimental to the success of a future fusion reactor. Understanding and control of ELMs is critical for the longevity of these devices and also to maximise their performance. The commonly accepted picture of ELMs posits a critical pressure gradient and current density in the plasma edge, above which coupled magnetohydrodynamic (MHD) peeling-ballooning modes are driven unstable. Much analysis has been presented in recent years on the spatial and temporal evolution of the edge pressure gradient. However, the edge current density has typically been overlooked due to the difficulties in measuring this quantity. In this thesis, a novel method of current density recovery is presented, using the equilibrium solver CLISTE to reconstruct a high resolution equilibrium utilising both external magnetic and internal edge kinetic data measured on the ASDEX Upgrade (AUG) tokamak. The evolution of the edge current density relative to an ELM crash is presented, showing that a resistive delay in the buildup of the current density is unlikely. An uncertainty analysis shows that the edge current density can be determined with an accuracy consistent with that of the kinetic data used. A comparison with neoclassical theory demonstrates excellent agreement between the current density determined by CLISTE and the calculated profiles. Three ELM mitigation regimes are investigated: Type-II ELMs, ELMs suppressed by external magnetic perturbations (MPs), and Nitrogen seeded ELMs. In the first two cases, the current density is found to decrease as mitigation onsets, indicating a more ballooning-like plasma behaviour. In the latter case, the flux surface averaged current density can decrease while the local current density increases, thus providing a mechanism to suppress both the peeling and ballooning modes.

  15. Inter-ELM evolution of the edge current density profile on the ASDEX Upgrade tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Dunne, Michael G.

    2014-02-15

    The sudden decrease of plasma stored energy and subsequent power deposition on the first wall of a tokamak device due to edge localised modes (ELMs) is potentially detrimental to the success of a future fusion reactor. Understanding and control of ELMs is critical for the longevity of these devices and also to maximise their performance. The commonly accepted picture of ELMs posits a critical pressure gradient and current density in the plasma edge, above which coupled magnetohydrodynamic (MHD) peeling-ballooning modes are driven unstable. Much analysis has been presented in recent years on the spatial and temporal evolution of the edge pressure gradient. However, the edge current density has typically been overlooked due to the difficulties in measuring this quantity. In this thesis, a novel method of current density recovery is presented, using the equilibrium solver CLISTE to reconstruct a high resolution equilibrium utilising both external magnetic and internal edge kinetic data measured on the ASDEX Upgrade (AUG) tokamak. The evolution of the edge current density relative to an ELM crash is presented, showing that a resistive delay in the buildup of the current density is unlikely. An uncertainty analysis shows that the edge current density can be determined with an accuracy consistent with that of the kinetic data used. A comparison with neoclassical theory demonstrates excellent agreement between the current density determined by CLISTE and the calculated profiles. Three ELM mitigation regimes are investigated: Type-II ELMs, ELMs suppressed by external magnetic perturbations (MPs), and Nitrogen seeded ELMs. In the first two cases, the current density is found to decrease as mitigation onsets, indicating a more ballooning-like plasma behaviour. In the latter case, the flux surface averaged current density can decrease while the local current density increases, thus providing a mechanism to suppress both the peeling and ballooning modes.

  16. Measurements of edge density profile modifications during IBW on TFTR

    International Nuclear Information System (INIS)

    Hanson, G.R.; Bush, C.E.; Wilgen, J.B.

    1997-01-01

    Ion Bernstein wave (IBW) antennas are known to have substantial localized effects on the plasma edge. To allow better understanding and measurement of these effects, the TFTR edge reflectometer has been relocated to the new IBW antenna. This move was facilitated by the incorporation of a diagnostic access tube in the IBW antenna identical to the original diagnostic tube in the fast-wave (FW) antenna. This allowed the reflectometer launcher to simply be moved from the old FW antenna to the new IBW antenna. Only a moderate extension of the waveguide transmission line was required to reconnect the reflectometer to the launcher in its new location. Edge density profile modification during IBW experiments has been observed. Results from IBW experiments will be presented and contrasted to the edge density modifications previously observed during FW heating experiments

  17. PIII Plasma Density Enhancement by a New DC Power Source

    International Nuclear Information System (INIS)

    Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Munoz-Castro, A. E.; Valencia A, R.; Barocio, S. R.; Mercado-Cabrera, A.; Pena-Eguiluz, R.

    2006-01-01

    In practical terms, those plasmas produced by a DC voltage power supply do not attain densities above the 108 to 109 cm-3 band. Here we present a power supply, controlled in current and voltage, which has been successfully designed and constructed delivering plasma densities in the orders of 109 - 1010 cm-3. Its experimental performance test was conducted within one toroidal and one cylindrical chambers capable of 29 and 35 litres, respectively, using nitrogen gas. The DC plasma was characterized by a double electric probe. Several physical phenomena present in the PIII process have been keenly investigated including plasma sheath dynamics, interaction of plasma and surface, etc. In this paper we analyze the effect of the implantation voltage, plasma density and pulse time in the PIII average heating power and fluence density

  18. First observation of density profile in directly laser-driven polystyrene targets for ablative Rayleigh-Taylor instability research

    International Nuclear Information System (INIS)

    Fujioka, Shinsuke; Shiraga, Hiroyuki; Nishikino, Masaharu; Shigemori, Keisuke; Sunahara, Atsushi; Nakai, Mitsuo; Azechi, Hiroshi; Nishihara, Katsunobu; Yamanaka, Tatsuhiko

    2003-01-01

    The temporal evolution of the density profile of a directly laser-driven polystyrene target was observed for the first time using an x-ray penumbral imaging technique coupled with side-on x-ray backlighting at the GEKKO XII [C. Yamanaka et al., IEEE J. Quantum Electron. QE-17, 1639 (1981)]-High Intensity Plasma Experimental Research laser facility (I L =0.7x10 14 W/cm 2 , λ L =0.35 μm). This density measurement makes it possible to experimentally confirm all physical parameters [γ(k),k,g,m,ρ a ,L m ] appearing in the modified Takabe formula for the growth rate of the ablative Rayleigh-Taylor instability. The measured density profiles were well reproduced by a one-dimensional hydrodynamic simulation code. The density measurement contributes toward fully understanding the ablative Rayleigh-Taylor instability

  19. Analysis of Total Electron Content and Electron Density Profile during Different Geomagnetic Storms

    Science.gov (United States)

    Chapagain, N. P.; Rana, B.; Adhikari, B.

    2017-12-01

    Total Electron content (TEC) and electron density are the key parameters in the mitigation of ionospheric effects on radio communication system. Detail study of the TEC and electron density variations has been carried out during geomagnetic storms, with longitude and latitude, for four different locations: (13˚N -17˚N, 88˚E -98˚E), (30˚N-50˚N, 120˚W -95˚W), (29˚S-26˚S, 167˚W-163˚W,) and (60˚S-45˚S, 120˚W-105˚W) using the Gravity Recovery and Climate Experiment (GRACE) satellite observations. In order to find the geomagnetic activity, the solar wind parameters such as north-south component of inter planetary magnetic field (Bz), plasma drift velocity (Vsw), flow pressure (nPa), AE, Dst and Kp indices were obtained from Operating Mission as Nodes on the Internet (OMNI) web system. The data for geomagnetic indices have been correlated with the TEC and electron density for four different events of geomagnetic storms on 6 April 2008, 27 March 2008, 4 September 2008, and 11 October 2008. The result illustrates that the observed TEC and electron density profile significantly vary with longitudes and latitudes. This study illustrates that the values of TEC and the vertical electron density profile are influenced by the solar wind parameters associated with solar activities. The peak values of electron density and TEC increase as the geomagnetic storms become stronger. Similarly, the electron density profile varies with altitudes, which peaks around the altitude range of about 250- 350 km, depending on the strength of geomagnetic storms. The results clearly show that the peak electron density shifted to higher altitude (from about 250 km to 350 km) as the geomagnetic disturbances becomes stronger.

  20. Departures from local thermodynamic equilibrium in cutting arc plasmas derived from electron and gas density measurements using a two-wavelength quantitative Schlieren technique

    International Nuclear Information System (INIS)

    Prevosto, L.; Mancinelli, B.; Artana, G.; Kelly, H.

    2011-01-01

    A two-wavelength quantitative Schlieren technique that allows inferring the electron and gas densities of axisymmetric arc plasmas without imposing any assumption regarding statistical equilibrium models is reported. This technique was applied to the study of local thermodynamic equilibrium (LTE) departures within the core of a 30 A high-energy density cutting arc. In order to derive the electron and heavy particle temperatures from the inferred density profiles, a generalized two-temperature Saha equation together with the plasma equation of state and the quasineutrality condition were employed. Factors such as arc fluctuations that influence the accuracy of the measurements and the validity of the assumptions used to derive the plasma species temperature were considered. Significant deviations from chemical equilibrium as well as kinetic equilibrium were found at elevated electron temperatures and gas densities toward the arc core edge. An electron temperature profile nearly constant through the arc core with a value of about 14000-15000 K, well decoupled from the heavy particle temperature of about 1500 K at the arc core edge, was inferred.

  1. The calculation of electron density of the non-ideal argon plasma

    International Nuclear Information System (INIS)

    Jiang Ming; Cheng Xinlu; Yang Xiangdong

    2004-01-01

    By the screened hydrogenic model, the paper calculates the electron densities of shock-generated argon plasma with temperature T∼2.0 eV and density of plasma ρ∼0.01 g/cm 3 -0.49 g/cm 3 , and studies the influence on electron density caused by interparticle interaction at the different temperature and density of plasma. (author)

  2. Quadrature interferometry for plasma density measurements

    International Nuclear Information System (INIS)

    Warthen, B.J.; Shlachter, J.S.

    1995-01-01

    A quadrature interferometer has been used routinely in several pulsed power experiments to measure the line-averaged electron density. The optical source is a 30 mW, continuous wave Nd-YAG laser operating at 1,300 nm. The light is coupled directly to an optical fiber and split into reference and scene beams with a fiber splitter. The scene beam is transported to and from the plasma using single mode optical fibers up to 100 m in length. To simplify alignment through the plasma, the authors have used GRIN lenses on both the launch and receive sides of the single pass transmission diagnostic where this is possible. The return beam passes through a half-wave plate which is used to compensate for polarization rotation associated with slow (hour) time scale drift in the single mode fibers. The reference beam is sent through a quarter-wave plate to produce circular polarization; mixing of the reference and scene beams is accomplished using a non-polarizing beam splitter, and the interference signals are focused into additional fibers which relay the light to fast photodiodes. The quadrature optics allow for an unambiguous determination of the slope of the density changes at inflection points. All of the beam processing optics are located on a stable optical table which is remote and protected from the experiment. Final setup of the interferometer is facilitated by looking at the Lissajous figure generated from the two quadrature components. The authors have used this interferometer to diagnose the background density in the Pegasus-II power flow channel, to study the plasma plume generated in foil implosion experiments, to measure the plasma blowoff during implosions, and to understand the plasma formation mechanism in a fusion target plasma system

  3. Current density profile evolution in JET

    International Nuclear Information System (INIS)

    Stubberfield, P.M.; Balet, B.; Campbell, D.; Challis, C.D.; Cordey, J.G.; O'Rourke, J.; Hammett, G.; Schmidt, G.L.

    1989-01-01

    Simulation studies have been made of the current density profile evolution in discharges where the bootstrap current is expected to be significant. The changes predicted in the total current profile have been confirmed by comparison with experimental results. (author) 8 refs., 6 figs

  4. Does creatine supplementation improve the plasma lipid profile in healthy male subjects undergoing aerobic training?

    Directory of Open Access Journals (Sweden)

    Scagliusi Fernanda B

    2008-10-01

    Full Text Available Abstract We aimed to investigate the effects of creatine (Cr supplementation on the plasma lipid profile in sedentary male subjects undergoing aerobic training. Methods Subjects (n = 22 were randomly divided into two groups and were allocated to receive treatment with either creatine monohydrate (CR (~20 g·day-1 for one week followed by ~10 g·day-1 for a further eleven weeks or placebo (PL (dextrose in a double blind fashion. All subjects undertook moderate intensity aerobic training during three 40-minute sessions per week, over 3 months. High-density lipoprotein cholesterol (HDL, low-density lipoprotein cholesterol (LDL, very low-density lipoprotein cholesterol (VLDL, total cholesterol (TC, triglyceride (TAG, fasting insulin and fasting glycemia were analyzed in plasma. Thereafter, the homeostasis model assessment (HOMA was calculated. Tests were performed at baseline (Pre and after four (Post 4, eight (Post 8 and twelve (Post 12 weeks. Results We observed main time effects in both groups for HDL (Post 4 versus Post 8; P = 0.01, TAG and VLDL (Pre versus Post 4 and Post 8; P = 0.02 and P = 0.01, respectively. However, no between group differences were noted in HDL, LDL, CT, VLDL and TAG. Additionally, fasting insulin, fasting glycemia and HOMA did not change significantly. Conclusion These findings suggest that Cr supplementation does not exert any additional effect on the improvement in the plasma lipid profile than aerobic training alone.

  5. Density and temperature profile modifications with electron cyclotron power injection in quiescent double barrier discharges on DIII-D

    International Nuclear Information System (INIS)

    Casper, T A; Burrell, K H; Doyle, E J; Gohil, P; Lasnier, C J; Leonard, A W; Moller, J M; Osborne, T H; Snyder, P B; Thomas, D M; Weiland, J; West, W P

    2006-01-01

    Quiescent double barrier (QDB) conditions often form when an internal transport barrier is created with high-power neutral-beam injection into a quiescent H-mode (QH) plasma. These QH-modes offer an attractive, high-performance operating scenario for burning plasma experiments due to their quasi-stationarity and lack of edge localized modes. Our initial experiments and modelling using ECH/ECCD in QDB shots were designed to control the current profile and, indeed, we have observed a strong dependence on the q-profile when EC-power is used inside the core transport barrier region. While strong electron heating is observed with EC power injection, we also observe a drop in the other core parameters, namely ion temperature and rotation, electron density and impurity concentration. At onset and termination of the EC pulse, dynamically changing conditions are induced that provide a rapid evolution of T e /T i profiles accessible with 0.3 e /T i ) axis e /T i ratio as the ion temperature and density profiles flatten with this change in transport. The change in transport is consistent with a destabilization of ITG turbulence as inferred from the reduction of the stability threshold due to the change in T e /T i

  6. Rotation and transport in Alcator C-Mod ITB plasmas

    Science.gov (United States)

    Fiore, C. L.; Rice, J. E.; Podpaly, Y.; Bespamyatnov, I. O.; Rowan, W. L.; Hughes, J. W.; Reinke, M.

    2010-06-01

    Internal transport barriers (ITBs) are seen under a number of conditions in Alcator C-Mod plasmas. Most typically, radio frequency power in the ion cyclotron range of frequencies (ICRFs) is injected with the second harmonic of the resonant frequency for minority hydrogen ions positioned off-axis at r/a > 0.5 to initiate the ITBs. They can also arise spontaneously in ohmic H-mode plasmas. These ITBs typically persist tens of energy confinement times until the plasma terminates in radiative collapse or a disruption occurs. All C-Mod core barriers exhibit strongly peaked density and pressure profiles, static or peaking temperature profiles, peaking impurity density profiles and thermal transport coefficients that approach neoclassical values in the core. The strongly co-current intrinsic central plasma rotation that is observed following the H-mode transition has a profile that is peaked in the centre of the plasma and decreases towards the edge if the ICRF power deposition is in the plasma centre. When the ICRF resonance is placed off-axis, the rotation develops a well in the core region. The central rotation continues to decrease as long as the central density peaks when an ITB develops. This rotation profile is flat in the centre (0 ITB density profile is observed (0.5 ITB foot that is sufficiently large to stabilize ion temperature gradient instabilities that dominate transport in C-Mod high density plasmas.

  7. Numerical study of overpopulation density for laser oscillation in recombining hydrogen plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Oda, T.; Furukane, U.

    1983-06-01

    The dependence of overpopulation density (OD) on ground-level population density (n1) and electron temperature (Te) in a recombining hydrogen plasma is evaluated for line pairs with the principal quantum numbers (2,3), (3,4), and (4,5). The approach is based on the simultaneouss solution of the quasi-steady-state rate equation (including interatomic-collision terms) and the optical-escape-factor equation for the Lyman series with Doppler profile. Calculations are performed for optically thin and thick plasmas at a fixed atomic temperature of 0.15 eV, over a Te range from 0.1 to 1 eV and an electron-density (ne) range from 10 to the 11th to 10 to the 17th per cu cm. It is shown that peak OD occurs at an ne slightly below that at which population inversion is destroyed, that peak OD is inversely sensitive to Te, and that peak OD(2,3) is the highest of the three peak OD. Laser oscillation is determined to be possible for (2,3) at Te higher than for (3,4) and (4,5), if self-absorption is negligible. The OD remains constant as n1 increases, up to the point at which significant self-absorption occurs. No laser oscillation is expected at level (4,5), nor in optically thick plasma at any level, for the realistic cavity parameters and temperatures used in the calculations. 21 references.

  8. Nonlocal theory of electromagnetic wave decay into two electromagnetic waves in a rippled density plasma channel

    International Nuclear Information System (INIS)

    Sati, Priti; Tripathi, V. K.

    2012-01-01

    Parametric decay of a large amplitude electromagnetic wave into two electromagnetic modes in a rippled density plasma channel is investigated. The channel is taken to possess step density profile besides a density ripple of axial wave vector. The density ripple accounts for the momentum mismatch between the interacting waves and facilitates nonlinear coupling. For a given pump wave frequency, the requisite ripple wave number varies only a little w.r.t. the frequency of the low frequency decay wave. The radial localization of electromagnetic wave reduces the growth rate of the parametric instability. The growth rate decreases with the frequency of low frequency electromagnetic wave.

  9. Interferometric characterization of density dynamics of an ultradense Z-pinch plasma

    International Nuclear Information System (INIS)

    Ackenhusen, J.G.; Bach, D.R.

    1979-01-01

    We have measured the spatially and temporally resolved density in a Z-pinch plasma by holographic interferometry. The high electron density (4 x 10 19 e/cm 3 ), short density scale length (100 μm), and low temperature (about 20 eV) make the plasma source suitable for simulation of laser-pellet interaction experiments at 10.6-μm laser wavelengths. A cinema of density evolution, indicating plasma pinching and subsequent relaxation, provides an experimental view of plasma dynamics which is then compared to simple theoretical models

  10. Direct Laser Writing of Low-Density Interdigitated Foams for Plasma Drive Shaping [Direct Laser Writing of Low Density Nanostitched Foams for Plasma Drive Shaping

    International Nuclear Information System (INIS)

    Oakdale, James S.; Smith, Raymond F.; Forien, Jean-Baptiste; Smith, William L.; Ali, Suzanne J.

    2017-01-01

    Monolithic porous bulk materials have many promising applications ranging from energy storage and catalysis to high energy density physics. High resolution additive manufacturing techniques, such as direct laser writing via two photon polymerization (DLW-TPP), now enable the fabrication of highly porous microlattices with deterministic morphology control. In this work, DLW-TPP is used to print millimeter-sized foam reservoirs (down to 0.06 g cm –3 ) with tailored density-gradient profiles, where density is varied by over an order of magnitude (for instance from 0.6 to 0.06 g cm –3 ) along a length of <100 µm. Taking full advantage of this technology, however, is a multiscale materials design problem that requires detailed understanding of how the different length scales, from the molecular level to the macroscopic dimensions, affect each other. The design of these 3D-printed foams is based on the brickwork arrangement of 100 × 100 × 16 µm 3 log-pile blocks constructed from sub-micrometer scale features. A block-to-block interdigitated stitching strategy is introduced for obtaining high density uniformity at all length scales. Lastly, these materials are used to shape plasma-piston drives during ramp-compression of targets under high energy density conditions created at the OMEGA Laser Facility.

  11. Discharge regimes and density jumps in a helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, S.; Yonekura, K.

    1999-01-01

    A high density plasma source using a helicon wave is becoming very attractive in plasma processing and confinement devices. In the previous work, the characteristics of this wave and plasma performance with diameters of 5 and 45 cm have been studied, and the helicon wave was only observed after the density jump. Recently, density jumps from the low to high electron densities with a level of 10 13 cm -3 were investigated by changing the antenna wavenumber spectrum, and the obtained results were compared with the inductively coupled plasma (ICP). However, the mechanisms of density jumps and plasma production are still open questions to be answered. Here, the authors try to investigate the discharge regimes and density jumps in a helicon plasma source, by changing the antenna wavenumber spectrum. For he case of the parallel current directions in the antenna, where the low wavenumber spectrum part is large, the density jump was observed with the low RF input power of P in < 300 W regardless of the magnetic field. On the other hand, for the case of the opposite directions, where the low wavenumber spectrum part is small, the threshold power to obtain the jump became high with the increase in the magnetic field. This can be understood from the dispersion relation of the helicon wave. The wave structures and the dispersion relations in the discharge modes will be also shown

  12. Density limits in Tokamaks

    International Nuclear Information System (INIS)

    Tendler, M.

    1984-06-01

    The energy loss from a tokamak plasma due to neutral hydrogen radiation and recycling is of great importance for the energy balance at the periphery. It is shown that the requirement for thermal equilibrium implies a constraint on the maximum attainable edge density. The relation to other density limits is discussed. The average plasma density is shown to be a strong function of the refuelling deposition profile. (author)

  13. The O-X-B mode conversion scheme for ECRH of a high-density Tokamak plasma

    DEFF Research Database (Denmark)

    Hansen, F. R.; Lynov, Jens-Peter; Michelsen, Poul

    1985-01-01

    A method to apply electron cyclotron resonance heating (ECRH) to a Tokamak plasma with central density higher than the critical density for cut-off of the ordinary mode (O-mode) has been investigated. This method involves two mode conversions, from an O-mode via an extraordinary mode (X......-mode) into an electron Bernstein mode (B-mode). Radial profiles for the power deposition and the wave-drive current due to the B-waves are calculated for realistic antenna radiation patterns with parameters corresponding to the Danish DANTE Tokamak and to Princeton's PLT....

  14. On lower hybrid wave scattering by plasma density fluctuations

    International Nuclear Information System (INIS)

    Petrzilka, V.

    1988-01-01

    The scattering of lower hybrid waves on plasma density fluctuations in a thin turbulent layer at the plasma periphery is studied numerically. The lower hybrid waves are supposed to be radiated by a four-waveguide grill used on the CASTOR tokamak. A great number of calculated scattered wave spectra show that the scattered spectrum shifts to larger values of the parallel-to-magnetic-field component of the wave vector (to slower waves) with increasing central plasma density and with the decreasing safety factor at the boundary. As known, this shift of the wave spectra results in a decrease in current drive efficiency. The current drive efficiency will hence decrease with growing plasma density and with decreasing safety factor. (J.U.). 2 figs., 4 refs

  15. Midplane neutral density profiles in the National Spherical Torus Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Stotler, D. P., E-mail: dstotler@pppl.gov; Bell, R. E.; Diallo, A.; LeBlanc, B. P.; Podestà, M.; Roquemore, A. L.; Ross, P. W. [Princeton Plasma Physics Laboratory, Princeton University, P. O. Box 451, Princeton, New Jersey 08543-0451 (United States); Scotti, F. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States)

    2015-08-15

    Atomic and molecular density data in the outer midplane of NSTX [Ono et al., Nucl. Fusion 40, 557 (2000)] are inferred from tangential camera data via a forward modeling procedure using the DEGAS 2 Monte Carlo neutral transport code. The observed Balmer-β light emission data from 17 shots during the 2010 NSTX campaign display no obvious trends with discharge parameters such as the divertor Balmer-α emission level or edge deuterium ion density. Simulations of 12 time slices in 7 of these discharges produce molecular densities near the vacuum vessel wall of 2–8 × 10{sup 17 }m{sup −3} and atomic densities ranging from 1 to 7 × 10{sup 16 }m{sup −3}; neither has a clear correlation with other parameters. Validation of the technique, begun in an earlier publication, is continued with an assessment of the sensitivity of the simulated camera image and neutral densities to uncertainties in the data input to the model. The simulated camera image is sensitive to the plasma profiles and virtually nothing else. The neutral densities at the vessel wall depend most strongly on the spatial distribution of the source; simulations with a localized neutral source yield densities within a factor of two of the baseline, uniform source, case. The uncertainties in the neutral densities associated with other model inputs and assumptions are ≤50%.

  16. Evaporation of carbon using electrons of a high density plasma

    International Nuclear Information System (INIS)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O.

    1999-01-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index. (Author)

  17. Time-of-flight measurements of the plasma density in the T-11M tokamak

    International Nuclear Information System (INIS)

    Petrov, V. G.; Petrov, A. A.; Malyshev, A. Yu.; Markov, V. K.; Babarykin, A. V.

    2006-01-01

    The average plasma density in the T-11M tokamak is determined by means of an O-mode time-of-flight refractometer measuring the propagation time τ of microwave pulses through the plasma. Since the front duration τ fr of these pulses is shorter than 2 ns, filtering the measured signal cannot reduce the signal-to-noise ratio below a certain level. This circumstance impedes the use of this diagnostics in larger devices, where the signals may be substantially attenuated because of the larger chamber size and larger waveguide losses. There are several ways to overcome these difficulties: to raise the microwave power, to increase the sensitivity of the receivers, etc. In this paper, a technique is described that is based on the differential method for determining the propagation time of a microwave signal through the plasma. In this method, the plasma is probed by two continuous microwaves with close frequencies and the phase difference between them Δφ 12 is measured. As long as the condition Δφ 12 < 2π is satisfied, the measurements are unambiguous, because there are no phase jumps by a value multiple of 2π, as is usually the case in conventional interferometers at an increased level of MHD activity, in regimes with a rapid density growth, etc. This method allows the signal to be filtered, thereby ensuring an appreciable improvement in the signal-to-noise ratio in comparison with the pulsed methods. The first measurements of the average density along the +3-cm chord were performed with the help of this new differential time-of-flight refractometer in the T-11M tokamak. The refractometry data agree well with the interferometric data and are used to recover the plasma-density profile

  18. High density plasmas formation in Inertial Confinement Fusion and Astrophysics

    International Nuclear Information System (INIS)

    Martinez-Val, J. M.; Minguez, E.; Velarde, P.; Perlado, J. M.; Velarde, G.; Bravo, E.; Eliezer, S.; Florido, R.; Garcia Rubiano, J.; Garcia-Senz, D.; Gil de la Fe, J. M.; Leon, P. T.; Martel, P.; Ogando, F.; Piera, M.; Relano, A.; Rodriguez, R.; Garcia, C.; Gonzalez, E.; Lachaise, M.; Oliva, E.

    2005-01-01

    In inertially confined fusion (ICF), high densities are required to obtain high gains. In Fast Ignition, a high density, low temperature plasma can be obtained during the compression. If the final temperature reached is low enough, the electrons of the plasma can be degenerate. In degenerate plasmas. Bremsstrahlung emission is strongly suppressed an ignition temperature becomes lower than in classical plasmas, which offers a new design window for ICF. The main difficulty of degenerate plasmas in the compression energy needed for high densities. Besides that, the low specific heat of degenerate electrons (as compared to classical values) is also a problem because of the rapid heating of the plasma. Fluid dynamic evolution of supernovae remnants is a very interesting problem in order to predict the thermodynamical conditions achieved in their collision regions. Those conditions have a strong influence in the emission of light and therefore the detection of such events. A laboratory scale system has been designed reproducing the fluid dynamic field in high energy experiments. The evolution of the laboratory system has been calculated with ARWEN code, 2D Radiation CFD that works with Adaptive Mesh Refinement. Results are compared with simulations on the original system obtained with a 3D SPH astrophysical code. New phenomena at the collision plane and scaling of the laboratory magnitudes will be described. Atomic physics for high density plasmas has been studied with participation in experiments to obtain laser produced high density plasmas under NLTE conditions, carried out at LULI. A code, ATOM3R, has been developed which solves rate equations for optically thin plasmas as well as for homogeneous optically thick plasmas making use of escape factors. New improvements in ATOM3R are been done to calculate level populations and opacities for non homogeneous thick plasmas in NLTE, with emphasis in He and H lines for high density plasma diagnosis. Analytical expression

  19. Plasma-parameter measurements using neutral-particle-beam attenuation

    International Nuclear Information System (INIS)

    Foote, J.H.; Molvik, A.W.; Turner, W.C.

    1982-01-01

    Intense and energetic neutral-particle-beam injection used for fueling or heating magnetically confined, controlled-fusion experimental plasmas can also provide diagnostic measurements of the plasmas. The attenuation of an atomic beam (mainly from charge-exchange and ionization interactions) when passing through a plasma gives the plasma line density. Orthogonal arrays of highly collimated detectors of the secondary-electron-emission type have been used in magnetic-mirror experiments to measure neutral-beam attenuation along chords through the plasma volume at different radial and axial positions. The radial array is used to infer the radial plasma-density profile; the axial array, to infer the axial plasma-density profile and the ion angular distribution at the plasma midplane

  20. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    Energy Technology Data Exchange (ETDEWEB)

    Filippi, F., E-mail: francesco.filippi@roma1.infn.it [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Cianchi, A. [Dipartimento di Fisica, Universitá di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma (Italy); Di Giovenale, D.; Di Pirro, G.; Ferrario, M. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Mostacci, A.; Palumbo, L. [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Zigler, A. [Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2016-09-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  1. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    International Nuclear Information System (INIS)

    Filippi, F.; Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Di Giovenale, D.; Di Pirro, G.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Zigler, A.

    2016-01-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  2. NEW CONCEPTS AND TEST METHODS OF CURVE PROFILE AREA DENSITY IN SURFACE: ESTIMATION OF AREAL DENSITY ON CURVED SPATIAL SURFACE

    OpenAIRE

    Hong Shen

    2011-01-01

    The concepts of curve profile, curve intercept, curve intercept density, curve profile area density, intersection density in containing intersection (or intersection density relied on intersection reference), curve profile intersection density in surface (or curve intercept intersection density relied on intersection of containing curve), and curve profile area density in surface (AS) were defined. AS expressed the amount of curve profile area of Y phase in the unit containing surface area, S...

  3. Advection of long lived density blobs in the turbulent state of a simple magnetized torus plasma

    International Nuclear Information System (INIS)

    Barni, R; Riccardi, C

    2009-01-01

    The turbulent regime of a simple magnetized toroidal plasma column has been studied in the plasma device Thorello. The detection and the study of the spatio-temporal evolution of structures have been performed by means of conditional sampling techniques as well as other statistical tools. As a result the evidence of plasma blob formation and expulsion from the edge of the main plasma column has been obtained. The relation between structure phenomenology and statistical characteristics of the turbulent regime has been investigated. The motion of the density structures in the edge region of our device does not look ballistic but rather driven by the overall potential profile established in the turbulent state. Potential fluctuations are strongly anti-correlated with density structures, located in the same position and somewhat more extended. They provide a shallow potential well with a flat bottom and quite sharp edges surrounding and co-moving with the blobs. Blob lifetime exceeds the residence time associated with the overall E x B drift field. Then such persistent structures provide a means for a net convection of the charged particles to the limiter, across the magnetic field and beyond the edge region of the plasma.

  4. Cigarette smoking substantially alters plasma microRNA profiles in healthy subjects

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Kei; Yokota, Shin-ichi; Tatsumi, Naoyuki; Fukami, Tatsuki; Yokoi, Tsuyoshi; Nakajima, Miki, E-mail: nmiki@p.kanazawa-u.ac.jp

    2013-10-01

    Circulating microRNAs (miRNAs) are receiving attention as potential biomarkers of various diseases, including cancers, chronic obstructive pulmonary disease, and cardiovascular disease. However, it is unknown whether the levels of circulating miRNAs in a healthy subject might vary with external factors in daily life. In this study, we investigated whether cigarette smoking, a habit that has spread throughout the world and is a risk factor for various diseases, affects plasma miRNA profiles. We determined the profiles of 11 smokers and 7 non-smokers by TaqMan MicroRNA array analysis. A larger number of miRNAs were detected in smokers than in non-smokers, and the plasma levels of two-thirds of the detected miRNAs (43 miRNAs) were significantly higher in smokers than in non-smokers. A principal component analysis of the plasma miRNA profiles clearly separated smokers and non-smokers. Twenty-four of the miRNAs were previously reported to be potential biomarkers of disease, suggesting the possibility that smoking status might interfere with the diagnosis of disease. Interestingly, we found that quitting smoking altered the plasma miRNA profiles to resemble those of non-smokers. These results suggested that the differences in the plasma miRNA profiles between smokers and non-smokers could be attributed to cigarette smoking. In addition, we found that an acute exposure of ex-smokers to cigarette smoke (smoking one cigarette) did not cause a dramatic change in the plasma miRNA profile. In conclusion, we found that repeated cigarette smoking substantially alters the plasma miRNA profile, interfering with the diagnosis of disease or signaling potential smoking-related diseases. - Highlights: • Plasma miRNA profiles were unambiguously different between smokers and non-smokers. • Smoking status might interfere with the diagnosis of disease using plasma miRNAs. • Changes of plasma miRNA profiles may be a signal of smoking-related diseases.

  5. Cigarette smoking substantially alters plasma microRNA profiles in healthy subjects

    International Nuclear Information System (INIS)

    Takahashi, Kei; Yokota, Shin-ichi; Tatsumi, Naoyuki; Fukami, Tatsuki; Yokoi, Tsuyoshi; Nakajima, Miki

    2013-01-01

    Circulating microRNAs (miRNAs) are receiving attention as potential biomarkers of various diseases, including cancers, chronic obstructive pulmonary disease, and cardiovascular disease. However, it is unknown whether the levels of circulating miRNAs in a healthy subject might vary with external factors in daily life. In this study, we investigated whether cigarette smoking, a habit that has spread throughout the world and is a risk factor for various diseases, affects plasma miRNA profiles. We determined the profiles of 11 smokers and 7 non-smokers by TaqMan MicroRNA array analysis. A larger number of miRNAs were detected in smokers than in non-smokers, and the plasma levels of two-thirds of the detected miRNAs (43 miRNAs) were significantly higher in smokers than in non-smokers. A principal component analysis of the plasma miRNA profiles clearly separated smokers and non-smokers. Twenty-four of the miRNAs were previously reported to be potential biomarkers of disease, suggesting the possibility that smoking status might interfere with the diagnosis of disease. Interestingly, we found that quitting smoking altered the plasma miRNA profiles to resemble those of non-smokers. These results suggested that the differences in the plasma miRNA profiles between smokers and non-smokers could be attributed to cigarette smoking. In addition, we found that an acute exposure of ex-smokers to cigarette smoke (smoking one cigarette) did not cause a dramatic change in the plasma miRNA profile. In conclusion, we found that repeated cigarette smoking substantially alters the plasma miRNA profile, interfering with the diagnosis of disease or signaling potential smoking-related diseases. - Highlights: • Plasma miRNA profiles were unambiguously different between smokers and non-smokers. • Smoking status might interfere with the diagnosis of disease using plasma miRNAs. • Changes of plasma miRNA profiles may be a signal of smoking-related diseases

  6. Density profiles in the Scrape-Off Layer interpreted through filament dynamics

    Science.gov (United States)

    Militello, Fulvio

    2017-10-01

    We developed a new theoretical framework to clarify the relation between radial Scrape-Off Layer density profiles and the fluctuations that generate them. The framework provides an interpretation of the experimental features of the profiles and of the turbulence statistics on the basis of simple properties of the filaments, such as their radial motion and their draining towards the divertor. L-mode and inter-ELM filaments are described as a Poisson process in which each event is independent and modelled with a wave function of amplitude and width statistically distributed according to experimental observations and evolving according to fluid equations. We will rigorously show that radially accelerating filaments, less efficient parallel exhaust and also a statistical distribution of their radial velocity can contribute to induce flatter profiles in the far SOL and therefore enhance plasma-wall interactions. A quite general result of our analysis is the resiliency of this non-exponential nature of the profiles and the increase of the relative fluctuation amplitude towards the wall, as experimentally observed. According to the framework, profile broadening at high fueling rates can be caused by interactions with neutrals (e.g. charge exchange) in the divertor or by a significant radial acceleration of the filaments. The framework assumptions were tested with 3D numerical simulations of seeded SOL filaments based on a two fluid model. In particular, filaments interact through the electrostatic field they generate only when they are in close proximity (separation comparable to their width in the drift plane), thus justifying our independence hypothesis. In addition, we will discuss how isolated filament motion responds to variations in the plasma conditions, and specifically divertor conditions. Finally, using the theoretical framework we will reproduce and interpret experimental results obtained on JET, MAST and HL-2A.

  7. Study of density limit in JT-60 joule heated plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Shirai, Hiroshi; Shimizu, Katsuhiro; Takizuka, Tomonori; Hirayama, Toshio; Azumi, Masafumi [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment

    1995-11-01

    Impurities which mingle in tokamak plasmas cause dominant radiation loss in the high density regime and the energy balance of plasma is lost. This gives rise to MHD instability and results in major disruption. Density limit in joule heated plasmas has been studied by using one dimensional transport code combined with MHD instability analysis code. When the diffusion of impurity is taken into account, the numerically obtained density limit diagram or Hugill diagram quantitatively agrees well with that obtained in the experiment. It is also clarified that the corona-equilibrium model overestimates the density limit. (author).

  8. High density internal transport barriers for burning plasma operation

    International Nuclear Information System (INIS)

    Pericoli Ridolfini, V.

    2005-01-01

    One of the proposed ITER scenarios foresees the creation and sustainment of an internal transport barrier (ITB) in order to improve the confinement properties of the hot core plasma. The more stringent requests are: the ITB must be sustained with electron heating only with no or very small external momentum source, the strong collisional coupling at the envisaged density (line average >1.0 1020 m-3) must not prevent the barrier existence, the bootstrap current created by the large induced gradients must have a radial profile consistent with that requested by the barrier creation and sustainment. To all these items the studies carried out in FTU in the same density range (ne0 ?1.5 1020 m-3) provide encouraging prospects. With pure electron heating and current drive (LH+ECH) steady electron barrier are generated and maintained with central e- temperature >5.0 keV. Almost full CD conditions are established with a bootstrap current close to 25% of the total and well aligned with that driven by the LH waves and responsible for the barrier building. The clear change in the density fluctuations close to the ITB radius, observed by reflectometry, indicates stabilization of turbulence that is consistent with the drop of the thermal electron diffusivity inside the ITB to very low values, ?e<0.5 m2/s estimated by the transport analysis. The 10 fold neutron rate increase testifies a significant collisional ion heating, even though usually ?Ti0/Ti0 does not exceed 40%, because the e--i + equipartition time, always 4-5 times longer than the energy confinement time, does not allow thermal equilibrium with electrons to be attained. The ion thermal diffusivity inside the barrier must be lowered to the neoclassical level to account for the observed Ti(r) profiles, clearly indicating at least a non-degraded ion transport. The global confinement in turn improves by 1.6 times above the FTU L-scaling. The ITB radius can be controlled by varying the LH power deposition profile that is

  9. Analysis of density fluctuations in the Tore Supra tokamak. Up-down asymmetries and limiter effect on plasma turbulence; Etude des fluctuations de density dans les plasmas du tokamak Tore Supra. Asymetries haut-bas et effet du limiteur sur la turbulence

    Energy Technology Data Exchange (ETDEWEB)

    Fenzi, Ch

    1999-10-29

    In magnetic fusion devices, the optimisation of the power deposition profile on plasma facing components crucially depends on the heat diffusivity across the magnetic field fines, which is determined by the plasma edge turbulence. In this regard, spatial asymmetries of plasma edge turbulence are of great interest. In this work, we interest in up-down asymmetries of density fluctuations which are usually observed in Tore Supra, using a coherent light scattering experiment. It is shown that these asymmetries are correlated to the plasma edge geometrical configuration (plasma facing components, limiters). In fact, the plasma-limiter interaction induces locally in the plasma edge and the SOL (r/a > 0.9) an additional turbulence with short correlation length along the magnetic field fines, which spreads in the plasma core (0.9 {>=} r/a {>=} 0.5). The resultant up-down asymmetry weakly depends on density, increases with the edge safety factor, and inverts when the plasma current direction is reversed. Such up-down asymmetry observations bring strong impact on edge turbulence and transport models, which usually predict a ballooning of the turbulence in the high-field side but not an up-down asymmetry. A possible model is proposed here, based on the Kelvin Helmholtz instability. (author)

  10. Analysis of Mid-Latitude Plasma Density Irregularities in the Presence of Finite Larmor Radius Effects

    Science.gov (United States)

    Sotnikov, V. I.; Kim, T. C.; Mishin, E. V.; Kil, H.; Kwak, Y. S.; Paraschiv, I.

    2017-12-01

    Ionospheric irregularities cause scintillations of electromagnetic signals that can severely affect navigation and transionospheric communication, in particular during space storms. At mid-latitudes the source of F-region Field Aligned Irregularities (FAI) is yet to be determined. They can be created in enhanced subauroral flow channels (SAI/SUBS), where strong gradients of electric field, density and plasma temperature are present. Another important source of FAI is connected with Medium-scale travelling ionospheric disturbances (MSTIDs). Related shear flows and plasma density troughs point to interchange and Kelvin-Helmholtz type instabilities as a possible source of plasma irregularities. A model of nonlinear development of these instabilities based on the two-fluid hydrodynamic description with inclusion of finite Larmor radius effects will be presented. This approach allows to resolve density irregularities on the meter scale. A numerical code in C language to solve the derived nonlinear equations for analysis of interchange and flow velocity shear instabilities in the ionosphere was developed. This code will be used to analyze competition between interchange and Kelvin-Helmholtz instabilities in the mid-latitude region. The high-resolution simulations with continuous density and velocity profiles will be driven by the ambient conditions corresponding to the in situ data obtained during the 2016 Daejeon (Korea) and MU (Japan) radar campaign and data collected simultaneously by the Swarm satellites passed over Korea and Japan. PA approved #: 88ABW-2017-3641

  11. Core fueling to produce peaked density profiles in large tokamaks

    International Nuclear Information System (INIS)

    Mikkelsen, D.R.; McGuire, K.M.; Schmidt, G.L.; Zweben, S.J.

    1994-06-01

    Peaking the density profile increases the usable bootstrap current and the average fusion power density; this could reduce the current drive power and increase the net output of power producing tokamaks. The use of neutral beams and pellet injection to produce peaked density profiles is assessed. We show that with radially ''hollow'' diffusivity profiles (and no particle pinch) moderately peaked density profiles can be produced by particle source profiles which are peaked off-axis. The fueling penetration requirements can therefore be relaxed and this greatly improves the feasibility of generating peaked density profiles in large tokamaks. In particular, neutral beam fueling does not require MeV particle energy. Even with beam voltages of ∼200 keV, however, exceptionally good particle confinement, τ p much-gt τ E is required to achieve net electrical power generation. In system with no power production requirement (e.g., neutron sources) neutral beam fueling should be capable of producing peaked density profiles in devices as large as ITER. Fueling systems with low energy cost per particle (such as cryogenic pellet injection) must be used in power producing tokamaks when τ p ∼ τ E . Simulations with pellet injection speeds of 7 km/sec show the peaking factor, n eo /left-angle n e right-angle, approaching 2

  12. An investigation of pulsed high density plasmas

    International Nuclear Information System (INIS)

    Timmermans, C.J.

    1984-01-01

    In this thesis a wall-stabilized argon cascade arc is studied at values of pulsed pressure up to 14 bar and a pulsed current range up to 2200 A with a time duration of about 2 ms. The basic plasma is a CW cascade arc with a 5 mm diameter plasma column and a length of 90 mm, which operates at a 60 A DC current and at one atmosphere filling pressure. The author starts with an extensive summary of the CW arc investigations. After a brief introduction of the basic transport equations the mass equations of the constituent particles are treated using the extended collisional radiative model. The energy balance equations and the momentum balance are discussed. The electron density is determined from measurements of the continuum radiation. The final chapter contains the experimental results on the electron temperatures and electron densities in the pressure and current pulsed plasma. Attention is given to the deviations from local thermodynamic equilibrium values of the ground level densities of the different argon systems. (Auth.)

  13. Two approaches to the reactor-relevant high-beta plasmas with profile control in the Large Helical Device

    International Nuclear Information System (INIS)

    Ohdachi, S.; Watanabe, K.Y.; Sakakibara, S.

    2008-10-01

    From detailed optimization of configuration, volume averaged beta ∼ 5% has been achieved in the Large Helical Device(LHD). While the heating efficiency was the main point to be optimized in this approach, to form a more peaked pressure profile is another promising approach towards the high beta regime. A higher electron density profile with a steeper pressure gradient has been formed by pellet injection. From the MHD stability analysis, this peaked pressure profile is stable against the ideal MHD modes. By both approaches, the central plasma β 0 reaches about 10%. (author)

  14. Control of the current density profile with lower hybrid current drive on PBX-M

    International Nuclear Information System (INIS)

    Bell, R.E.; Bernabei, S.; Chu, T.K.; Gettelfinger, G.; Greenough, N.; Hatcher, R.; Ignat, D.; Jardin, S.; Kaita, R.; Kaye, S.; Kozub, T.; Kugel, H.; LeBlanc, B.; Okabayashi, M.; Paul, S.; Sauthoff, N.; Sesnic, S.; Sun, Y.; Takahashi, H.; Tighe, W.; Valeo, E.; von Goeler, S.; Jones, S.; Kesner, J.; Luckhardt, S.; Paoletti, F.; Levinton, F.; Timini, F.

    1993-07-01

    Lower hybrid current drive (LHCD) is being explored as a means to control the current density profile on PBX-M with the goal of raising the central safety factor q(O) to values of 1.5-2 to facilitate access to a full-volume second stable regime. Initial experiments have been conducted with up to 400 kW of 4.6 GHz LH power in circular and indented plasmas with modest parameters. A tangential-viewing two-dimensional hard x-ray imaging diagnostic has been used to observe the bremsstrahlung emission from the suprathermal electrons generated during LHCD. Hollow hard x-ray images have indicated off-axis localization of the driven current. A serious obstacle to the control of the current density profile with LHCD is the concomitant generation of MHD activity, which can seriously degrade the confinement of suprathermal electrons. By combining neutral beam injection with LHCD, an MHD-free condition has been obtained where q(O) is raised above 1

  15. Reconstruction and analysis of temperature and density spatial profiles inertial confinement fusion implosion cores

    International Nuclear Information System (INIS)

    Mancini, R. C.

    2007-01-01

    We discuss several methods for the extraction of temperature and density spatial profiles in inertial confinement fusion implosion cores based on the analysis of the x-ray emission from spectroscopic tracers added to the deuterium fuel. The ideas rely on (1) detailed spectral models that take into account collisional-radiative atomic kinetics, Stark broadened line shapes, and radiation transport calculations, (2) the availability of narrow-band, gated pinhole and slit x-ray images, and space-resolved line spectra of the core, and (3) several data analysis and reconstruction methods that include a multi-objective search and optimization technique based on a novel application of Pareto genetic algorithms to plasma spectroscopy. The spectroscopic analysis yields the spatial profiles of temperature and density in the core at the collapse of the implosion, and also the extent of shell material mixing into the core. Results are illustrated with data recorded in implosion experiments driven by the OMEGA and Z facilities

  16. Finding evidence for density fluctuation effects on electron cyclotron heating deposition profiles on DIII-D

    International Nuclear Information System (INIS)

    Brookman, M. W.; Austin, M. E.; Petty, C. C.

    2015-01-01

    Theoretical work, computation, and results from TCV [J. Decker “Effect of density fluctuations on ECCD in ITER and TCV,” EPJ Web of Conf. 32, 01016 (2012)] suggest that density fluctuations in the edge region of a tokamak plasma can cause broadening of the ECH deposition profile. In this paper, a GUI tool is presented which is used for analysis of ECH deposition as a first step towards looking for this broadening, which could explain effects seen in previous DIII-D ECH transport studies [K.W. Gentle “Electron energy transport inferences from modulated electron cyclotron heating in DIII-D,” Phys. Plasmas 13, 012311 (2006)]. By applying an FFT to the T e measurements from the University of Texas’s 40-channel ECE Radiometer, and using a simplified thermal transport equation, the flux surface extent of ECH deposition is determined. The Fourier method analysis is compared with a Break-In-Slope (BIS) analysis and predictions from the ray-tracing code TORAY. Examination of multiple Fourier harmonics and BIS fitting methods allow an estimation of modulated transport coefficients and thereby the true ECH deposition profile. Correlations between edge fluctuations and ECH deposition in legacy data are also explored as a step towards establishing a link between fluctuations and deposition broadening in DIII-D

  17. Finding evidence for density fluctuation effects on electron cyclotron heating deposition profiles on DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    Brookman, M. W., E-mail: brookmanmw@fusion.gat.com; Austin, M. E. [Institute for Fusion Studies, University of Texas at Austin, MS 13-505, 3483 Dunhill St, San Diego, CA 92121-1200 (United States); Petty, C. C. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States)

    2015-12-10

    Theoretical work, computation, and results from TCV [J. Decker “Effect of density fluctuations on ECCD in ITER and TCV,” EPJ Web of Conf. 32, 01016 (2012)] suggest that density fluctuations in the edge region of a tokamak plasma can cause broadening of the ECH deposition profile. In this paper, a GUI tool is presented which is used for analysis of ECH deposition as a first step towards looking for this broadening, which could explain effects seen in previous DIII-D ECH transport studies [K.W. Gentle “Electron energy transport inferences from modulated electron cyclotron heating in DIII-D,” Phys. Plasmas 13, 012311 (2006)]. By applying an FFT to the T{sub e} measurements from the University of Texas’s 40-channel ECE Radiometer, and using a simplified thermal transport equation, the flux surface extent of ECH deposition is determined. The Fourier method analysis is compared with a Break-In-Slope (BIS) analysis and predictions from the ray-tracing code TORAY. Examination of multiple Fourier harmonics and BIS fitting methods allow an estimation of modulated transport coefficients and thereby the true ECH deposition profile. Correlations between edge fluctuations and ECH deposition in legacy data are also explored as a step towards establishing a link between fluctuations and deposition broadening in DIII-D.

  18. Time evolution of the bootstrap current profile in LHD plasmas

    International Nuclear Information System (INIS)

    Nakamura, Yuji; Kawaoto, K.; Watanabe, K.Y.

    2008-10-01

    The direction of the bootstrap current is inverted in the outward shifted plasmas of the Large Helical Device (LHD). In order to verify the reliability of the theoretical models of the bootstrap current in helical plasmas, the rotational transform profiles are observed by the Motional Stark Effect measurement in the bootstrap current carrying plasmas of the LHD, and they are compared with the numerical simulations of the toroidal current profile including the bootstrap current. Since the toroidal current profile is not in the steady state in these plasmas, taking care of the inversely induced component of the toroidal current and finite duration of the resistive diffusion of the toroidal current are important in the numerical simulations. Reasonable agreement can be obtained between the rotational transform profiles measured in the experiments and those calculated in the numerical simulations. (author)

  19. Studies on the propagation of relativistic plasma waves in high density plasmas produced by hypersonic ionizing shock waves

    International Nuclear Information System (INIS)

    Williams, R.L.; Johnson, J.A. III

    1993-01-01

    The feasibility of using an ionizing shock wave to produce high density plasmas suitable for the propagation large amplitude relativistic plasma waves is being investigated. A 20 kv arc driven shock tube of coaxial geometry produces a hypersonic shock wave (10 p > 10 17 cm -3 ). The shock can be made to reflect off the end of the tube, collide with its wake, and thus increase the plasma density further. After reflecting, the plasma is at rest. The shock speed is measured using piezoelectric pressure probes and the ion density is measured using laser induced fluorescence (LIF) techniques on argon 488.0 nm and 422.8 nm lines. The future plans are to excite large amplitude relativistic plasma waves in this plasma by either injecting a short pulse laser (Laser Wake Field Scheme), two beating lasers (Plasma Beat Wave Scheme), or a short bunch of relativistic electrons (Plasma Wake Field Scheme). Results of recent computational and theoretical studies, as well as initial experimental measurements on the plasma using LIF, are reported. Implications for the application of high density plasmas produced in this way to such novel schemes as the plasma wave accelerator, photon accelerator, plasma wave undulator, and also plasma lens, are discussed. The effect of plasma turbulence is also discussed

  20. Measurement of temperature, electric conductivity and density of plasma

    International Nuclear Information System (INIS)

    Vasilevova, I.; Nefedov, A.; Oberman, F.; Urinson, A.

    1982-01-01

    Three instruments are briefly described developed by the High Temperatures Institute of the USSR Academy of Sciences for the measurement of plasma temperature, electric conductivity and density. The temperature measuring instrument uses as a standard a light source whose temperature may significantly differ from plasma temperature because three light fluxes are compared, namely the flux emitted by the plasma, the flux emitted directly by the standard source, and the flux emitted by the standard source after passage through the plasma. The results of measurement are computer processed. Electric conductivity is measured using a coil placed in a probe which is automatically extended for a time of maximally 0.3 seconds into the plasma stream. The equipment for measuring plasma density consists of a special single-channel monochromator, a temperature gauge, a plasma pressure gauge, and of a computer for processing the results of measurement. (Ha)

  1. The Effects of Phytosterols Extracted from Diascorea alata on the Antioxidant Activity, Plasma Lipids, and Hematological Profiles in Taiwanese Menopausal Women

    Directory of Open Access Journals (Sweden)

    Chao-Chin Hsu

    2017-12-01

    Full Text Available The efficacy of phytosterols extracted from Diascorea alata on antioxidant activities, plasma lipids and hematological profiles was assessed in postmenopausal women. Gas chromatography and mass spectrophotometry was employed to determine the steroid content of Taiwanese yam (Diascorea alata cv. Tainung No. 2. A two-center, randomized, double-blind, placebo-controlled clinical investigation on 50 postmenopausal women randomly assigned to two groups treated for 12 months with placebo or two sachets daily of Diascorea extracts containing 12 mg/dose was carried out. The main outcome measures were the plasma antioxidant activities, hematological profiles, and the concentrations of plasma lipids, including cholesterol, triglyceride, low density lipoprotein, high density lipoprotein, very low density lipoprotein,, and apolipoprotein A1 and B. A one-way analysis of covariance (ANCOVA test was performed to investigate the significance. Beta-sitosterol, stigmasterol, 22-23-dihydro-, and γ-sitosterol were major phytosterols determined from Diascorea extracts. At six months in those receiving Diascorea, there were significantly decreased leukocyte counts (p < 0.01 and improvement on antioxidant activity of malondialdehyde (p < 0.001. After 12 months’ treatment, elevations of hematocrit and mean corpuscular volume (p < 0.01 were noted in those receiving Diascorea. Moreover, the low dose Diascorea consumption in menopausal women for one year generally did not present positive effects on lipid profiles.

  2. Core fuelling to produce peaked density profiles in large tokamaks

    International Nuclear Information System (INIS)

    Mikkelsen, D.R.; McGuire, K.M.; Schmidt, G.L.; Zweben, S.J.

    1995-01-01

    Peaking the density profile increases the usable bootstrap current and the average fusion power density; this could reduce the current drive power and increase the net output of power producing tokamaks. The use of neutral beams and pellet injection to produce peaked density profiles is assessed. It is shown that with radially 'hollow' diffusivity profiles (and no particle pinch) moderately peaked density profiles can be produced by particle source profiles that are peaked off-axis. The fuelling penetration requirements can therefore be relaxed and this greatly improves the feasibility of generating peaked density profiles in large tokamaks. In particular, neutral beam fuelling does not require Megavolt particle energies. Even with beam voltages of ∼ 200 keV, however, exceptionally good particle confinement is needed to achieve net electrical power generation. The required ratio of particle to thermal diffusivities is an order of magnitude outside the range reported for tokamaks. In a system with no power production requirement (e.g., neutron sources) neutral beam fuelling should be capable of producing peaked density profiles in devices as large as ITER. Fuelling systems with low energy cost per particle - such as cryogenic pellet injection - must be used in power producing tokamaks when τ P ∼ τ E . Simulations with pellet injection speeds of 7 km/s show that the peaking factor, n e0 / e >, approaches 2. (author). 65 refs, 8 figs

  3. Electron beam manipulation, injection and acceleration in plasma wakefield accelerators by optically generated plasma density spikes

    Energy Technology Data Exchange (ETDEWEB)

    Wittig, Georg; Karger, Oliver S.; Knetsch, Alexander [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Xi, Yunfeng; Deng, Aihua; Rosenzweig, James B. [Particle Beam Physics Laboratory, UCLA, Los Angeles, CA 90095 (United States); Bruhwiler, David L. [RadiaSoft LLC, Boulder, CO 80304 (United States); RadiaBeam Technologies LLC (United States); Smith, Jonathan [Tech-X UK Ltd, Daresbury, Cheshire WA4 4FS (United Kingdom); Sheng, Zheng-Ming; Jaroszynski, Dino A.; Manahan, Grace G. [Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Hidding, Bernhard [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom)

    2016-09-01

    We discuss considerations regarding a novel and robust scheme for optically triggered electron bunch generation in plasma wakefield accelerators [1]. In this technique, a transversely propagating focused laser pulse ignites a quasi-stationary plasma column before the arrival of the plasma wake. This localized plasma density enhancement or optical “plasma torch” distorts the blowout during the arrival of the electron drive bunch and modifies the electron trajectories, resulting in controlled injection. By changing the gas density, and the laser pulse parameters such as beam waist and intensity, and by moving the focal point of the laser pulse, the shape of the plasma torch, and therefore the generated trailing beam, can be tuned easily. The proposed method is much more flexible and faster in generating gas density transitions when compared to hydrodynamics-based methods, and it accommodates experimentalists needs as it is a purely optical process and straightforward to implement.

  4. The implementation of real-time plasma electron density calculations on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.C., E-mail: zzc@ipp.ac.cn; Xiao, B.J.; Wang, F.; Liu, H.Q.; Yuan, Q.P.; Wang, Y.; Yang, Y.

    2016-11-15

    Highlights: • The real-time density calculation system (DCS) has been applied to the EAST 3-wave polarimeter-interferometer (POINT) system. • The new system based on Flex RIO acquires data at high speed and processes them in a short time. • Roll-over module is developed for density calculation. - Abstract: The plasma electron density is one of the most fundamental parameters in tokamak experiment. It is widely used in the plasma control system (PCS) real-time control, as well as plasma physics analysis. The 3-wave polarimeter-interferometer (POINT) system had been used to measure the plasma electron density on the EAST since last campaign. This paper will give the way to realize the real-time measurement of plasma electron density. All intermediate frequency (IF) signals after POINT system, in the 0.5–3 MHz range, stream to the real-time density calculation system (DCS) to extract the phase shift information. All the prototype hardware is based on NI Flex RIO device which contains a high speed Field Programmable Gate Array (FPGA). The original signals are sampled at 10 M Samples/s, and the data after roll-over module are transmitted to PCS by reflective memory (RFM). With this method, real-time plasma electron density data with high accuracy and low noise had been obtained in the latest EAST tokamak experiment.

  5. Local thermodynamic equilibrium in rapidly heated high energy density plasmas

    International Nuclear Information System (INIS)

    Aslanyan, V.; Tallents, G. J.

    2014-01-01

    Emission spectra and the dynamics of high energy density plasmas created by optical and Free Electron Lasers (FELs) depend on the populations of atomic levels. Calculations of plasma emission and ionization may be simplified by assuming Local Thermodynamic Equilibrium (LTE), where populations are given by the Saha-Boltzmann equation. LTE can be achieved at high densities when collisional processes are much more significant than radiative processes, but may not be valid if plasma conditions change rapidly. A collisional-radiative model has been used to calculate the times taken by carbon and iron plasmas to reach LTE at varying densities and heating rates. The effect of different energy deposition methods, as well as Ionization Potential Depression are explored. This work shows regimes in rapidly changing plasmas, such as those created by optical lasers and FELs, where the use of LTE is justified, because timescales for plasma changes are significantly longer than the times needed to achieve an LTE ionization balance

  6. Effects of the light beam bending on the interferometric electron density measurements

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Koyama, K.; Tanimoto, M.; Sugiura, M.

    1980-01-01

    In the measurements of plasma density profile with laser interferometers, the maximum relative errors due to the deflection of laser light caused by steep gradients of the electron density are analytically evaluated. As an example the errors in the measurements of density profile of a plasma focus by using a UV-N 2 laser are estimated. (author)

  7. Fatty acid profile in patients with phenylketonuria and its relationship with bone mineral density.

    Science.gov (United States)

    Lage, Sergio; Bueno, María; Andrade, Fernando; Prieto, José Angel; Delgado, Carmen; Legarda, María; Sanjurjo, Pablo; Aldámiz-Echevarría, Luis Jose

    2010-12-01

    Patients with phenylketonuria (PKU) undergo a restrictive vegan-like diet, with almost total absence of n-3 fatty acids, which have been proposed as potential contributors to bone formation in the healthy population. The PKU diet might lead these patients to bone mass loss and, consequently, to the development of osteopenia/osteoporosis. Therefore, we proposed to analyze their plasma fatty acid profile status and its relationship with bone health. We recruited 47 PKU patients for this cross-sectional study and divided the cohort into three age groups (6-10 years, 11-18 years, 19-42 years). We measured their plasma fatty acid profile and bone mineral density (BMD) (both at the femoral neck and the lumbar spine). Seventy-seven healthy controls also participated as reference values of plasma fatty acids. Docosahexaenoic acid (DHA) and eicosapentaenoic acid (EPA) and total n-3 fatty acids were significantly diminished in PKU patients compared with healthy controls. DHA, EPA, and total n-3 fatty acids were also positively associated with bone mineral density (r = 0.83, p = 0.010; r = 0.57, p = 0.006; r = 0.73, p = 0.040, respectively). There was no association between phenylalanine (Phe), Index of Dietary Control (IDC), calcium, 25-hydroxivitamin D concentrations, daily calcium intake, and BMD. Our results suggest a possible influence of essential fatty acids over BMD in PKU patients. The lack of essential n-3 fatty acids intake in the PKU diet might affect bone mineralization. Further clinical trials are needed to confirm the effect of the n-3 essential fatty acids on bone accrual in a cohort of PKU patients.

  8. Quantitative single shot and spatially resolved plasma wakefield diagnostics

    CERN Document Server

    Kasim, Muhammad Firmansyah; Ceurvorst, Luke; Levy, Matthew C; Ratan, Naren; Sadler, James; Bingham, Robert; Burrows, Philip N; Trines, Raoul; Wing, Matthew; Norreys, Peter

    2015-01-01

    Diagnosing plasma conditions can give great advantages in optimizing plasma wakefield accelerator experiments. One possible method is that of photon acceleration. By propagating a laser probe pulse through a plasma wakefield and extracting the imposed frequency modulation, one can obtain an image of the density modulation of the wakefield. In order to diagnose the wakefield parameters at a chosen point in the plasma, the probe pulse crosses the plasma at oblique angles relative to the wakefield. In this paper, mathematical expressions relating the frequency modulation of the laser pulse and the wakefield density profile of the plasma for oblique crossing angles are derived. Multidimensional particle-in-cell simulation results presented in this paper confirm that the frequency modulation profiles and the density modulation profiles agree to within 10%. Limitations to the accuracy of the measurement are discussed in this paper. This technique opens new possibilities to quantitatively diagnose the plasma wakefie...

  9. Impact of Te and ne on edge current density profiles in ELM mitigated regimes on ASDEX Upgrade

    Science.gov (United States)

    Dunne, M. G.; Rathgeber, S.; Burckhart, A.; Fischer, R.; Giannone, L.; McCarthy, P. J.; Schneider, P. A.; Wolfrum, E.; the ASDEX Upgrade Team

    2015-01-01

    ELM resolved edge current density profiles are reconstructed using the CLISTE equilibrium code. As input, highly spatially and temporally resolved edge electron temperature and density profiles are used in addition to data from the extensive set of external poloidal field measurements available at ASDEX Upgrade, flux loop difference measurements, and current measurements in the scrape-off layer. Both the local and flux surface averaged current density profiles are analysed for several ELM mitigation regimes. The focus throughout is on the impact of altered temperature and density profiles on the current density. In particular, many ELM mitigation regimes rely on operation at high density. Two reference plasmas with type-I ELMs are analysed, one with a deuterium gas puff and one without, in order to provide a reference for the behaviour in type-II ELMy regimes and high density ELM mitigation with external magnetic perturbations at ASDEX Upgrade. For type-II ELMs it is found that while a similar pedestal top pressure is sustained at the higher density, the temperature gradient decreases in the pedestal. This results in lower local and flux surface averaged current densities in these phases, which reduces the drive for the peeling mode. No significant differences between the current density measured in the type-I phase and ELM mitigated phase is seen when external perturbations are applied, though the pedestal top density was increased. Finally, ELMs during the nitrogen seeded phase of a high performance discharge are analysed and compared to ELMs in the reference phase. An increased pedestal pressure gradient, which is the source of confinement improvement in impurity seeded discharges, causes a local current density increase. However, the increased Zeff in the pedestal acts to reduce the flux surface averaged current density. This dichotomy, which is not observed in other mitigation regimes, could act to stabilize both the ballooning mode and the peeling mode at the

  10. Plasma plume induced during laser welding of Magnesium alloys

    International Nuclear Information System (INIS)

    Hoffman, J.; Szymanski, Z.; Azharonok, V.

    2005-01-01

    The laser welding process is influenced by the plasma produced by laser irradiation. When the pressure of the metal vapour reaches 1 atm and the plasma temperature is 10-15 kK then the electron density is about 2-3x10 23 m -3 . Under these conditions the absorption coefficient can reach several cm -1 . This means that dense plasma over the keyhole can block the laser radiation within the path of a few millimetres. Knowledge of plasma parameters helps to control technological process. The emission spectra were registered during laser welding of magnesium alloy using of a CCD camera connected to a spectrograph of focal length 1.3 m. The entrance slit of the spectrograph was perpendicular to the metal surface, so that successive tracks of the detector recorded the radiation from the plasma slices situated at different distances (heights) from the metal surface. The space-averaged electron densities are determined from the Stark broadening of the 5528.41 A Mg I spectral line and 4481.16 A Mg II line. The Stark widths of magnesium lines are taken from other paper. It has been found that the plasma density reaches 1x10 23 m -3 . Experimentally measured line broadening is obtained from the profiles of the spectral lines integrated along the line of sight (plasma diameter) and does not correspond to the maximum plasma density. Since the plasma is non-uniform, both the electron densities and temperatures obtained from spatially integrated line profiles are lower than their maximum values in the plasma centre. This effect is much stronger for the atomic line because its intensity reaches the maximum on the plasma periphery while the maximum intensity of the ionic line originates from the plasma centre. Therefore, the absorption of the laser beam evaluated from the space-averaged plasma parameters is underestimated. To find the maximum plasma density and temperature the radial temperature distribution in the plasma plume has to be reproduced. This has been done numerically by

  11. Study of density jump in helicon-wave induced H2 plasma

    International Nuclear Information System (INIS)

    Jiang Fan; Cheng Xinlu; Xiong Zhenwei; Wu Weidong; Wang Yuying; Gao Yingxue; Dai Yang

    2012-01-01

    Hydrogen plasmas electron density and electron energy distribution function EEDF were studied with Langmuir probe. Two jumps were observed in the variation of the electron density with the radio frequency power. The relative intensity ratio of hydrogen plasmas spectrum line H α , H β and H γ validated this phenomenon. Two density jumps illuminated the transition of discharge mode,which labeled as capacitive, inductive and helicon-wave mode. In this work, the density jumps are explained from two sides, one is the interaction between electrons and hydrogen molecules, the other is Nagoya type III (N-type) antenna-plasma coupling. With the increase of radiofrequency power, the interaction between electron and hydrogen molecule has been enhanced which causes the electron density jumps. The antenna couples well to plasmas when transverse field E y is maximum, and the wave vector of k z locates at π/l a or 3π/l a , corresponding to the first and second density jump. (authors)

  12. Properties of thermal air plasma with admixing of copper and carbon

    International Nuclear Information System (INIS)

    Fesenko, S; Veklich, A; Boretskij, V; Cressault, Y; Gleizes, A; Teulet, Ph

    2014-01-01

    This paper deals with investigations of air plasma with admixing of copper and carbon. Model plasma source unit with real breaking arc was used for the simulation of real discharges, which can be occurred during sliding of Cu-C composite electrodes on copper wire at electromotive vehicles. The complex technique of plasma property studies is developed. From one hand, the radial profiles of temperature and electron density in plasma of electric arc discharge in air between Cu-C composite and copper electrodes in air flow were measured by optical spectroscopy techniques. From another hand, the radial profiles of electric conductivity of plasma mixture were calculated by solution of energy balance equation. It was assumed that the thermal conductivity of air plasma is not depending on copper or carbon vapor admixtures. The electron density is obtained from electric conductivity profiles by calculation in assumption of local thermodynamic equilibrium in plasma. Computed in such way radial profiles of electron density in plasma of electric arc discharge in air between copper electrodes were compared with experimentally measured profiles. It is concluded that developed techniques of plasma diagnostics can be reasonably used in investigations of thermal plasma with copper and carbon vapors

  13. Impurity screening in high density plasmas in tokamaks with a limiter configuration

    International Nuclear Information System (INIS)

    Ferro, C.; Zanino, R.

    1992-01-01

    Impurity screening in high density plasmas in tokamaks with a limiter configuration is investigated by means of a simple semi-analytical model. An iterative scheme is devised, in order to determine self-consistently the values of scrape-off layer thickness, edge electron density and temperature, and main plasma contamination parameter Z eff , as a function of given average electron density and temperature in the main plasma and given input power. The model is applied to the poloidal limiter case of the Frascati Tokamak Upgrade, and results are compared with experimental data. A reasonable agreement between the trends is found, emphasizing the importance of a high edge plasma density for obtaining a clean main plasma in limiter tokamaks. (orig.)

  14. Thickness Evaluation of Pipeline Using Density Profile on a Radiograph

    International Nuclear Information System (INIS)

    Lee, Sung Sik; Jang, Byoung Gyu; Kim, Young H.

    2002-01-01

    The computer simulation has been done for non-insulated and insulated pipes which are vacant or half filled with liquid. The simulation results showed that the density profile on the radiography is continuous and symmetrical around the center of pipe in the case of vacant pipe. On the other hand the density profiles are not symmetrical and depend on geometrical setting for radiography in the case of half filled pipes. Finally, experimental testing on a non-insulated carbon steel pipe with artificial notches of different depth is carried out using Ir-192 and industrial film. Comparing the measured density profile on the radiograph to the calculated one, it has been shown that it is possible to evaluate thickness variation by measuring density profile on a radiograph

  15. Exploration of Plasma Jets Approach to High Energy Density Physics. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Chiping [Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)

    2013-08-26

    High-energy-density laboratory plasma (HEDLP) physics is an emerging, important area of research in plasma physics, nuclear physics, astrophysics, and particle acceleration. While the HEDLP regime occurs at extreme conditions which are often found naturally in space but not on the earth, it may be accessible by colliding high intensity plasmas such as high-energy-density plasma jets, plasmoids or compact toroids from plasma guns. The physics of plasma jets is investigated in the context of high energy density laboratory plasma research. This report summarizes results of theoretical and computational investigation of a plasma jet undergoing adiabatic compression and adiabatic expansion. A root-mean-squared (rms) envelope theory of plasma jets is developed. Comparison between theory and experiment is made. Good agreement between theory and experiment is found.

  16. Effect of ion orbit loss on the structure in the H-mode tokamak edge pedestal profiles of rotation velocity, radial electric field, density, and temperature

    International Nuclear Information System (INIS)

    Stacey, Weston M.

    2013-01-01

    An investigation of the effect of ion orbit loss of thermal ions and the compensating return ion current directly on the radial ion flux flowing in the plasma, and thereby indirectly on the toroidal and poloidal rotation velocity profiles, the radial electric field, density, and temperature profiles, and the interpretation of diffusive and non-diffusive transport coefficients in the plasma edge, is described. Illustrative calculations for a high-confinement H-mode DIII-D [J. Luxon, Nucl. Fusion 42, 614 (2002)] plasma are presented and compared with experimental results. Taking into account, ion orbit loss of thermal ions and the compensating return ion current is found to have a significant effect on the structure of the radial profiles of these quantities in the edge plasma, indicating the necessity of taking ion orbit loss effects into account in interpreting or predicting these quantities

  17. Direct evidence of plasma - density structuring in the auroral F-region ionosphere

    International Nuclear Information System (INIS)

    Tsunoda, R.T.; Haeggstroem, I.; Pellinen-Wannberg, A.; Steen, Aa.; Wannberg, G.

    1985-03-01

    We investigate the hypothesis that large-scale plasma-density enhancements found in the auroral F layer become structured via a magnetic-flux-tube interchange (MFTI) process. In such a process, plasma structure is produced when spatially irregular electric fields transport higher number-density plasma into a region containing lower number-density plasma, and vice versa. Direct experimental evidence of this process can be obtained by measuring concurrently the spatial distributions of F-region plasma density and electric field. Using the tristatic EISCAT radar facility, we measured these quantities in a two-dimensional plane transverse to the geomagnetic field, at 300-km altitude. We show, in a case study, that plasma-density structure found along the poleward wall of a blob was indeed accompanied by similar-scale variations in the ionospheric electric field, and that the sense of relative motion between high- and low-number-density plasma is consistent with ongoing structuring of the plasma via a MFTI process. From the estimated growth rate of 3 x 10 -3 s -1 , the observed plasma structure could have been produced in several minutes by the irregular electic field pattern. The source of the MFTI process, however, is not clear. The MFTI process did not appear to be driven by F-region polarization electric fields, a conclusion based on (1) the apparent lack of inverse correlation between plasma density and 'slip' velocity patterns, and (2) the positive growth rate found along the poleward wall of the blob in the presence of a westward Pedersen current. This conclusion excludes (at least for this data set) the gradient-drift and current-convective instabilities as primary sources of the ongoing structuring process. (Author)

  18. Parallel Transport and Profile of Boundary Plasma with a Low Recycling Wall

    Energy Technology Data Exchange (ETDEWEB)

    Tang, X.; Guo, Z., E-mail: xtang@lanl.gov [Los Alamos National Laboratory, Los Alamos (United States)

    2012-09-15

    Full text: Reduction of wall recycling by, for example, a flowing liquid surface at the divertor and first wall, holds the promise of accessing the distinct tokamak reactor operational mode with boundary plasmas of high temperature and low density. Earlier work has indicated that such a boundary plasma would reduce the temperature gradient across the entire plasma and hence remove the primary micro-instability drive responsibly for anomalous particle and energy transport. Here we present a systematic study solving the kinetic equations both analytically and numerically, with and without Coulomb collision. The distinct roles of magnetic field strength modulation and the ambipolar electric field on the electron and ion distribution functions are clarified. The resulting behavior on plasma profile and parallel heat flux, which are often surprising and counter the expectations from the collisional fluid models, on which previous work were based, are explained both intuitively and with a contrast between analytical calculation and numerical simulations. The transport-induced plasma instabilities, and their essential role in maintaining ambipolarity, are clarified, along with the subtle effect of Coulomb collision on electron temperature and wall potential as small but finite collisionality is taken into account. (author)

  19. MICROWAVE INTERACTIONS WITH INHOMOGENEOUS PARTIALLY IONIZED PLASMA

    Energy Technology Data Exchange (ETDEWEB)

    Kritz, A. H.

    1962-11-15

    Microwave interactions with inhomogeneous plasmas are often studied by employing a simplified electromagnetic approach, i.e., by representing the effects of the plasma by an effective dielectric coefficient. The problems and approximations associated with this procedure are discussed. The equation describing the microwave field in an inhomogeneous partially ionized plasma is derived, and the method that is applied to obtain the reflected, transmitted, and absorbed intensities in inhomogeneous plasmas is presented. The interactions of microwaves with plasmas having Gaussian electron density profiles are considered. The variation of collision frequency with position is usually neglected. In general, the assumption of constant collision frequency is not justified; e.g., for a highly ionized plasma, the electron density profile determines, in part, the profile of the electron-ion collision frequency. The effect of the variation of the collision frequency profile on the interaction of microwaves with inhomogeneous plasmas is studied in order to obtain an estimate of the degree of error that may result when constant collision frequency is assumed instead of a more realistic collision frequency profile. It is shown that the degree of error is of particular importance when microwave analysis is used as a plasma diagnostic. (auth)

  20. Analysis of Rotation and Transport Data in C-Mod ITB Plasmas

    Science.gov (United States)

    Fiore, C. L.; Rice, J. E.; Reinke, M. L.; Podpaly, Y.; Bespamyatnov, I. O.; Rowan, W. L.

    2009-11-01

    Internal transport barriers (ITBs) spontaneously form near the half radius of Alcator C-Mod plasmas when the EDA H-mode is sustained for several energy confinement times in either off-axis ICRF heated discharges or in purely ohmic heated plasmas. These plasmas exhibit strongly peaked density and pressure profiles, static or peaking temperature profiles, peaking impurity density profiles, and thermal transport coefficients that approach neoclassical values in the core. It has long been observed that the intrinsic central plasma rotation that is strongly co-current following the H-mode transition slows and often reverses as the density peaks as the ITB forms. Recent spatial measurements demonstrate that the rotation profile develops a well in the core region that decreases continuously as central density rises while the value outside of the core remains strongly co-current. This results in the formation of a steep potential gradient/strong electric field at the location of the foot of the ITB density profile. The resulting E X B shearing rate is also quite significant at the foot. These analyses and the implications for plasma transport and stability will be presented.

  1. Workshop on extremely high energy density plasmas and their diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Ishii, Shozo (ed.)

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  2. Workshop on extremely high energy density plasmas and their diagnostics

    International Nuclear Information System (INIS)

    Ishii, Shozo

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  3. Time resolved two- and three-dimensional plasma diagnostics

    International Nuclear Information System (INIS)

    1991-03-01

    This collection of papers on diagnostics in fusion plasmas contains work on the data analysis of inverse problems and on the experimental arrangements presently used to obtain spatially and temporally resolved plasma radial profiles, including electron and ion temperature, plasma density and plasma current profiles. Refs, figs and tabs

  4. Electric field spikes formed by electron beam endash plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-01-01

    In the electron beam endash plasma interaction at an electric double layer the beam density is much higher than in the classical beam endash plasma experiments. The wave propagation takes place along the density gradient that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp open-quotes spikeclose quotes with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward traveling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. copyright 1997 American Institute of Physics

  5. Electron density profile in multilayer systems

    International Nuclear Information System (INIS)

    Toekesi, K.

    2004-01-01

    Complete text of publication follows. Electron energy loss spectroscopy (EELS) has been used extensively to study the multilayer systems, where the thickness of layers are in the nanometer range. These studies has received considerable attention because of its technological interest, for example in the nanotechnology. On the most fundamental level, its importance is derived from the basic physics that is involved. One key quantities of interest is the response of a many-body system to an external perturbation: How act and how modify the interface between the solid-solid or solid-vacuum the excitations in the solid and in the vicinity of the interfaces. In this work, as a starting point of such investigations we calculated the electron density profile for multilayer systems. Our approach employs the time-dependent density functional theory (TDDFT), that is, the solution of a time-dependent Schroedinger equation in which the potential and forces are determined selfconsistently from the dynamics governed by the Schroedinger equation. We treat the problem in TDDFT at the level of the local-density approximation (LDA). Later, the comparison of experimentally obtained loss functions and the theory, based on our TDDFT calculations can provide deeper understanding of surface physics. We performed the calculations for half-infinite samples characterized by r s =1.642 and r s =1.997. We also performed the calculations for double layer systems. The substrate was characterized by r s =1.997 and the coverage by r s =1.642. Fig. 1. shows the obtained electron density profile in LDA approximation. Because of the sharp cutoff of electronic wave vectors at the Fermi surface, the densities in the interior exhibit slowly decaying Friedel oscillations. To highlight the Friedel oscillation we enlarged the electron density profile in Fig. 1a. and Fig. 1b. The work was supported by the Hungarian Scientific Research Found: OTKA No. T038016, the grant 'Bolyai' from the Hungarian Academy of

  6. Plasma hormonal profiles and dendritic spine density and morphology in the hippocampal CA1 stratum radiatum, evidenced by light microscopy, of virgin and postpartum female rats.

    Science.gov (United States)

    Brusco, Janaína; Wittmann, Raul; de Azevedo, Márcia S; Lucion, Aldo B; Franci, Celso R; Giovenardi, Márcia; Rasia-Filho, Alberto A

    2008-06-27

    Successful reproduction requires that changes in plasma follicle-stimulating hormone (FSH), luteinizing hormone (LH), prolactin (PRL), oxytocin (OT), estrogen (E(2)) and progesterone (P(4)) occur together with the display of maternal behaviors. Ovarian steroids and environmental stimuli can affect the dendritic spines in the rat hippocampus. Here, studying Wistar rats, it is described: (a) the sequential and concomitant changes in the hormonal profile of females at postpartum days (PP) 4, 8, 12, 16, 20 and 24, comparing to estrous cycle referential values; (b) the dendritic spine density in the stratum radiatum of CA1 (CA1-SR) Golgi-impregnated neurons in virgin females across the estrous cycle and in multiparous age-matched ones; and (c) the proportion of different types of spines in the CA1-SR of virgin and postpartum females, both in diestrus. Plasma levels of gonadotrophins and ovarian hormones remained low along PP while LH increased and PRL decreased near the end of the lactating period. The lowest dendritic spine density was found in virgin females in estrus when compared to diestrus and proestrus phases or to postpartum females in diestrus (p0.4). There were no differences in the proportions of the different spine types in nulliparous and postpartum females (p>0.2). Results suggest that medium layer CA1-SR spines undergo rapid modifications in Wistar females across the estrous cycle (not quite comparable to Sprague-Dawley data or to hormonal substitutive therapy following ovariectomy), but persistent effects of motherhood on dendritic spine density and morphology were not found in this area.

  7. One-dimensional time-dependent fluid model of a very high density low-pressure inductively coupled plasma

    Science.gov (United States)

    Chaplin, Vernon H.; Bellan, Paul M.

    2015-12-01

    A time-dependent two-fluid model has been developed to understand axial variations in the plasma parameters in a very high density (peak ne≳ 5 ×1019 m-3 ) argon inductively coupled discharge in a long 1.1 cm radius tube. The model equations are written in 1D with radial losses to the tube walls accounted for by the inclusion of effective particle and energy sink terms. The ambipolar diffusion equation and electron energy equation are solved to find the electron density ne(z ,t ) and temperature Te(z ,t ) , and the populations of the neutral argon 4s metastable, 4s resonant, and 4p excited state manifolds are calculated to determine the stepwise ionization rate and calculate radiative energy losses. The model has been validated through comparisons with Langmuir probe ion saturation current measurements; close agreement between the simulated and measured axial plasma density profiles and the initial density rise rate at each location was obtained at pA r=30 -60 mTorr . We present detailed results from calculations at 60 mTorr, including the time-dependent electron temperature, excited state populations, and energy budget within and downstream of the radiofrequency antenna.

  8. Formation and termination of High ion temperature mode in Heliotron/torsatron plasmas

    International Nuclear Information System (INIS)

    Ida, K.; Kondo, K.; Nagasaki, K.

    1997-01-01

    Physics of the formation and termination of High ion temperature mode (high T i mode) are studied by controlling density profiles and radial electric field. High ion temperature mode is observed for neutral beam heated plasmas in Heliotron/torsatron plasmas (Heliotron-E). This high T i mode plasma is characterized by a peaked ion temperature profile and is associated with a peaked electron density profile produced by neutral beam fueling with low wall recycling. This high T i mode is terminated by flattening the electron density caused by either gas puffing or second harmonic ECH (core density 'pump-out'). (author)

  9. Plasma profile evolution during disruption mitigation via massive gas injection on MAST

    Science.gov (United States)

    Thornton, A. J.; Gibson, K. J.; Chapman, I. T.; Harrison, J. R.; Kirk, A.; Lisgo, S. W.; Lehnen, M.; Martin, R.; Scannell, R.; Cullen, A.; the MAST Team

    2012-06-01

    Massive gas injection (MGI) is one means of ameliorating disruptions in future devices such as ITER, where the stored energy in the plasma is an order of magnitude larger than in present-day devices. The penetration of the injected impurities during MGI in MAST is diagnosed using a combination of high-speed (20 kHz) visible imaging and high spatial (1 cm) and temporal (0.1 ms) resolution Thomson scattering (TS) measurements of the plasma temperature and density. It is seen that the rational surfaces, in particular q = 2, are the critical surfaces for disruption mitigation. The TS data shows the build-up of density on rational surfaces in the edge cooling period of the mitigation, leading to the collapse of the plasma in a thermal quench. The TS data are confirmed by the visible imaging, which shows filamentary structures present at the start of the thermal quench. The filamentary structures have a topology which matches that of a q = 2 field line in MAST, suggesting that they are located on the q = 2 surface. Linearized magnetohydrodynamic stability analysis using the TS profiles suggests that the large density build-up on the rational surfaces drives modes within the plasma which lead to the thermal quench. The presence of such modes is seen experimentally in the form of magnetic fluctuations on Mirnov coils and the growth of an n = 1 toroidal mode in the period prior to the thermal quench. These results support the observations of other machines that the 2/1 mode is the likely trigger for the thermal quench in a mitigated disruption and suggests that the mitigation process in spherical tokamaks is similar to that in conventional aspect ratio devices.

  10. Plasma profile evolution during disruption mitigation via massive gas injection on MAST

    International Nuclear Information System (INIS)

    Thornton, A.J.; Chapman, I.T.; Harrison, J.R.; Kirk, A.; Martin, R.; Scannell, R.; Cullen, A.; Gibson, K.J.; Lisgo, S.W.; Lehnen, M.

    2012-01-01

    Massive gas injection (MGI) is one means of ameliorating disruptions in future devices such as ITER, where the stored energy in the plasma is an order of magnitude larger than in present-day devices. The penetration of the injected impurities during MGI in MAST is diagnosed using a combination of high-speed (20 kHz) visible imaging and high spatial (1 cm) and temporal (0.1 ms) resolution Thomson scattering (TS) measurements of the plasma temperature and density. It is seen that the rational surfaces, in particular q = 2, are the critical surfaces for disruption mitigation. The TS data shows the build-up of density on rational surfaces in the edge cooling period of the mitigation, leading to the collapse of the plasma in a thermal quench. The TS data are confirmed by the visible imaging, which shows filamentary structures present at the start of the thermal quench. The filamentary structures have a topology which matches that of a q = 2 field line in MAST, suggesting that they are located on the q = 2 surface. Linearized magnetohydrodynamic stability analysis using the TS profiles suggests that the large density build-up on the rational surfaces drives modes within the plasma which lead to the thermal quench. The presence of such modes is seen experimentally in the form of magnetic fluctuations on Mirnov coils and the growth of an n = 1 toroidal mode in the period prior to the thermal quench. These results support the observations of other machines that the 2/1 mode is the likely trigger for the thermal quench in a mitigated disruption and suggests that the mitigation process in spherical tokamaks is similar to that in conventional aspect ratio devices. (paper)

  11. Automated Processing of ISIS Topside Ionograms into Electron Density Profiles

    Science.gov (United States)

    Reinisch, bodo W.; Huang, Xueqin; Bilitza, Dieter; Hills, H. Kent

    2004-01-01

    as a result of this project, has stimulated a multitude of new studies directed towards a better description and prediction of the topside ionosphere. Marinov et al. (2004) developed a new model for the upper ion transition height (Oxygen to Hydrogen and Helium) and Bilitza (2004) deduced a correction term for the I N topside electron density model. Kutiev et al. (2005) used this data to develop a new model for the topside ionosphere scale height (TISH) as a function of month, local time, latitude, longitude and solar flux F10.7. Comparisons by Belehaki et al. (2005) show that TISH is in general agreement with scale heights deduced from ground ionosondes but the model predicts post-midnight and afternoon maxima whereas the ionosonde data show a noon maximum. Webb and Benson (2005) reported on their effort to deduce changes in the plasma temperature and ion composition from changes in the topside electron density profile as recorded by topside sounders. Limitations and possible improvements of the IRI topside model were discussed by Coisson et al. (2005) including also the possible use of the NeQuick model, Our project progressed in close collaboration and coordination with the GSFC team involved in the ISIS digitization effort. The digitization project was highly successful producing a large amount of digital topside ionograms. Several no-cost extensions of the TOPIST project were necessary to keep up with the pace and volume of the digitization effort.

  12. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  13. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  14. The text neutral lithium beam edge density diagnostic

    International Nuclear Information System (INIS)

    Howald, A.M.; McChesney, J.M.; West, W.P.

    1994-07-01

    A fast neutral lithium beam has been installed on the TEXT tokamak for Beam Emission Spectroscopy (BES) studies of the edge plasma electron density profile. The diagnostic was recently upgraded from ten to twenty spatial channels, each of which has two detectors, one to measure lithium beam signal and one to monitor plasma background light. The spatial resolution is 6 mm, and the temporal resolution is designed to be as high as 10 ms for studies of transient events including plasma density fluctuations. Initial results are presented from the ten-channel system: Edge electron densities unfolded from the LiI(2 s 2 S - 2 p 2 P) 670.8 nm emission profile have the same general time dependence as the line-averaged density measured by microwave interferometry

  15. Potential formation and confinement in high density plasma on the GAMMA 10 tandem mirror

    International Nuclear Information System (INIS)

    Yatsu, K.

    2002-01-01

    After the attainment of doubling of the density due to the potential confinement, GAMMA 10 experiments have been directed to realization of a high density plasma and also to study dependence of the confining potential and confinement time on the plasma density. These problems are important to understand the physics of potential formation in tandem mirrors and also for the development of a tandem mirror reactor. We reported high density plasma production by using an ion cyclotron range of frequency heating at a high harmonic frequency in the last IAEA Conference. However, the diamagnetic signal of the high density plasma decreased when electron cyclotron resonance heating (ECRH) was applied due to some instabilities. Recently, the high density plasma production was much improved by adjusting the spacing of the conducting plates installed in the anchor transition regions, which enabled us to produce a high density plasma without degradation of the diamagnetic signal with ECRH and also to study the density dependence. In this paper we report production of a high density plasma and dependence of the confining potential and the confinement time on the density. (author)

  16. Measurement of central nickel density in Doublet III plasmas with a soft x-ray diode array

    International Nuclear Information System (INIS)

    Groebner, R.J.; Jahns, G.L.; Ejima, S.; Hsieh, C.L.

    1985-01-01

    An array of soft x-ray diodes has been used to obtain central nickel densities for discharges in the Doublet III tokamak, during operation with an inconel primary limiter, in which nickel L-line radiation dominated the diode signals. The nature of the diode signals is determined primarily by comparison with soft x-ray spectra. The contribution of the continuum portion of the spectra to the central diode signal can be calculated and compared to the observed signal. When the diode signal is dominated by nickel L-line emission, the observed signal is considerably larger than the calculated continuum contribution. Chordal data from the array of diodes are inverted to provide the spatial profile of soft x-ray emission. Because the diodes are absolute detectors of radiation, the soft x-ray emission profile is used to obtain the absolute nickel concentration and density profile in the center of the plasma. A computer code, including over 100 nickel L-line transitions, has been developed to obtain the nickel density. The nickel L-line cooling rate, calculated with the code, is presented. The nickel density obtained by this technique agrees well with that obtained from the K/sub α/ line intensity measured with a soft x-ray spectrometer and that obtained from a bolometric measurement of central radiated power coupled with a coronal equilibrium model of the radiation

  17. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    Energy Technology Data Exchange (ETDEWEB)

    Young, Bruce Kai Fong

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub ..cap alpha..//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub ..gamma..//He/sub ..beta../'' and ''He/sub delta

  18. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    International Nuclear Information System (INIS)

    Young, Bruce Kai Fong.

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub α//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub γ//He/sub β/'' and ''He/sub δ//He/sub β/'' helium-like resonance line intensity ratios

  19. TPSS plasma engineering studies: profile effects and plasma power balance

    International Nuclear Information System (INIS)

    Borowski, S.K.; Strickler, D.J.

    1985-01-01

    A computationally fast profile-averaged, steady-state plasma model has been developed to allow studies of radial effects in ignited and driven tokamak systems. This code was used to search for the smallest size tokamak consistent with power balance calculations

  20. A simulation of equatorial plasma bubble signatures on the OI 6300A nightglow meridional profile over Brazilian low latitude

    International Nuclear Information System (INIS)

    Nakamura, Y.; Sobral, J.H.A.; Abdu, M.A.

    1981-11-01

    A quantitative interpretation of the meridional propagation of the airglow disturbance for events that have their onsets well westward of the photometer observing longitude, representing the post growth phase of a bubble, is attempted by carrying out a numerical simulation of the phenomenon. Airglow intensity as a function of zenith angle in the photometer scanning range was calculated using electron density profiles perturbed by field aligned plasma bubble whose vertical velocity and electron density depletion profile were determined from a numerical simulation of the nonlinear Rayleigh-Taylor instability under ionospheric conditions that best represented those of the observing period and location. (L.C.) [pt

  1. Ghrelin plasma levels, gastric ghrelin cell density and bone mineral density in women with rheumatoid arthritis.

    Science.gov (United States)

    Maksud, F A N; Kakehasi, A M; Guimarães, M F B R; Machado, C J; Barbosa, A J A

    2017-05-18

    Generalized bone loss can be considered an extra-articular manifestation of rheumatoid arthritis (RA) that may lead to the occurrence of fractures, resulting in decreased quality of life and increased healthcare costs. The peptide ghrelin has demonstrated to positively affect osteoblasts in vitro and has anti-inflammatory actions, but the studies that correlate ghrelin plasma levels and RA have contradictory results. We aimed to evaluate the correlation between total ghrelin plasma levels, density of ghrelin-immunoreactive cells in the gastric mucosa, and bone mineral density (BMD) in twenty adult women with established RA with 6 months or more of symptoms (mean age of 52.70±11.40 years). Patients with RA presented higher ghrelin-immunoreactive cells density in gastric mucosa (P=0.008) compared with healthy females. There was a positive relationship between femoral neck BMD and gastric ghrelin cell density (P=0.007). However, these same patients presented a negative correlation between plasma ghrelin levels and total femoral BMD (P=0.03). The present results indicate that ghrelin may be involved in bone metabolism of patients with RA. However, the higher density of ghrelin-producing cells in the gastric mucosa of these patients does not seem to induce a corresponding elevation in the plasma levels of this peptide.

  2. Ghrelin plasma levels, gastric ghrelin cell density and bone mineral density in women with rheumatoid arthritis

    Directory of Open Access Journals (Sweden)

    F.A.N. Maksud

    Full Text Available Generalized bone loss can be considered an extra-articular manifestation of rheumatoid arthritis (RA that may lead to the occurrence of fractures, resulting in decreased quality of life and increased healthcare costs. The peptide ghrelin has demonstrated to positively affect osteoblasts in vitro and has anti-inflammatory actions, but the studies that correlate ghrelin plasma levels and RA have contradictory results. We aimed to evaluate the correlation between total ghrelin plasma levels, density of ghrelin-immunoreactive cells in the gastric mucosa, and bone mineral density (BMD in twenty adult women with established RA with 6 months or more of symptoms (mean age of 52.70±11.40 years. Patients with RA presented higher ghrelin-immunoreactive cells density in gastric mucosa (P=0.008 compared with healthy females. There was a positive relationship between femoral neck BMD and gastric ghrelin cell density (P=0.007. However, these same patients presented a negative correlation between plasma ghrelin levels and total femoral BMD (P=0.03. The present results indicate that ghrelin may be involved in bone metabolism of patients with RA. However, the higher density of ghrelin-producing cells in the gastric mucosa of these patients does not seem to induce a corresponding elevation in the plasma levels of this peptide.

  3. High frequency electric field spikes formed by electron beam-plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-02-01

    In the electron beam-plasma interaction at an electric double layer the beam density is much higher than in the classical beam-plasma experiments. The wave propagation takes place along the density gradient, that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp 'spike' with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward travelling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. 9 refs

  4. Plasma confinement using biased electrode in the TCABR tokamak

    International Nuclear Information System (INIS)

    Nascimento, I.C.; Kuznetsov, Y.K.; Severo, J.H.F.; Fonseca, A.M.M.; Elfimov, A.; Bellintani, V.; Heller, M.V.A.P.; Galvao, R.M.O.; Sanada, E.K.; Elizondo, J.I.; Machida, M.

    2005-01-01

    Experimental data obtained on the TCABR tokamak (R = 0.61 m, r = 0.18 m) with an electrally polarized electrode, placed at r = 0.16 m, is reported in this paper. The experiment was performed with plasma current of 90 kA (q 3.1), and hydrogen gas injection adjusted for keeping the electron density at 1.0x10(19) m(-3) without bias. Temporal and radial profiles of plasma parameters with and without bias were measured. The comparison of the profiles shows an increase of the density, up to a maximum factor of 2.6, while H-alpha hydrogen spectral line intensity decreases, and the CIII impurity stays on the same level. The analysis of temporal and radial profiles of plasma parameters indicates that the confined plasma entered in the H-mode regime. The data analysis shows a maximum enhanced confinement factor of 1.95, decaying to 1.5 at the maximum of the density, in comparison with predicted Neo-Alcator scaling law values. Indications of transient increase of the density gradient near the plasma edge were obtained with measurements of density profiles. Calculations of turbulence and transport at the plasma edge, using measured floating potentials and ion saturation currents, show strong decrease in the power spectra and transport. Bifurcation was not observed, and the decrease in the saturation current occurs in 50 microseconds. (author)

  5. Stationary Density Variation Produced by a Standing Plasma Wave

    DEFF Research Database (Denmark)

    Michelsen, Poul; Pécseli, Hans; Juul Rasmussen, Jens

    1977-01-01

    Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field.......Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field....

  6. Assessment of plasma impedance probe for measuring electron density and collision frequency in a plasma with spatial and temporal gradients

    International Nuclear Information System (INIS)

    Hopkins, Mark A.; King, Lyon B.

    2014-01-01

    Numerical simulations and experimental measurements were combined to determine the ability of a plasma impedance probe (PIP) to measure plasma density and electron collision frequency in a plasma containing spatial gradients as well as time-varying oscillations in the plasma density. A PIP is sensitive to collision frequency through the width of the parallel resonance in the Re[Z]-vs.-frequency characteristic, while also being sensitive to electron density through the zero-crossing of the Im[Z]-vs.-frequency characteristic at parallel resonance. Simulations of the probe characteristic in a linear plasma gradient indicated that the broadening of Re[Z] due to the spatial gradient obscured the broadening due to electron collision frequency, preventing a quantitative measurement of the absolute collision frequency for gradients considered in this study. Simulation results also showed that the PIP is sensitive to relative changes in electron collision frequency in a spatial density gradient, but a second broadening effect due to time-varying oscillations made collision frequency measurements impossible. The time-varying oscillations had the effect of causing multiple zero-crossings in Im[Z] at parallel resonance. Results of experiments and simulations indicated that the lowest-frequency zero-crossing represented the lowest plasma density in the oscillations and the highest-frequency zero-crossing represented the highest plasma density in the oscillations, thus the PIP probe was found to be an effective tool to measure both the average plasma density as well as the maximum and minimum densities due to temporal oscillations

  7. Tractable flux-driven temperature, density, and rotation profile evolution with the quasilinear gyrokinetic transport model QuaLiKiz

    Science.gov (United States)

    Citrin, J.; Bourdelle, C.; Casson, F. J.; Angioni, C.; Bonanomi, N.; Camenen, Y.; Garbet, X.; Garzotti, L.; Görler, T.; Gürcan, O.; Koechl, F.; Imbeaux, F.; Linder, O.; van de Plassche, K.; Strand, P.; Szepesi, G.; Contributors, JET

    2017-12-01

    Quasilinear turbulent transport models are a successful tool for prediction of core tokamak plasma profiles in many regimes. Their success hinges on the reproduction of local nonlinear gyrokinetic fluxes. We focus on significant progress in the quasilinear gyrokinetic transport model QuaLiKiz (Bourdelle et al 2016 Plasma Phys. Control. Fusion 58 014036), which employs an approximated solution of the mode structures to significantly speed up computation time compared to full linear gyrokinetic solvers. Optimisation of the dispersion relation solution algorithm within integrated modelling applications leads to flux calculations × {10}6-7 faster than local nonlinear simulations. This allows tractable simulation of flux-driven dynamic profile evolution including all transport channels: ion and electron heat, main particles, impurities, and momentum. Furthermore, QuaLiKiz now includes the impact of rotation and temperature anisotropy induced poloidal asymmetry on heavy impurity transport, important for W-transport applications. Application within the JETTO integrated modelling code results in 1 s of JET plasma simulation within 10 h using 10 CPUs. Simultaneous predictions of core density, temperature, and toroidal rotation profiles for both JET hybrid and baseline experiments are presented, covering both ion and electron turbulence scales. The simulations are successfully compared to measured profiles, with agreement mostly in the 5%-25% range according to standard figures of merit. QuaLiKiz is now open source and available at www.qualikiz.com.

  8. Centrifugal mass separation in rotating plasmas produced by a coaxial plasma gun

    International Nuclear Information System (INIS)

    Ikehata, T.; Suzuki, M.; Tanabe, T.; Mase, H.

    1989-01-01

    Rotating Cu/Zn plasmas produced by a coaxial plasma gun have been applied to plasma centrifuge. A separation factor of up to 10 is measured over a radius of 4 cm when a current of 13 kA and an axial magnetic field of 2.5 kG are applied. Plasma parameters are: rotation frequency ω=1.1x10 6 rad/s, density n∼10 15 cm -3 , and ion temperature T i =10 eV. The separation factor of 2 is attained even in the plasma core where the density is higher than one-half of the peak value. This is attributed to the fact that a strong centrifugal force forms a hollow density profile which gives the density peak at a radius of 2 cm

  9. Effects of pressure anisotropy on plasma transport

    International Nuclear Information System (INIS)

    Zawaideh, E.; Najmabadi, F.; Conn, R.W.

    1986-03-01

    In a recent paper a new set of generalized two-field equations is derived which describes plasma transport along the field lines of a space and time dependent magnetic field. These equations are valid for collisional to weakly collisional plasmas; they reduce to the conventional fluid equations of Braginskii for highly collisional plasmas. An important feature of these equations is that the anisotropy in the ion pressure is explicitly included. In this paper, these generalized transport equations are applied to a model problem of plasma flow through a magnetic mirror field. The profiles of the plasma parameters (density, flow speed, and pressures) are numerically calculated for plasma in different collisionality regimes. These profiles are explained by examining the competing terms in the transport equation. The pressure anisotropy is found to profoundly impact the plasma flow behavior. As a result, the new generalized equations predict flow behavior more accurately than the conventional transport equations. A large density and pressure drop is predicted as the flow passes through a magnetic mirror. Further, the new equations uniquely predict oscillations in the density profile, an effect missing in results from the conventional equations

  10. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  11. Electon density profiles of the topside ionosphere

    Directory of Open Access Journals (Sweden)

    D. Bilitza

    2002-06-01

    Full Text Available The existing uncertainties about the electron density profiles in the topside ionosphere, i.e., in the height region from h m F 2 to ~ 2000 km, require the search for new data sources. The ISIS and Alouette topside sounder satellites from the sixties to the eighties recorded millions of ionograms but most were not analyzed in terms of electron density profiles. In recent years an effort started to digitize the analog recordings to prepare the ionograms for computerized analysis. As of November 2001 about 350 000 ionograms have been digitized from the original 7-track analog tapes. These data are available in binary and CDF format from the anonymous ftp site of the National Space Science Data Center. A search site and browse capabilities on CDAWeb assist the scientific usage of these data. All information and access links can be found at http://nssdc.gsfc.nasa.gov/space/isis/isis-status. html. This paper describes the ISIS data restoration effort and shows how the digital ionograms are automatically processed into electron density profiles from satellite orbit altitude (1400 km for ISIS-2 down to the F peak. Because of the large volume of data an automated processing algorithm is imperative. The TOPside Ionogram Scaler with True height algorithm TOPIST software developed for this task is successfully scaling ~ 70% of the ionograms. An «editing process» is available to manually scale the more difficult ionograms. The automated processing of the digitized ISIS ionograms is now underway, producing a much-needed database of topside electron density profiles for ionospheric modeling covering more than one solar cycle.

  12. Density limit experiments on FTU

    International Nuclear Information System (INIS)

    Pucella, G.; Tudisco, O.; Apicella, M.L.; Apruzzese, G.; Artaserse, G.; Belli, F.; Boncagni, L.; Botrugno, A.; Buratti, P.; Calabrò, G.; Castaldo, C.; Cianfarani, C.; Cocilovo, V.; Dimatteo, L.; Esposito, B.; Frigione, D.; Gabellieri, L.; Giovannozzi, E.; Bin, W.; Granucci, G.

    2013-01-01

    One of the main problems in tokamak fusion devices concerns the capability to operate at a high plasma density, which is observed to be limited by the appearance of catastrophic events causing loss of plasma confinement. The commonly used empirical scaling law for the density limit is the Greenwald limit, predicting that the maximum achievable line-averaged density along a central chord depends only on the average plasma current density. However, the Greenwald density limit has been exceeded in tokamak experiments in the case of peaked density profiles, indicating that the edge density is the real parameter responsible for the density limit. Recently, it has been shown on the Frascati Tokamak Upgrade (FTU) that the Greenwald density limit is exceeded in gas-fuelled discharges with a high value of the edge safety factor. In order to understand this behaviour, dedicated density limit experiments were performed on FTU, in which the high density domain was explored in a wide range of values of plasma current (I p = 500–900 kA) and toroidal magnetic field (B T = 4–8 T). These experiments confirm the edge nature of the density limit, as a Greenwald-like scaling holds for the maximum achievable line-averaged density along a peripheral chord passing at r/a ≃ 4/5. On the other hand, the maximum achievable line-averaged density along a central chord does not depend on the average plasma current density and essentially depends on the toroidal magnetic field only. This behaviour is explained in terms of density profile peaking in the high density domain, with a peaking factor at the disruption depending on the edge safety factor. The possibility that the MARFE (multifaced asymmetric radiation from the edge) phenomenon is the cause of the peaking has been considered, with the MARFE believed to form a channel for the penetration of the neutral particles into deeper layers of the plasma. Finally, the magnetohydrodynamic (MHD) analysis has shown that also the central line

  13. Electron density measurement of non-equilibrium atmospheric pressure plasma using dispersion interferometer

    Science.gov (United States)

    Yoshimura, Shinji; Kasahara, Hiroshi; Akiyama, Tsuyoshi

    2017-10-01

    Medical applications of non-equilibrium atmospheric plasmas have recently been attracting a great deal of attention, where many types of plasma sources have been developed to meet the purposes. For example, plasma-activated medium (PAM), which is now being studied for cancer treatment, has been produced by irradiating non-equilibrium atmospheric pressure plasma with ultrahigh electron density to a culture medium. Meanwhile, in order to measure electron density in magnetic confinement plasmas, a CO2 laser dispersion interferometer has been developed and installed on the Large Helical Device (LHD) at the National Institute for Fusion Science, Japan. The dispersion interferometer has advantages that the measurement is insensitive to mechanical vibrations and changes in neutral gas density. Taking advantage of these properties, we applied the dispersion interferometer to electron density diagnostics of atmospheric pressure plasmas produced by the NU-Global HUMAP-WSAP-50 device, which is used for producing PAM. This study was supported by the Grant of Joint Research by the National Institutes of Natural Sciences (NINS).

  14. Effect of plasma distribution on propulsion performance in electrodeless plasma thrusters

    Science.gov (United States)

    Takao, Yoshinori; Takase, Kazuki; Takahashi, Kazunori

    2016-09-01

    A helicon plasma thruster consisting of a helicon plasma source and a magnetic nozzle is one of the candidates for long-lifetime thrusters because no electrodes are employed to generate or accelerate plasma. A recent experiment, however, detected the non-negligible axial momentum lost to the lateral wall boundary, which degrades thruster performance, when the source was operated with highly ionized gases. To investigate this mechanism, we have conducted two-dimensional axisymmetric particle-in-cell (PIC) simulations with the neutral distribution obtained by Direct Simulation Monte Carlo (DSMC) method. The numerical results have indicated that the axially asymmetric profiles of the plasma density and potential are obtained when the strong decay of neutrals occurs at the source downstream. This asymmetric potential profile leads to the accelerated ion towards the lateral wall, leading to the non-negligible net axial force in the opposite direction of the thrust. Hence, to reduce this asymmetric profile by increasing the neutral density at downstream and/or by confining plasma with external magnetic field would result in improvement of the propulsion performance. These effects are also analyzed by PIC/DSMC simulations.

  15. Electron density measurement in gas discharge plasmas by optical and acoustic methods

    International Nuclear Information System (INIS)

    Biagioni, A.; Anania, M.P.; Bellaveglia, M.; Chiadroni, E.; Giovenale, D. Di; Pirro, G. Di; Ferrario, M.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Cianchi, A.; Filippi, F.; Mostacci, A.; Zigler, A.

    2016-01-01

    Plasma density represents a very important parameter for both laser wakefield and plasma wakefield acceleration, which use a gas-filled capillary plasma source. Several techniques can be used to measure the plasma density within a capillary discharge, which are mainly based on optical diagnostic methods, as for example the well-known spectroscopic method using the Stark broadening effect. In this work, we introduce a preliminary study on an alternative way to detect the plasma density, based on the shock waves produced by gas discharge in a capillary. Firstly, the measurements of the acoustic spectral content relative to the laser-induced plasmas by a solid target allowed us to understand the main properties of the acoustic waves produced during this kind of plasma generation; afterwards, we have extended such acoustic technique to the capillary plasma source in order to calibrate it by comparison with the stark broadening method.

  16. Sub-millisecond electron density profile measurement at the JET tokamak with the fast lithium beam emission spectroscopy system

    Science.gov (United States)

    Réfy, D. I.; Brix, M.; Gomes, R.; Tál, B.; Zoletnik, S.; Dunai, D.; Kocsis, G.; Kálvin, S.; Szabolics, T.; JET Contributors

    2018-04-01

    Diagnostic alkali atom (e.g., lithium) beams are routinely used to diagnose magnetically confined plasmas, namely, to measure the plasma electron density profile in the edge and the scrape off layer region. A light splitting optics system was installed into the observation system of the lithium beam emission spectroscopy diagnostic at the Joint European Torus (JET) tokamak, which allows simultaneous measurement of the beam light emission with a spectrometer and a fast avalanche photodiode (APD) camera. The spectrometer measurement allows density profile reconstruction with ˜10 ms time resolution, absolute position calculation from the Doppler shift, spectral background subtraction as well as relative intensity calibration of the channels for each discharge. The APD system is capable of measuring light intensities on the microsecond time scale. However ˜100 μs integration is needed to have an acceptable signal to noise ratio due to moderate light levels. Fast modulation of the beam up to 30 kHz is implemented which allows background subtraction on the 100 μs time scale. The measurement covers the 0.9 background subtraction, the relative calibration, and the comprehensive error calculation, runs a Bayesian density reconstruction code, and loads results to the JET database. The paper demonstrates the capability of the APD system by analyzing fast phenomena like pellet injection and edge localized modes.

  17. Existence of a virtual cathode close to a strongly electron emissive wall in low density plasmas

    Science.gov (United States)

    Tierno, S. P.; Donoso, J. M.; Domenech-Garret, J. L.; Conde, L.

    2016-01-01

    The interaction between an electron emissive wall, electrically biased in a plasma, is revisited through a simple fluid model. We search for realistic conditions of the existence of a non-monotonic plasma potential profile with a virtual cathode as it is observed in several experiments. We mainly focus our attention on thermionic emission related to the operation of emissive probes for plasma diagnostics, although most conclusions also apply to other electron emission processes. An extended Bohm criterion is derived involving the ratio between the two different electron densities at the potential minimum and at the background plasma. The model allows a phase-diagram analysis, which confirms the existence of the non-monotonic potential profiles with a virtual cathode. This analysis shows that the formation of the potential well critically depends on the emitted electron current and on the velocity at the sheath edge of cold ions flowing from the bulk plasma. As a consequence, a threshold value of the governing parameter is required, in accordance to the physical nature of the electron emission process. The latter is a threshold wall temperature in the case of thermionic electrons. Experimental evidence supports our numerical calculations of this threshold temperature. Besides this, the potential well becomes deeper with increasing electron emission, retaining a fraction of the released current which limits the extent of the bulk plasma perturbation. This noninvasive property would explain the reliable measurements of plasma potential by using the floating potential method of emissive probes operating in the so-called strong emission regime.

  18. Existence of a virtual cathode close to a strongly electron emissive wall in low density plasmas

    International Nuclear Information System (INIS)

    Tierno, S. P.; Donoso, J. M.; Domenech-Garret, J. L.; Conde, L.

    2016-01-01

    The interaction between an electron emissive wall, electrically biased in a plasma, is revisited through a simple fluid model. We search for realistic conditions of the existence of a non-monotonic plasma potential profile with a virtual cathode as it is observed in several experiments. We mainly focus our attention on thermionic emission related to the operation of emissive probes for plasma diagnostics, although most conclusions also apply to other electron emission processes. An extended Bohm criterion is derived involving the ratio between the two different electron densities at the potential minimum and at the background plasma. The model allows a phase-diagram analysis, which confirms the existence of the non-monotonic potential profiles with a virtual cathode. This analysis shows that the formation of the potential well critically depends on the emitted electron current and on the velocity at the sheath edge of cold ions flowing from the bulk plasma. As a consequence, a threshold value of the governing parameter is required, in accordance to the physical nature of the electron emission process. The latter is a threshold wall temperature in the case of thermionic electrons. Experimental evidence supports our numerical calculations of this threshold temperature. Besides this, the potential well becomes deeper with increasing electron emission, retaining a fraction of the released current which limits the extent of the bulk plasma perturbation. This noninvasive property would explain the reliable measurements of plasma potential by using the floating potential method of emissive probes operating in the so-called strong emission regime

  19. Existence of a virtual cathode close to a strongly electron emissive wall in low density plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Tierno, S. P., E-mail: sp.tierno@upm.es; Donoso, J. M.; Domenech-Garret, J. L.; Conde, L. [Department of Applied Physics, E.T.S.I. Aeronáutica y del Espacio. Universidad Politécnica de Madrid, 28040 Madrid (Spain)

    2016-01-15

    The interaction between an electron emissive wall, electrically biased in a plasma, is revisited through a simple fluid model. We search for realistic conditions of the existence of a non-monotonic plasma potential profile with a virtual cathode as it is observed in several experiments. We mainly focus our attention on thermionic emission related to the operation of emissive probes for plasma diagnostics, although most conclusions also apply to other electron emission processes. An extended Bohm criterion is derived involving the ratio between the two different electron densities at the potential minimum and at the background plasma. The model allows a phase-diagram analysis, which confirms the existence of the non-monotonic potential profiles with a virtual cathode. This analysis shows that the formation of the potential well critically depends on the emitted electron current and on the velocity at the sheath edge of cold ions flowing from the bulk plasma. As a consequence, a threshold value of the governing parameter is required, in accordance to the physical nature of the electron emission process. The latter is a threshold wall temperature in the case of thermionic electrons. Experimental evidence supports our numerical calculations of this threshold temperature. Besides this, the potential well becomes deeper with increasing electron emission, retaining a fraction of the released current which limits the extent of the bulk plasma perturbation. This noninvasive property would explain the reliable measurements of plasma potential by using the floating potential method of emissive probes operating in the so-called strong emission regime.

  20. Surface currents associated with external kink modes in tokamak plasmas during a major disruption

    Science.gov (United States)

    Ng, C. S.; Bhattacharjee, A.

    2017-10-01

    The surface current on the plasma-vacuum interface during a disruption event involving kink instability can play an important role in driving current into the vacuum vessel. However, there have been disagreements over the nature or even the sign of the surface current in recent theoretical calculations based on idealized step-function background plasma profiles. We revisit such calculations by replacing step-function profiles with more realistic profiles characterized by a strong but finite gradient along the radial direction. It is shown that the resulting surface current is no longer a delta-function current density, but a finite and smooth current density profile with an internal structure, concentrated within the region with a strong plasma pressure gradient. Moreover, this current density profile has peaks of both signs, unlike the delta-function case with a sign opposite to, or the same as the plasma current. We show analytically and numerically that such current density can be separated into two parts, with one of them, called the convective current density, describing the transport of the background plasma density by the displacement, and the other part that remains, called the residual current density. It is argued that consideration of both types of current density is important and can resolve past controversies.

  1. Suitability of tunneling ionization produced plasmas for the plasma beat wave accelerator

    International Nuclear Information System (INIS)

    Leeman, W.P.; Clayton, C.E.; Marsh, K.A.; Dyson, A.; Joshi, C.

    1991-01-01

    Tunneling ionization can be thought of as the high intensity, low frequency limit of multi-photon ionization (MPI). Extremely uniform plasmas were produced by the latter process at Rutherford lab for beat wave excitation experiments using a 0.5 μm laser. Plasmas with 100% ionization were produced with densities exceeding 10 17 cm -3 . The experiment uses a CO 2 laser (I max ∼ 5 x 10 14 W/cm 2 ) which allows the formation of plasmas via the tunneling process. For the experiments the authors need plasmas with densities in the range of 5 to 10 x 10 16 cm -3 . Using Thomson scattering as a diagnostic they have explored the density and temperature regime of tunneling ionization produced plasmas. They find that plasmas with densities up to 10 16 cm -3 can indeed be produced and that these plasmas are hot. Beyond this density strong refraction of laser radiation occurs due to the radial profile of the plasma. Implications of this work to the Beat Wave Accelerator program will be discussed

  2. Simulation of density fluctuations before the L-H transition for Hydrogen and Deuterium plasmas in the DIII-D tokamak using the BOUT++ code

    Science.gov (United States)

    Wang, Y. M.; Xu, X. Q.; Yan, Z.; Mckee, G. R.; Grierson, B. A.; Xia, T. Y.; Gao, X.

    2018-02-01

    A six-field two-fluid model has been used to simulate density fluctuations. The equilibrium is generated by experimental measurements for both Deuterium (D) and Hydrogen (H) plasmas at the lowest densities of DIII-D low to high confinement (L-H) transition experiments. In linear simulations, the unstable modes are found to be resistive ballooning modes with the most unstable mode number n  =  30 or k_θρ_i˜0.12 . The ion diamagnetic drift and E× B convection flow are balanced when the radial electric field (E r ) is calculated from the pressure profile without net flow. The curvature drift plays an important role in this stage. Two poloidally counter propagating modes are found in the nonlinear simulation of the D plasma at electron density n_e˜1.5×1019 m-3 near the separatrix while a single ion mode is found in the H plasma at the similar lower density, which are consistent with the experimental results measured by the beam emission spectroscopy (BES) diagnostic on the DIII-D tokamak. The frequency of the electron modes and the ion modes are about 40 kHz and 10 kHz respectively. The poloidal wave number k_θ is about 0.2 cm -1 (k_θρ_i˜0.05 ) for both ion and electron modes. The particle flux, ion and electron heat fluxes are  ˜3.5-6 times larger for the H plasma than the D plasma, which makes it harder to achieve H-mode for the same heating power. The change of the atomic mass number A from 2 to 1 using D plasma equilibrium make little difference on the flux. Increase the electric field will suppress the density fluctuation. The electric field scan and ion mass scan results show that the dual-mode results primarily from differences in the profiles rather than the ion mass.

  3. Plasma turbulence measured by fast sweep reflectometry on Tore Supra

    International Nuclear Information System (INIS)

    Clairet, F.; Vermare, L.; Leclert, G.

    2004-01-01

    Traditionally devoted to electron density profile measurement we show that fast frequency sweeping reflectometry technique can bring valuable and innovative measurements onto plasma turbulence. While fast frequency sweeping technique is traditionally devoted to electron density radial profile measurements we show in this paper how we can handle the fluctuations of the reflected signal to recover plasma density fluctuation measurements with a high spatial and temporal resolution. Large size turbulence related to magneto-hydrodynamic (MHD) activity and the associated magnetic islands can be detected. The radial profile of the micro-turbulence, which is responsible for plasma anomalous transport processes, is experimentally determined through the fluctuation of the reflected phase signal. (authors)

  4. Plasma turbulence measured by fast sweep reflectometry on Tore Supra

    International Nuclear Information System (INIS)

    Clairet, F.; Vermare, L.; Heuraux, S.; Leclert, G.

    2004-01-01

    Traditionally devoted to electron density profile measurement we show that fast frequency sweeping reflectometry technique can bring valuable and innovative measurements onto plasma turbulence. While fast frequency sweeping technique is traditionally devoted to electron density radial profile measurements we show in this paper how we can handle the fluctuations of the reflected signal to recover plasma density fluctuation measurements with a high spatial and temporal resolution. Large size turbulence related to magneto-hydrodynamic (MHD) activity and the associated magnetic islands can be detected. The radial profile of the micro-turbulence, which is responsible for plasma anomalous transport processes, is experimentally determined through the fluctuation of the reflected phase signal

  5. Atmospheric turbulence profiling with unknown power spectral density

    Science.gov (United States)

    Helin, Tapio; Kindermann, Stefan; Lehtonen, Jonatan; Ramlau, Ronny

    2018-04-01

    Adaptive optics (AO) is a technology in modern ground-based optical telescopes to compensate for the wavefront distortions caused by atmospheric turbulence. One method that allows to retrieve information about the atmosphere from telescope data is so-called SLODAR, where the atmospheric turbulence profile is estimated based on correlation data of Shack-Hartmann wavefront measurements. This approach relies on a layered Kolmogorov turbulence model. In this article, we propose a novel extension of the SLODAR concept by including a general non-Kolmogorov turbulence layer close to the ground with an unknown power spectral density. We prove that the joint estimation problem of the turbulence profile above ground simultaneously with the unknown power spectral density at the ground is ill-posed and propose three numerical reconstruction methods. We demonstrate by numerical simulations that our methods lead to substantial improvements in the turbulence profile reconstruction compared to the standard SLODAR-type approach. Also, our methods can accurately locate local perturbations in non-Kolmogorov power spectral densities.

  6. Density profiles and particle fluxes of heavy impurities in the limiter shadow region of a tokamak

    International Nuclear Information System (INIS)

    Claassen, H.A.; Repp, H.

    1980-01-01

    For the case of low impurity concentration, transport calculations have been performed for heavy impurities, in the scrape-off layer plasma of a tokamak with a poloidal ring limiter. The theory is based on the drift-kinetic equations for the various ionization states of the impurity ions taking due consideration of the convection and collision processes. The background plasma and the impurity sources from the torus wall and the limiter surface enter the theory as input parameters. The theory is developed for the first two orders of the drift approximation. Numerical results are given to zero order drift approximation for the radial profiles of density and particle fluxes parallel to the magnetic field. (orig.)

  7. Effects of plasma shape and profiles on edge stability in DIII-D

    International Nuclear Information System (INIS)

    Lao, L.L.; Chan, V.S.; Chen, L.

    1998-12-01

    The results of recent experimental and theoretical studies concerning the effects of plasma shape and current and pressure profiles on edge instabilities in DIII-D are presented. Magnetic oscillations with toroidal mode number n ∼ 2--9 and a fast growth time γ -1 = 20--150 micros are often observed prior to the first giant type 1 ELM in discharges with moderate squareness. High n ideal ballooning second stability access encourages edge instabilities by facilitating the buildup of the edge pressure gradient and bootstrap current density which destabilize the intermediate to low n modes. Analysis suggests that discharges with large edge pressure gradient and bootstrap current density are more unstable to n > 1 modes. Calculations and experimental results show that ELM amplitude and frequency can be varied by controlling access to the second ballooning stability regime at the edge through variation of the squareness of the discharge shape. A new method is proposed to control edge instabilities by reducing access to the second ballooning stability regime at the edge using high order local perturbation of the plasma shape in the outboard bad curvature region

  8. Effects of plasma shape and profiles on edge stability in DIII-D

    International Nuclear Information System (INIS)

    Lao, L.L.; Ferron, J.R.; Miller, R.L.

    2001-01-01

    The results of recent experimental and theoretical studies concerning the effects of plasma shape and current and pressure profiles on edge instabilities in DIII-D are presented. Magnetic oscillations with toroidal mode number n∼2-9 and a fast growth time γ -1 =20-150μs are often observed prior to the first giant type I ELM in discharges with moderate squareness. High n ideal ballooning second stability access encourages edge instabilities by facilitating the buildup of the edge pressure gradient and bootstrap current density which destabilize the intermediate to low n modes. Analysis suggests that discharges with large edge pressure gradient and bootstrap current density are more unstable to n>1 modes. Calculations and experimental results show that ELM amplitude and frequency can be varied by controlling access to the second ballooning stability regime at the edge through variation of the squareness of the discharge shape. A new method is proposed to control edge instabilities by reducing access to the second ballooning stability regime at the edge using high order local perturbation of the plasma shape in the outboard bad curvature region. (author)

  9. Resistive evolution of current profile in tokamaks, application to the optimization of Tore-supra plasma discharges; Evolution resistive du profil de courant dans les Tokamaks, application a l'optimisation des decharges de Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Bregeon, R

    1999-03-01

    In Tokamak plasma physics, current profile shaping has now become a key issue to improve the confinement properties of the plasma discharge. The objective of this work is to study the processes governing the current diffusion when non-inductive current are playing a major role in the discharge. Ultimately, this study aims to identify the key parameters to control the plasma current density profile with external current drive heating systems such as Lower Hybrid Current drive (LHCD) or self generated current drive such as the bootstrap current. Principles of non inductive current drive and heating systems are introduced as well as bootstrap current mechanisms. Then we present the experimental study of plasma parallel electric conductivity to validate existing models. Using these results, the poloidal magnetic field flux diffusion is modelled, using toroidal co-ordinates in order to give an accurate description of the current density profiles evolution. The initial and boundary conditions required for numerical resolution of the diffusion equation are also presented. Finally, we conclude this work with the simulations of two discharges: one with Fast Wave Electron Heating and the second using Lower Hybrid Current Drive. These simulations have multiples aims: validity test of our numerical tool and to show some limits of cylindrical models. Test of electric conductivity and bootstrap current models. To identify the key parameters involved in the current diffusion processes of a high performance plasma discharge on Tore Supra. Such simulations are crucial to determine the amount of non-inductive current required to control and sustain long plasma discharges in steady state. (author)

  10. Hollow core plasma channel generation

    International Nuclear Information System (INIS)

    Quast, Heinrich Martin

    2018-03-01

    The use of a hollow plasma channel in plasma-based acceleration has beneficial properties for the acceleration of electron and positron bunches. In the scope of the FLASHForward facility at DESY, the generation of such a plasma structure is examined. Therefore, the generation of a ring-shaped laser intensity profile with different techniques is analyzed. From the obtained intensity profiles the electron density of a hollow plasma channel is simulated in the focal region. Different parameters are scanned to understand their influence on the electron density distribution - an important parameter being, for example, the radius of the central region of the channel. In addition to the simulations, experiments are presented, during which a laser pulse is transformed into a hollow beam with a spiral phase plate. Subsequently, it forms a plasma during the interaction with hydrogen, where the plasma is imaged with interferometry. For energies above 0.9 mJ a hollow plasma structure can be observed at the location of first plasma formation.

  11. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  12. Light impurity transport in JET ILW L-mode plasmas

    Science.gov (United States)

    Bonanomi, N.; Mantica, P.; Giroud, C.; Angioni, C.; Manas, P.; Menmuir, S.; Contributors, JET

    2018-03-01

    A series of experimental observations of light impurity profiles was carried out in JET (Joint European Torus) ITER-like wall (ILW) L-mode plasmas in order to investigate their transport mechanisms. These discharges feature the presence of 3He, Be, C, N, Ne, whose profiles measured by active Charge Exchange diagnostics are compared with quasi-linear and non-linear gyro-kinetic simulations. The peaking of 3He density follows the electron density peaking, Be and Ne are also peaked, while the density profiles of C and N are flat in the mid plasma region. Gyro-kinetic simulations predict peaked density profiles for all the light impurities studied and at all the radial positions considered, and fail predicting the flat or hollow profiles observed for C and N at mid radius in our cases.

  13. A current profile model for magnetic analysis of the start-up phase of toroidal plasmas driven by electron cyclotron heating and current drive

    International Nuclear Information System (INIS)

    Yoshinaga, T.; Uchida, M.; Tanaka, H.; Maekawa, T.

    2007-01-01

    An estimation model of plasma current density distribution for the start-up phase of toroidal plasmas generated by electron cyclotron heating (ECH) in the low aspect ratio torus experiment device is presented. The model assumes a power law parabolic current profile having seven fitting parameters. Its position, extent and broadness (or steepness) are fitted by adjusting these parameters to the observed magnetic flux signals. The adequacy of the model has been examined and confirmed by comparisons of the reconstructed current profiles and the resultant poloidal flux surfaces with the plasma images at visible light range at various stages of start-up discharges, including both the initial open field phase, the subsequent closed field phase, the current decay phase after ECH is turned off and also by a current-profile limiting experiment. This method may be useful for the study of non-inductive start-up experiments by ECH, where there is no appropriate MHD constraint on the current distribution as that in the full tokamak discharge plasmas

  14. Trapping of gun-injected plasma by a tokamak

    International Nuclear Information System (INIS)

    Leonard, A.W.; Dexter, R.N.; Sprott, J.C.

    1986-01-01

    It is shown that a plasma produced by a Marshall gun can be injected into and trapped by a tokamak plasma. Gun injection raises the line-averaged density and peaks the density profile. Trapping of the gun-injected plasma is explainable in terms of a depolarization current mechanism

  15. Interacting Eigenmodes of a plasma diode with a density gradient

    International Nuclear Information System (INIS)

    Loefgren, T.; Gunell, H.

    1997-08-01

    The formation of narrow high frequency electric field spikes in plasma density gradients is investigated using one-dimensional particle in cell simulations. It is found that the shape of the plasma density gradient is very important for the spike formation. The spike appears also in simulations with immobile ions showing that a coupling to the ion motion, as for example in wave interactions, is not necessary for the formation of HF spikes. However, the HF spike influences the ion motion, and ion waves are seen in the simulations. It has been found, in experiments and simulations, that the electron velocity distribution function deviates from the Maxwellian distribution. Dispersion relations are calculated using realistic distribution functions. The spike can be seen as a coupled system of two Eigenmodes of a plasma diode fed by the beam-plasma interaction. Based on a simplified fluid description of such Eigenmodes, explanations for the localization of the spike, spatially and in frequency, are given. The density amplitude is comparable with the DC density level close to the cathode. Space charge limits of waves in this region seem to determine the amplitude of the spike through the Poisson's equation

  16. Observation and interpretation of topological structures in impurity ion radiation profiles from the hot plasma of a torsatron

    International Nuclear Information System (INIS)

    Zurro, B.; McCarthy, K.J.; Ascasibar, E.; Aragon, F.; Burgos, C.; Lopez, A.; Salas, A.

    1997-01-01

    Significant features have been observed in impurity ion ultraviolet line emission profiles measured on the TJ-I U torsatron using a fast-scanning detector system with good spatial resolution. These features, which consist of flats and humps, provide evidence for the existence of topological structure in the plasma interior. It is postulated that these structures arise as a result of perturbations in the electron temperature and ion density profiles caused by magnetic islands. We develop a model to show how these structures can give rise to such features in ultraviolet radiation profiles and we use theoretical iota profiles to correlate the positions of the more prominent features with rational iota values. (orig.)

  17. Matter Density Profile Shape Effects at DUNE

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Kevin J. [Northwestern U.; Parke, Stephen J. [Fermilab

    2018-02-19

    Quantum mechanical interactions between neutrinos and matter along the path of propagation, the Wolfenstein matter effect, are of particular importance for the upcoming long-baseline neutrino oscillation experiments, specifically the Deep Underground Neutrino Experiment (DUNE). Here, we explore specifically what about the matter density profile can be measured by DUNE, considering both the shape and normalization of the profile between the neutrinos' origin and detection. Additionally, we explore the capability of a perturbative method for calculating neutrino oscillation probabilities and whether this method is suitable for DUNE. We also briefly quantitatively explore the ability of DUNE to measure the Earth's matter density, and the impact of performing this measurement on measuring standard neutrino oscillation parameters.

  18. One- and two-dimensional density and temperature measurements of an argon-neon Z-pinch plasma at stagnation

    International Nuclear Information System (INIS)

    Wong, K.L.; Springer, P.T.; Hammer, J.H.; Iglesias, C.A.; Osterheld, A.L.; Foord, M.E.; Bruns, H.C.; Emig, J.A.; Deeney, C.

    1996-10-01

    In order to benchmark and improve current 2D radiation magnetohydrodynamic (MHD) models of Z-pinch plasmas, we have performed experiments which characterize the plasma -conditions at stagnation. In the experiments the SATURN pulsed power facility at Sandia National Laboratory was used to create an imploding -Ar-Ne plasma. An absolutely calibrated, high resolution space- and time- resolving Johann crystal spectrometer was used to infer the electron temperature Te from the slope of the hydrogenlike Ne free-bound continuum, and the ion density ni from the Stark broadening of the Ar heliunlike Rydberg series. 2D electron temperature profiles of the plasma are obtained from a set of imaging crystals also focused on the Ne free-bound continuum. We shot two types of gas nozzles in the experiment, annular and uniform fill which varies the amount of mass in the plasma. 2D local thermodynamic equilibrium (LTE) and non-LTE MM models predict a radiating region denser and cooler than measured

  19. Real-time control of current and pressure profiles in tokamak plasmas

    International Nuclear Information System (INIS)

    Laborde, L.

    2005-12-01

    Recent progress in the field of 'advanced tokamak scenarios' prefigure the operation regime of a future thermonuclear fusion power plant. Compared to the reference regime, these scenarios offer a longer plasma confinement time thanks to increased magnetohydrodynamic stability and to a better particle and energy confinement through a reduction of plasma turbulence. This should give access to comparable fusion performances at reduced plasma current and could lead to a steady state fusion reactor since the plasma current could be entirely generated non-inductively. Access to this kind of regime is provided by the existence of an internal transport barrier, linked to the current profile evolution in the plasma, which leads to steep temperature and pressure profiles. The comparison between heat transport simulations and experiments allowed the nature of the barriers to be better understood as a region of strongly reduced turbulence. Thus, the control of this barrier in a stationary manner would be a remarkable progress, in particular in view of the experimental reactor ITER. The Tore Supra and JET tokamaks, based in France and in the United Kingdom, constitute ideal instruments for such experiments: the first one allows stationary plasmas to be maintained during several minutes whereas the second one provides unique fusion performances. In Tore Supra, real-time control experiments have been accomplished where the current profile width and the pressure profile gradient were controlled in a stationary manner using heating and current drive systems as actuators. In the JET tokamak, the determination of an empirical static model of the plasma allowed the current and pressure profiles to be simultaneously controlled and so an internal transport barrier to be sustained. Finally, the identification of a dynamic model of the plasma led to the definition of a new controller capable, in principle, of a more efficient control. (author)

  20. Reflectometry and transport in thermonuclear plasmas in the Joint European Torus

    International Nuclear Information System (INIS)

    Sips, A.C.C.

    1991-01-01

    The subjects of this thesis are the study of microwave reflectometry as a method to measure electron density profiles, and the study of particle and energy transport in thermonuclear plasmas. In the transport studies data of a 12-channel reflectometer system are used to analyze the propagation of electron density perturbations in the plasma. The measurements described in this thesis are performed in the plasmas in the Joint European Torus (JET). The main points of study described are based on microwave reflectometry, the principles of which are given. Two modes of operation of a reflectometer are described. Firstly, electro-magnetic waves with constant frequencies may be launched into the plasma to measure variations in the electron density profile. Secondly, the absolute density profile can be measured with a reflectometer, when the source frequencies are swept. (author). 56 refs.; 41 figs.; 5 tabs

  1. The control of plasma density profile in Tore Supra. Comparison of different fueling techniques; Controle du profil de densite dans le plasma de Tore Supra. Comparaison de differentes methodes d'alimentation en particules

    Energy Technology Data Exchange (ETDEWEB)

    Commaux, N

    2007-09-15

    The behaviour of a reactor-class plasma when fuelled using the existing techniques (gas puffing, supersonic molecular beam injection and pellet injection) is still very difficult to foresee. The present work has been initiated on Tore Supra in order to extrapolate the consequences of the different fuelling systems on ITER. Two main topics have been studied: the comparison of the plasma behaviour when fuelled using the different techniques at high Greenwald density fractions and the study of the homogenization following a pellet injection (main fuelling technique for ITER burning plasmas). The experiments at high Greenwald density fractions performed on Tore Supra showed that the plasma behaviour is very dependent on the fuelling method. The plasma energy confinement is following the scaling laws determined at low density when fuelled using pellet injection. which is better than for gas puffing and SMBI. both inducing a significant confinement loss. This behaviour is nor related to a transport modification: the ratio between effective diffusion and convection is similar to the pellet case. The difference between these shots is related only to the position of the matter source (at the edge for gas and close to the center for pellets). The study concerning the homogenization phenomena following a pellet injection aims mainly to study the {nabla}B-drift effect that expels the mater deposited by a pellet toward the low field side. A new phenomenon. which appears to be particularly important for the {nabla}B-drift during low field side injections. was then discovered: the influence of magnetic surfaces with an integer-valued safety factor (q). When the mater drifting toward low field side crosses an integer q surface. it experiences an important braking effect which stops the drift motion. It implies that the pellet material is mainly deposited on the last integer q surface crossed by the pellet during its injection. This study allows also to determine that the {nabla

  2. Density effects on electronic configurations in dense plasmas

    Science.gov (United States)

    Faussurier, Gérald; Blancard, Christophe

    2018-02-01

    We present a quantum mechanical model to describe the density effects on electronic configurations inside a plasma environment. Two different approaches are given by starting from a quantum average-atom model. Illustrations are shown for an aluminum plasma in local thermodynamic equilibrium at solid density and at a temperature of 100 eV and in the thermodynamic conditions of a recent experiment designed to characterize the effects of the ionization potential depression treatment. Our approach compares well with experiment and is consistent in that case with the approach of Stewart and Pyatt to describe the ionization potential depression rather than with the method of Ecker and Kröll.

  3. Space potential, temperature, and density profile measurements on RENTOR

    International Nuclear Information System (INIS)

    Schoch, P.M.

    1983-05-01

    Radial profiles of the space potential, electron temperature, and density have been measured on RENTOR with a heavy-ion-beam probe. The potential profile has been compared to predictions from a stochastic magnetic field fluctuation theory, using the measured temperature and density profiles. The comparison shows strong qualitative agreement in that the potential is positive and the order of T/sub e//e. There is some quantitative disagreement in that the measured radial electric fields are somewhat smaller than the theoretical predictions. To facilitate this comparison, a detailed analysis of the possible errors has been completed

  4. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  5. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  6. Study on electron density and average degree of ionization for the non-ideal argon plasmas

    International Nuclear Information System (INIS)

    Jing Ming; Huang Hua; Zhou Yisu; Wang Caixia

    2008-01-01

    Electron density and average degree of ionization of the non-ideal argon plasmas under different plasma temperature and density are calculated by using SHM model. It comes to a conclusion that the average degree of ionization is less than 0.5 for the non-ideal argon plasmas at temperature T=2.0eV and plasma density ρ=(0.01-0.5)g·cm -3 , and the average degree of ionization is reduced with the increase of plasma density ρ. This indicates that the non-ideal argon plasma has a very low degree of ionization so that most argon has not been ionized. In addition, the discussion on the ionization decrease with the increase of plasma density ρ is given. (authors)

  7. The density and velocity of plasma bullets propagating along one dielectric tube

    Directory of Open Access Journals (Sweden)

    Longfei Ji

    2015-08-01

    Full Text Available This study shows that the propagation of plasma bullets along one dielectric tube is strongly affected by many discharge parameters, such as the waveform of applied voltage (AC or pulsed DC, peak voltage, He flow rate, and the frequency of AC voltage. Analysis indicates that the density and velocity of plasma bullets are mainly determined by the electric field at the front of plasma bullets. These discharge parameters may significantly influence the distribution of plasma potential along the tube, thus control the electric field at the front of plasma bullets and their propagation. An increase in the pulsed DC voltage with its rise time of <40-50 ns can lead to an obvious improvement in the electric field at the front of plasma bullets, resulting in generation of a plasma in the high density gas and a fast propagation of plasma bullets. He flowing through the tube can contribute to the surface diffusion of charged species, and greatly increase the electric field at the front of plasma bullets. During the propagation of plasma bullets, their density is decreased due to the surface recombination of charged species, such as electrons and ions.

  8. Electron Density Profile Data Contains Virtual Height/Frequency Pairs from a Profile or Profiles (Composite Months) of Ionograms

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — The Electron Density Profile, N(h), data set contains both individual profiles and composite months. The data consist of virtual height/frequency pairs from a...

  9. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  10. Cutoff effects of electron velocity distribution to the properties of plasma parameters near the plasma-sheath boundary

    International Nuclear Information System (INIS)

    Jelic, N.

    2011-01-01

    The plasma properties under high thermodynamic non-equilibrium condition, established due to the presence of electrically biased electrode, are investigated. Assumption of electron cut-off velocity distribution function (VDF), as done by Andrews and Varey in their investigations of the sheath region [J. Phys. A 3, 413 (1970)], has been extended here to both plasma and sheath regions. Analytic expressions for the moments of electron VDF, as well as for the electron screening temperature function dependence on the plasma-sheath local potential are derived. In deriving the ion velocity distribution the ''standard'' assumption of strict plasma quasineutrality, or equivalently vanishing of the plasma Debye length, is employed, whereas the ions are assumed to be generated at rest over the plasma region. However, unlike the standard approach of solving the plasma equation, where pure Boltzmann electron density profile is used, here we employ modified Boltzmann's electron density profile, due to cutoff effect of the electron velocity distribution. It is shown that under these conditions the quasineutrality equation solution is characterised by the electric field singularity for any negative value of the electrode bias potential as measured with respect to the plasma potential. The point of singularity i.e., the plasma length and its dependence on the electrode bias and sheath potential is established for the particular case of ionization profile mechanism proportional to the local electron density. Relevant parameters for the kinetic Bohm criterion are explicitly calculated for both ions and electrons, for arbitrary electrode bias.

  11. Plasma and BIAS Modeling: Self-Consistent Electrostatic Particle-in-Cell with Low-Density Argon Plasma for TiC

    Directory of Open Access Journals (Sweden)

    Jürgen Geiser

    2011-01-01

    processes. In this paper we present a new model taken into account a self-consistent electrostatic-particle in cell model with low density Argon plasma. The collision model are based of Monte Carlo simulations is discussed for DC sputtering in lower pressure regimes. In order to simulate transport phenomena within sputtering processes realistically, a spatial and temporal knowledge of the plasma density and electrostatic field configuration is needed. Due to relatively low plasma densities, continuum fluid equations are not applicable. We propose instead a Particle-in-cell (PIC method, which allows the study of plasma behavior by computing the trajectories of finite-size particles under the action of an external and self-consistent electric field defined in a grid of points.

  12. Measurements of plasma profiles using a fast swept Langmuir probe in the VINETA-II magnetic reconnection experiment

    Science.gov (United States)

    Shesterikov, I.; Von Stechow, A.; Grulke, O.; Stenzel, R.; Klinger, T.

    2017-07-01

    A fast-swept Langmuir probe capable to be biased at a high voltages has been constructed and successfully operated at the VINETA-II magnetic reconnection experiment. The presented circuit has two main features beneficial for fast transient parameter changes in laboratory experiments as, e.g., plasma guns or magnetic reconnection: the implementation simplicity and the high voltage sweep range. This work presents its design and performance for time-dependent measurements of VINETA-II plasmas. The probe is biased with a sinusoidal voltage at a fixed frequency. Current - voltage characteristics are measured along the falling and rising slopes of the probe bias. The sweep frequency is fsweep= 150 kHz. The spatiotemporal evolution of radial plasma profiles is obtained by evaluation of the probe characteristics. The plasma density measurements agree with those derived from a microwave interferometer, demonstrating the reliability of the measurements. As a model plasma system, a plasma gun discharge with typical pulse times of 60 μ s is chosen.

  13. Coupling of RF antennas to large volume helicon plasma

    Directory of Open Access Journals (Sweden)

    Lei Chang

    2018-04-01

    Full Text Available Large volume helicon plasma sources are of particular interest for large scale semiconductor processing, high power plasma propulsion and recently plasma-material interaction under fusion conditions. This work is devoted to studying the coupling of four typical RF antennas to helicon plasma with infinite length and diameter of 0.5 m, and exploring its frequency dependence in the range of 13.56-70 MHz for coupling optimization. It is found that loop antenna is more efficient than half helix, Boswell and Nagoya III antennas for power absorption; radially parabolic density profile overwhelms Gaussian density profile in terms of antenna coupling for low-density plasma, but the superiority reverses for high-density plasma. Increasing the driving frequency results in power absorption more near plasma edge, but the overall power absorption increases with frequency. Perpendicular stream plots of wave magnetic field, wave electric field and perturbed current are also presented. This work can serve as an important reference for the experimental design of large volume helicon plasma source with high RF power.

  14. Plasma density evolution in plasma opening switch obtained by a time-resolved sensitive He-Ne interferometer

    Science.gov (United States)

    Chen, Lin; Ren, Jing; Guo, Fan; Zhou, LiangJi; Li, Ye; He, An; Jiang, Wei

    2014-03-01

    To understand the formation process of vacuum gap in coaxial microsecond conduction time plasma opening switch (POS), we have made measurements of the line-integrated plasma density during switch operation using a time-resolved sensitive He-Ne interferometer. The conduction current and conduction time in experiments are about 120 kA and 1 μs, respectively. As a result, more than 85% of conduction current has been transferred to an inductive load with rise time of 130 ns. The radial dependence of the density is measured by changing the radial location of the line-of-sight for shots with the same nominal POS parameters. During the conduction phase, the line-integrated plasma density in POS increases at all radial locations over the gun-only case by further ionization of material injected from the guns. The current conduction is observed to cause a radial redistribution of the switch plasma. A vacuum gap forms rapidly in the plasma at 5.5 mm from the center conductor, which is consistent with the location where magnetic pressure is the largest, allowing current to be transferred from the POS to the load.

  15. Refraction-enhanced backlit imaging of axially symmetric inertial confinement fusion plasmas.

    Science.gov (United States)

    Koch, Jeffrey A; Landen, Otto L; Suter, Laurence J; Masse, Laurent P; Clark, Daniel S; Ross, James S; Mackinnon, Andrew J; Meezan, Nathan B; Thomas, Cliff A; Ping, Yuan

    2013-05-20

    X-ray backlit radiographs of dense plasma shells can be significantly altered by refraction of x rays that would otherwise travel straight-ray paths, and this effect can be a powerful tool for diagnosing the spatial structure of the plasma being radiographed. We explore the conditions under which refraction effects may be observed, and we use analytical and numerical approaches to quantify these effects for one-dimensional radial opacity and density profiles characteristic of inertial-confinement fusion (ICF) implosions. We also show how analytical and numerical approaches allow approximate radial plasma opacity and density profiles to be inferred from point-projection refraction-enhanced radiography data. This imaging technique can provide unique data on electron density profiles in ICF plasmas that cannot be obtained using other techniques, and the uniform illumination provided by point-like x-ray backlighters eliminates a significant source of uncertainty in inferences of plasma opacity profiles from area-backlit pinhole imaging data when the backlight spatial profile cannot be independently characterized. The technique is particularly suited to in-flight radiography of imploding low-opacity shells surrounding hydrogen ice, because refraction is sensitive to the electron density of the hydrogen plasma even when it is invisible to absorption radiography. It may also provide an alternative approach to timing shockwaves created by the implosion drive, that are currently invisible to absorption radiography.

  16. Transport Studies in Alcator C-Mod ITB Plasmas

    Science.gov (United States)

    Fiore, C. L.; Bonoli, P. T.; Ernst, D.; Greenwald, M. J.; Ince-Cushman, A.; Lin, L.; Marmar, E. S.; Porkolab, M.; Rice, J. E.; Wukitch, S.; Rowan, W.; Bespamyatnov, I.; Phillips, P.

    2008-11-01

    Internal transport barriers occur in C-Mod plasmas that have off-axis ICRF heating and also in Ohmic H-mode plasmas. These ITBs are marked by highly peaked density and pressure profiles, as they rely on a reduction of particle and thermal flux in the barrier region which allows the neoclassical pinch to peak the central density without reducing the central temperature. Enhancement of several core diagnostics has resulted in increased understanding of C-Mod ITBs. Ion temperature profile measurements have been obtained using an innovative design for x-ray crystal spectrometry and clearly show a barrier forming in the ion temperature profile. The phase contrast imaging (PCI) provides limited localization of the ITB related fluctuations that increase in strength as the central density increases. Simulation of triggering conditions, integrated simulations with fluctuation measurements, parametric studies, and transport implications of fully ionized boron impurity profiles in the plasma are under study. A summary of these results will be presented.

  17. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  18. Is the bulk mode conversion important in high density helicon plasma?

    Energy Technology Data Exchange (ETDEWEB)

    Isayama, Shogo; Hada, Tohru [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-Kohen, Kasuga, Fukuoka 816-8580 (Japan); Shinohara, Shunjiro [Institute of Engineering, Tokyo University of Agriculture and Technology, 2-24-16, Naka-cho, Koganei, Tokyo 184-8588 (Japan); Tanikawa, Takao [Research Institute of Science and Technology, Tokai University 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan)

    2016-06-15

    In a high-density helicon plasma production process, a contribution of Trivelpiece-Gould (TG) wave for surface power deposition is widely accepted. The TG wave can be excited either due to an abrupt density gradient near the plasma edge (surface conversion) or due to linear mode conversion from the helicon wave in a density gradient in the bulk region (bulk mode conversion). By numerically solving the boundary value problem of linear coupling between the helicon and the TG waves in a background with density gradient, we show that the efficiency of the bulk mode conversion strongly depends on the dissipation included in the plasma, and the bulk mode conversion is important when the dissipation is small. Also, by performing FDTD simulation, we show the time evolution of energy flux associated with the helicon and the TG waves.

  19. Exploring the temporally resolved electron density evolution in extreme ultra-violet induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Nijdam, S; Kroesen, G M W

    2014-01-01

    We measured the electron density in an extreme ultra-violet (EUV) induced plasma. This is achieved in a low-pressure argon plasma by using a method called microwave cavity resonance spectroscopy. The measured electron density just after the EUV pulse is 2.6 × 10 16  m −3 . This is in good agreement with a theoretical prediction from photo-ionization, which yields a density of 4.5 × 10 16  m −3 . After the EUV pulse the density slightly increases due to electron impact ionization. The plasma (i.e. electron density) decays in tens of microseconds. (fast track communication)

  20. Theoretical transport analysis of density limit with radial electric field in helical plasmas

    International Nuclear Information System (INIS)

    Toda, S.; Itoh, K.

    2010-11-01

    The confinement property in helical toroidal plasmas is clarified. The analysis is performed by use of the one-dimensional transport equations with the effect of the radiative loss and the radial profile of the electric field. The analytical results in the edge region show the steep gradient in the electron temperature, which indicates the transport barrier formation. Because of the rapid increase of the radiative loss at the low electron temperature, the anomalous heat diffusivity is reduced near the edge. Next, the efficiency of the heating power input in the presence of the radiative loss is studied. The scaling of the critical density in helical devices is also derived. (author)

  1. Aperture method to determine the density and geometry of antiparticle plasmas

    International Nuclear Information System (INIS)

    Oxley, P.; Bowden, N.S.; Parrott, R.; Speck, A.; Storry, C.H.; Tan, J.N.; Wessels, M.; Gabrielse, G.; Grzonka, D.; Oelert, W.; Schepers, G.; Sefzick, T.; Walz, J.; Pittner, H.; Haensch, T.W.; Hessels, E.A.

    2004-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required if the rate of antihydrogen formation from them is to be understood. A new measurement technique determines these properties of trapped positron (e + ) and antiproton (p-bar) plasmas, the latter for the first time. The method does not require the common assumption of a spheroidal plasma geometry, which only pertains for a perfect electrostatic quadrupole trapping potential. Plasma densities, diameters, aspect ratios and angular momenta are deduced by comparing the number of particles that survive transmission through an aperture, to that obtained from self-consistent solutions of Poisson's equation. For p-bar the results differ substantially from the spheroid plasmas of an ideal Penning trap. The angular momentum of the plasma emerges as smooth function of the number of particles in the plasma, independent of the depth of the potential well that confines them

  2. Measurements of plasma temperature and electron density in laser

    Indian Academy of Sciences (India)

    The temperature and electron density characterizing the plasma are measured by time-resolved spectroscopy of neutral atom and ion line emissions in the time window of 300–2000 ns. An echelle spectrograph coupled with a gated intensified charge coupled detector is used to record the plasma emissions.

  3. Influence of consumption of probiotics on the plasma lipid profile: a meta-analysis of randomised controlled trials.

    Science.gov (United States)

    Guo, Z; Liu, X M; Zhang, Q X; Shen, Z; Tian, F W; Zhang, H; Sun, Z H; Zhang, H P; Chen, W

    2011-11-01

    Human clinical studies have yielded mixed results on the effects of consumption of probiotics on the plasma lipid profile. We conducted a meta-analysis of randomised controlled trials that evaluated the effects of probiotics consumption on blood lipids. A systematic literature search of Embase, Web of Science, PubMed and Cochrane Controlled Trials Registry was conducted for studies that investigated the efficacy of probiotics on the plasma lipid profile of subjects. With the help of Review Manager 4.2, data from 13 trials, which included 485 participants with high, borderline high and normal cholesterol levels, were examined. The pooled mean net change in total cholesterol for those treated with probiotics compared to controls was -6.40 mg dl(-1) (95% confidence interval (CI), -9.93 to -2.87), mean net change in low-density lipoprotein (LDL) cholesterol was -4.90 mg dl(-1) (95% CI, -7.91 to -1.90), mean net change in high-density lipoprotein (HDL) cholesterol was -0.11 mg dl(-1) (95% CI, -1.90-1.69) and mean net change in triglycerides was -3.95 mg dl(-1) (95% CI, -10.32-2.42). These results indicate that a diet rich in probiotics decreases total cholesterol and LDL cholesterol concentration in plasma for participants with high, borderline high and normal cholesterol levels. Copyright © 2011 Elsevier B.V. All rights reserved.

  4. A contoured gap coaxial plasma gun with injected plasma armature.

    Science.gov (United States)

    Witherspoon, F Douglas; Case, Andrew; Messer, Sarah J; Bomgardner, Richard; Phillips, Michael W; Brockington, Samuel; Elton, Raymond

    2009-08-01

    A new coaxial plasma gun is described. The long term objective is to accelerate 100-200 microg of plasma with density above 10(17) cm(-3) to greater than 200 km/s with a Mach number above 10. Such high velocity dense plasma jets have a number of potential fusion applications, including plasma refueling, magnetized target fusion, injection of angular momentum into centrifugally confined mirrors, high energy density plasmas, and others. The approach uses symmetric injection of high density plasma into a coaxial electromagnetic accelerator having an annular gap geometry tailored to prevent formation of the blow-by instability. The injected plasma is generated by numerous (currently 32) radially oriented capillary discharges arranged uniformly around the circumference of the angled annular injection region of the accelerator. Magnetohydrodynamic modeling identified electrode profiles that can achieve the desired plasma jet parameters. The experimental hardware is described along with initial experimental results in which approximately 200 microg has been accelerated to 100 km/s in a half-scale prototype gun. Initial observations of 64 merging injector jets in a planar cylindrical testing array are presented. Density and velocity are presently limited by available peak current and injection sources. Steps to increase both the drive current and the injected plasma mass are described for next generation experiments.

  5. A contoured gap coaxial plasma gun with injected plasma armature

    International Nuclear Information System (INIS)

    Witherspoon, F. Douglas; Case, Andrew; Messer, Sarah J.; Bomgardner, Richard II; Phillips, Michael W.; Brockington, Samuel; Elton, Raymond

    2009-01-01

    A new coaxial plasma gun is described. The long term objective is to accelerate 100-200 μg of plasma with density above 10 17 cm -3 to greater than 200 km/s with a Mach number above 10. Such high velocity dense plasma jets have a number of potential fusion applications, including plasma refueling, magnetized target fusion, injection of angular momentum into centrifugally confined mirrors, high energy density plasmas, and others. The approach uses symmetric injection of high density plasma into a coaxial electromagnetic accelerator having an annular gap geometry tailored to prevent formation of the blow-by instability. The injected plasma is generated by numerous (currently 32) radially oriented capillary discharges arranged uniformly around the circumference of the angled annular injection region of the accelerator. Magnetohydrodynamic modeling identified electrode profiles that can achieve the desired plasma jet parameters. The experimental hardware is described along with initial experimental results in which approximately 200 μg has been accelerated to 100 km/s in a half-scale prototype gun. Initial observations of 64 merging injector jets in a planar cylindrical testing array are presented. Density and velocity are presently limited by available peak current and injection sources. Steps to increase both the drive current and the injected plasma mass are described for next generation experiments.

  6. Collisionality dependent transport in TCV SOL plasmas

    DEFF Research Database (Denmark)

    Garcia, Odd Erik; Pitts, R.A.; Horacek, J.

    2007-01-01

    Results are presented from probe measurements in the low field side scrape-off layer (SOL) region of TCV during plasma current scan experiments. It is shown that with decreasing plasma current the radial particle density profile becomes broader and the fluctuation levels and turbulence driven...... radial particle flux increase. In the far SOL the fluctuations exhibit a high degree of statistical similarity and the particle density and flux at the wall radius scale inversely with the plasma current. Together with previous TCV density scan experiments, this indicates that plasma fluctuations...

  7. Time dependent density functional theory of light absorption in dense plasmas: application to iron-plasma

    International Nuclear Information System (INIS)

    Grimaldi, F.; Grimaldi-Lecourt, A.; Dharma-Wardana, M.W.C.

    1986-10-01

    The objective of this paper is to present a simple time-dependent calculation of the light absorption cross section for a strongly coupled partially degenerate plasma so as to transcend the usual single-particle picture. This is achieved within the density functional theory (DFT) of plasmas by generalizing the method given by Zangwill and Soven for atomic calculations at zero temperature. The essential feature of the time dependent DFT is the correct treatment of the relaxation of the system under the external field. Exploratory calculations for a Fe-plasma at 100 eV show new features in the absorption cross section which are absent in the usual single particle theory. These arise from inter-shell correlations, channel mixing and self-energy effects. These many-body effects introduce significant modifications to the radiative properties of plasmas and are shown to be efficiently calculable by time dependent density functional theory (TD-DFT)

  8. Time dependent density functional theory of light absorption in dense plasmas: application to iron-plasma

    International Nuclear Information System (INIS)

    Grimaldi, F.; Grimaldi-Lecourt, A.; Dharma-Wardana, M.W.C.

    1985-02-01

    The objective of this paper is to present a simple time-dependent calculation of the light absorption cross section for a strongly coupled partially degenerate plasma so as to transcend the usual single-particle picture. This is achieved within the density functional theory (DFT) of plasmas by generalizing the method given by Zangwill and Soven for atomic calculations at zero temperature. The essential feature of the time dependent DFT is the correct treatment of the relaxation of the system under the external field. Exploratory calculations for an Fe-plasma at 100 eV show new features in the absorption cross section which are absent in the usual single particle theory. These arise from inter-shell correlations, channel mixing and self-energy effects. These many-body effects introduce significant modifications to the radiative properties of plasma and are shown to be efficiently calculable by time dependent density functional theory (TD-DFT)

  9. Radial magnetic compression in the expelled jet of a plasma deflagration accelerator

    International Nuclear Information System (INIS)

    Loebner, Keith T. K.; Underwood, Thomas C.; Mouratidis, Theodore; Cappelli, Mark A.

    2016-01-01

    A spectroscopic study of a pulsed plasma deflagration accelerator is carried out that confirms the existence of a strong compression in the emerging jet at the exit plane of the device. An imaging spectrometer is used to collect broadened Hα emission from a transaxial slice of the emerging jet at high spatial resolution, and the radial plasma density profile is computed from Voigt fits of the Abel inverted emissivity profiles. The plasma temperature, determined via Doppler broadening of impurity line emission, is compared against the temperature predictions of a radial magnetohydrodynamic equilibrium model applied to the measured density profiles. Empirical scaling laws developed for the plasma density, combined with the measured and predicted temperatures, indicate that a radially equilibrated Z-pinch is formed within the expelled plasma jet at the exit plane during the deflagration process.

  10. Plasma confinement using biased electrode in the TCABR tokamak

    International Nuclear Information System (INIS)

    Nascimento, I.C.; Kuznetsov, Y.K.; Severo, J.H.F.; Fonseca, A.M.M.; Elfimov, A.; Bellintani, V.; Machida, M.; Heller, M.V.A.P.; Galvao, R.M.O.; Sanada, E.K.; Elizondo, J.I.

    2005-01-01

    Experimental data obtained on the TCABR tokamak (R = 0.61 m, a = 0.18 m) with an electrically polarized electrode, placed at r = 0.16 m, is reported in this paper. The experiment was performed with plasma current of 90 kA (q 3.1) and hydrogen gas injection adjusted for keeping the electron density at 1.0 x 10 19 m -3 without bias. Time evolution and radial profiles of plasma parameters with and without bias were measured. The comparison of the profiles shows an increase of the central line-averaged density, up to a maximum factor of 2.6, while H α hydrogen spectral line intensity decreases and the C III impurity stays on the same level. The analysis of temporal behaviour and radial profiles of plasma parameters indicates that the confined plasma enters the H-mode regime. The data analysis shows a maximum enhanced energy confinement factor of 1.95, decaying to 1.5 at the maximum of the density, in comparison with predicted Neo-Alcator scaling law values. Indications of transient increase of the density gradient near the plasma edge were obtained with measurements of density profiles. Calculations of turbulence and transport at the Scrape-Off-Layer, using measured floating potentials and ion saturation currents, show a strong decrease in the power spectra and transport. Bifurcation was not observed and the decrease in the saturation current occurs in 50 μs

  11. A review of low density porous materials used in laser plasma experiments

    Science.gov (United States)

    Nagai, Keiji; Musgrave, Christopher S. A.; Nazarov, Wigen

    2018-03-01

    This review describes and categorizes the synthesis and properties of low density porous materials, which are commonly referred to as foams and are utilized for laser plasma experiments. By focusing a high-power laser on a small target composed of these materials, high energy and density states can be produced. In the past decade or so, various new target fabrication techniques have been developed by many laboratories that use high energy lasers and consequently, many publications and reviews followed these developments. However, the emphasis so far has been on targets that did not utilize low density porous materials. This review therefore, attempts to redress this balance and endeavors to review low density materials used in laser plasma experiments in recent years. The emphasis of this review will be on aspects of low density materials that are of relevance to high energy laser plasma experiments. Aspects of low density materials such as densities, elemental compositions, macroscopic structures, nanostructures, and characterization of these materials will be covered. Also, there will be a brief mention of how these aspects affect the results in laser plasma experiments and the constrictions that these requirements put on the fabrication of low density materials relevant to this field. This review is written from the chemists' point of view to aid physicists and the new comers to this field.

  12. Density Structures, Dynamics, and Seasonal and Solar Cycle Modulations of Saturn's Inner Plasma Disk

    Science.gov (United States)

    Holmberg, M. K. G.; Shebanits, O.; Wahlund, J.-E.; Morooka, M. W.; Vigren, E.; André, N.; Garnier, P.; Persoon, A. M.; Génot, V.; Gilbert, L. K.

    2017-12-01

    We present statistical results from the Cassini Radio and Plasma Wave Science (RPWS) Langmuir probe measurements recorded during the time interval from orbit 3 (1 February 2005) to 237 (29 June 2016). A new and improved data analysis method to obtain ion density from the Cassini LP measurements is used to study the asymmetries and modulations found in the inner plasma disk of Saturn, between 2.5 and 12 Saturn radii (1 RS=60,268 km). The structure of Saturn's plasma disk is mapped, and the plasma density peak, nmax, is shown to be located at ˜4.6 RS and not at the main neutral source region at 3.95 RS. The shift in the location of nmax is due to that the hot electron impact ionization rate peaks at ˜4.6 RS. Cassini RPWS plasma disk measurements show a solar cycle modulation. However, estimates of the change in ion density due to varying EUV flux is not large enough to describe the detected dependency, which implies that an additional mechanism, still unknown, is also affecting the plasma density in the studied region. We also present a dayside/nightside ion density asymmetry, with nightside densities up to a factor of 2 larger than on the dayside. The largest density difference is found in the radial region 4 to 5 RS. The dynamic variation in ion density increases toward Saturn, indicating an internal origin of the large density variability in the plasma disk rather than being caused by an external source origin in the outer magnetosphere.

  13. Density measurements in the boundary layer of the ASDEX RF heated plasma

    International Nuclear Information System (INIS)

    El Shaer, M.

    1986-11-01

    The boundary layer in the main chamber of ASDEX is diagnosed using a movable 2.2 mm microwave interferometer. The measured radial density profile decreases exponentially outside of the separatrix with three different e-folding lengths, the middle part of the profile is flatter with a larger e-folding length. The boundary density increases proportionally to the increase of the main plasmy density near the separatrix, far from the separatrix this increase is weaker. The boundary density increases with the increase of the main magnetic field in the discharge. With the application of the RF heating at the lower hybrid frequency the boundary density is submitted to a large modification. The behavior of this modification in the density profile depends on the rate of injection of the cold feeding gas. In the discharge with a constant or decreasing gas feeding rate the density profile flattens, and with an increasing rate it steepens when the RF pulse is applied. (orig.)

  14. Characterization of thermal plasmas by laser light scattering

    International Nuclear Information System (INIS)

    Snyder, S.C.; Lassahn, G.D.; Reynolds, L.D.; Fincke, J.R.

    1993-01-01

    Characterization of an atmospheric pressure free-burning arc discharge and a plasma jet by lineshape analysis of scattered laser light is described. Unlike emission spectroscopy, this technique provides direct measurement of plasma gas temperature, electron temperature and electron density without the assumption of local thermodynamic equilibrium (LTE). Plasma gas velocity can also be determined from the Doppler shift of the scattered laser light. Radial gas temperature, electron temperature and electron density profiles are presented for an atmospheric pressure argon free-burning arc discharge. These results show a significant departure from LTE in the arc column, contradicting results obtained from emission spectroscopy. Radial gas temperature and gas velocity profiles in the exit plane of a subsonic atmospheric pressure argon plasma jet are also presented. In this case, the results show the plasma jet is close to LTE in the center, but not in the fringes. The velocity profile is parabolic

  15. Visualization of intermittent blobby plasma transport in attached and detached plasmas of the NAGDIS-II

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Furuta, Katsuhiro; Takamura, Shuichi

    2004-01-01

    We investigated the intermittent convective plasma transport in a attached and/or detached plasma condition of the linear divertor plasma simulator, NAGDIS-II. Images taken by a fast-imaging camera clearly show that in attached plasmas, blobs are peeled off the bulk plasma, and propagate outward with an azimuthal motion. In detached plasmas, plasma turbulence observed near the plasma recombining region drives strong intermittent radial plasma transport, which could broaden the radial density profile. (author)

  16. Solid density, low temperature plasma formation in a capillary discharge

    International Nuclear Information System (INIS)

    Kania, D.R.; Jones, L.A.; Maestas, M.D.; Shepherd, R.L.

    1987-01-01

    This work discusses the ability of the authors to produce solid density, low temperature plasmas in polyurethane capillary discharges. The initial capillary diameter is 20 μm. The plasma is produced by discharging a one Ohm parallel plate waterline and Marx generator system through the capillary. A peak current of 340 kA in 300 ns heats the inner wall of the capillary, and the plasma expands into the surrounding material. The authors studied the evolution of the discharge using current and voltage probes, axial and radial streak photography, axial x-ray diode array and schlieren photography, and have estimated the peak temperature of the discharge to be approximately 10 eV and the density to be near 10/sup 23/cm/sup -3/. This indicates that the plasma may approach the strongly coupled regime. They discuss their interpretation of the data and compare their results with theoretical models of the plasma dynamics

  17. Effect of lithium PFC coatings on NSTX density control

    International Nuclear Information System (INIS)

    Kugel, H.W.; Bell, M.G.; Bell, R.; Bush, C.; Gates, D.; Gray, T.; Kaita, R.; Leblanc, B.; Maingi, R.; Majeski, R.; Mansfield, D.; Mueller, D.; Paul, S.; Raman, R.; Roquemore, A.L.; Sabbagh, S.; Skinner, C.H.; Soukhanovskii, V.; Stevenson, T.; Zakharov, L.

    2007-01-01

    Lithium coatings on the graphite plasma facing components (PFCs) in NSTX are being investigated as a tool for density profile control and reducing the recycling of hydrogen isotopes. Repeated lithium pellet injection into Center Stack Limited and Lower Single Null ohmic helium discharges were used to coat graphite surfaces that had been pre-conditioned with ohmic helium discharges of the same shape to reduce their contribution to hydrogen isotope recycling. The following deuterium NBI reference discharges exhibited a reduction in density by a factor of about 3 for limited and 2 for diverted plasmas, respectively, and peaked density profiles. Recently, a lithium evaporator has been used to apply thin coatings on conditioned and unconditioned PFCs. Effects on the plasma density and the impurities were obtained by pre-conditioning the PFCs with ohmic helium discharges, and performing the first deuterium NBI discharge as soon as possible after applying the lithium coating

  18. Silicon micromachining using a high-density plasma source

    International Nuclear Information System (INIS)

    McAuley, S.A.; Ashraf, H.; Atabo, L.; Chambers, A.; Hall, S.; Hopkins, J.; Nicholls, G.

    2001-01-01

    Dry etching of Si is critical in satisfying the demands of the micromachining industry. The micro-electro-mechanical systems (MEMS) community requires etches capable of high aspect ratios, vertical profiles, good feature size control and etch uniformity along with high throughput to satisfy production requirements. Surface technology systems' (STS's) high-density inductively coupled plasma (ICP) etch tool enables a wide range of applications to be realized whilst optimizing the above parameters. Components manufactured from Si using an STS ICP include accelerometers and gyroscopes for military, automotive and domestic applications. STS's advanced silicon etch (ASE TM ) has also allowed the first generation of MEMS-based optical switches and attenuators to reach the marketplace. In addition, a specialized application for fabricating the next generation photolithography exposure masks has been optimized for 200 mm diameter wafers, to depths of ∼750 μm. Where the profile is not critical, etch rates of greater than 8 μm min -1 have been realized to replace previous methods such as wet etching. This is also the case for printer applications. Specialized applications that require etching down to pyrex or oxide often result in the loss of feature size control at the interface; this is an industry wide problem. STS have developed a technique to address this. The rapid progression of the industry has led to development of the STS ICP etch tool, as well as the process. (author)

  19. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  20. Investigation of mechanisms for He-I emission radial profile broadening in a weakly ionized cylindrical helium plasma with recombining edge

    Energy Technology Data Exchange (ETDEWEB)

    Hollmann, E. M.; Brandt, C.; Hudson, B.; Nishijima, D.; Pigarov, A. Yu. [University of California–San Diego, 9500 Gilman Dr., La Jolla, California 92093-0417 (United States); Kumar, D. [Johns Hopkins University, 3400 N Charles St., Baltimore, Maryland 21218 (United States)

    2013-09-15

    Spatially resolved spectroscopic measurements of He-I line emission are used to study the causes of emission profile broadening radially across the cylinder of a weakly ionized helium plasma. The plasma consists of an ionizing core (r < 2 cm) surrounded by a recombining edge (r > 2 cm) plasma. The brightness profiles of low-n EUV He-I resonance lines are shown to be strongly radially broadened due to opacity. The brightness profiles of high-n visible lines are also found to be strongly radially broadened, but dominantly due to edge recombination. Visible low-n lines are less strongly radially broadened, apparently by a combination of both recombination and EUV opacity. The low-n visible He-I line ratio method with central opacity correction is found to calculate central electron density and temperature well, with poor agreement at the edge, as expected for recombining plasma. In the recombining edge, high-n Boltzmann analysis is found to accurately measure the cold (T{sub e} < 0.2 eV) edge temperature. Near the core, however, high-n Boltzmann analysis can be complicated by electron-impact excitation, giving incorrect (T{sub e}≈ 0.1 eV) apparent temperatures. Probe measurements were not able to capture the cold edge temperature accurately, probably due to large potential fluctuations, even when using fast triple probe measurements. Fast spectroscopic measurements show that this discrepancy is not explained by recombining plasma alternating with ionizing plasma in the edge region.

  1. Scattering effects of small-scale density fluctuations on reflectometric measurements in a tokamak plasma

    International Nuclear Information System (INIS)

    Garcia, J.P.; Manso, M.E.; Serra, F.M.; Mendonca, J.T.

    1989-01-01

    When a wave propagates in a non homogeneous fluctuating plasma part of the incident energy is scattered out to the nonlinear interaction between the wave and the oscillating modes perturbing the plasma. The possibility of enhanced scattering at the cutoff layer, where reflection of the incident wave occurs, has been recently suggested as the basis of a reflectometric experiment to determine the spatial location of small scale fluctuations in a fusion plasma. Here we report on the development of a theoretical model to evaluate the flux of energy scattered by fluctuations, in order to give insight about the interpretation of measurements using a microwave reflectometry diagnostic in a tokamak. The scattered field is obtained through the resolution of a (non-homogeneous) wave propagation equation where the source term is related with the nonlinear current due to the interaction between the incident wave and local fluctuations. We use a slab model for the plasma, and an ordinary (0) wave propagation along the density gradient is considered. The amplitude of the scattered wave at the border of the plasma is estimated. In order to know the contributions to the energy scattered both from the propagation region and the reflecting layer, an approach was used where perturbations are modelled by spatial step functions at several layers. The main contribution to the scattered power comes from the cutoff region, where the electric field amplitude swells as compared with the incident value. Considering the reflectometric system recently installed on the ASDEX tokamak, and using typical density profiles, expected values of the 'swelling factor' have been numerically evaluated. The role of incoherent scattering due to drift wave activity is discussed as well as the coherent scattering due to fluctuations induced by lower hybrid (LH) waves. (author) 2 refs., 4 figs

  2. The influence of plasma density decreasement by pre-pulse on the laser wakefield acceleration

    Directory of Open Access Journals (Sweden)

    Ke-Gong Dong

    2011-12-01

    Full Text Available In the laser wakefield acceleration, the generation of electron beam is very sensitive to the plasma density. Not only the laser-wakefield interaction, but also the electron trapping and acceleration would be effected by the plasma density. However, the plasma density could be changed in the experiment by different reasons, which will result in the mismatch of parameters arranged initially. Forward Raman scattering spectrum demonstrated that the interaction density was decreased obviously in the experiment, which was verified by the pre-pulse conditions and two-dimensional particle-in-cell simulations. It was demonstrated that the plasma density was very important on the self-evolutions and energy coupling of laser pulse and wakefield, and eventually the energy spectrum of electron beam.

  3. Diagnosing high density, fast-evolving plasmas using x-ray lasers

    International Nuclear Information System (INIS)

    Cauble, R.; Da Silva, L.B.; Barbee, T.W. Jr.

    1994-09-01

    As x-ray laser (XRL) research has matured, it has become possible to reliably utilize XRLs for applications in the laboratory. Laser coherence, high brightness and short pulse duration all make the XRL a unique tool for the diagnosis of laboratory plasmas. The high brightness of XRLs makes them well-suited for imaging and for interferometry when used in conjunction with multilayer mirrors and beamsplitters. We have utilized a soft x-ray laser in such an imaging system to examine laser-produced plasmas using radiography, moire deflectometry, and interferometry. Radiography experiments yield 100-200 ps snapshots of laser driven foils at a resolution of 1-2 μm. Moire deflectometry with an XRL has been used to probe plasmas at higher density than by optical means. Interferograms, which allow direct measurement of electron density in laser plasmas, have been obtained with this system

  4. Extreme hydrogen plasma densities achieved in a linear plasma generator

    NARCIS (Netherlands)

    Rooij, van G.J.; Veremiyenko, V.P.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Smeets, P.H.M.; Versloot, T.W.; Whyte, D.G.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.

    2007-01-01

    A magnetized hydrogen plasma beam was generated with a cascaded arc, expanding in a vacuum vessel at an axial magnetic field of up to 1.6 T. Its characteristics were measured at a distance of 4 cm from the nozzle: up to a 2 cm beam diameter, 7.5×1020 m-3 electron density, ~2 eV electron and ion

  5. Study of density fluctuation in L-mode and H-mode plasmas on JFT-2M by microwave reflectometer

    International Nuclear Information System (INIS)

    Shinohara, Kouji

    1997-08-01

    We propose the model which can explain the runaway phase. The model takes account of the scattered wave which is caused by the density fluctuation near the cut-off layer. We should take a new approach instead of the conventional phase measurement in order to derive the information of the density fluctuation from the data with the runaway phase. The complex spectrum and the rotary spectrum analyses are useful tools to analyze such data. The density fluctuation in L-mode and H-mode plasmas is discussed by using this new approach. We have observed that the reduction of the density fluctuation is localized in the edge region where the sheared electric field is produced. The fluctuations in the range of frequency lower than 100 kHz are mainly reduced. Two interesting features have been observed. One is the detection of the coherent mode around 100 kHz in H-mode. This mode appears about 10 ms after L to H transition. The timing corresponds to the formation of a steep density and temperature gradient in the edge region. The other is the enhancement of the fluctuations with the frequency higher than 300 kHz in H-mode in contrast to the reduction of the fluctuations with the frequency lower than 100 kHz. The Doppler shift is observed in the complex auto-power spectrum of the reflected wave when the plasma is actively moved. We have confirmed that the movement of the plasma is appropriately measured by using the low pass filter. The reflectometer can be used to measure the density profile by using a low pass filter even when the runaway phase phenomenon occurs. (author). 150 refs

  6. Dosimetric Properties of Plasma Density Effects on Laser-Accelerated VHEE Beams Using a Sharp Density-Transition Scheme

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Seung Hoon; Cho, Sungho; Kim, Eun Ho; Park, Jeong Hoon; Jung, Won-Gyun; Kim, Geun Beom; Kim, Kum Bae [Korea Institute of Radiological and Medical Sciences, Seoul (Korea, Republic of); Min, Byung Jun [Sungkyunkwan University School of Medicine, Seoul (Korea, Republic of); Kim, Jaehoon [Korea Electrotechnology Research Institute, Ansan (Korea, Republic of); Jeong, Hojin [Gyeongsang National University Hospital, Jinju (Korea, Republic of); Lee, Kitae [Korea Atomic Energy Research Institute, Deajeon (Korea, Republic of); Park, Sung Yong [Karmanos Cancer Institute, Michigan (United States)

    2017-01-15

    In this paper, the effects of the plasma density on laser-accelerated electron beams for radiation therapy with a sharp density transition are investigated. In the sharp density-transition scheme for electron injection, the crucial issue is finding the optimum density conditions under which electrons injected only during the first period of the laser wake wave are accelerated further. In this paper, we report particle-in-cell simulation results for the effects of both the scale length and the density transition ratio on the generation of a quasi-mono-energetic electron bunch. The effects of both the transverse parabolic channel and the plasma length on the electron-beam's quality are investigated. Also, we show the experimental results for the feasibility of a sharp density-transition structure. The dosimetric properties of these very high-energy electron beams are calculated using Monte Carlo simulations.

  7. The segmented non-uniform dielectric module design for uniformity control of plasma profile in a capacitively coupled plasma chamber

    International Nuclear Information System (INIS)

    Xia, Huanxiong; Xiang, Dong; Yang, Wang; Mou, Peng

    2014-01-01

    Low-temperature plasma technique is one of the critical techniques in IC manufacturing process, such as etching and thin-film deposition, and the uniformity greatly impacts the process quality, so the design for the plasma uniformity control is very important but difficult. It is hard to finely and flexibly regulate the spatial distribution of the plasma in the chamber via controlling the discharge parameters or modifying the structure in zero-dimensional space, and it just can adjust the overall level of the process factors. In the view of this problem, a segmented non-uniform dielectric module design solution is proposed for the regulation of the plasma profile in a CCP chamber. The solution achieves refined and flexible regulation of the plasma profile in the radial direction via configuring the relative permittivity and the width of each segment. In order to solve this design problem, a novel simulation-based auto-design approach is proposed, which can automatically design the positional sequence with multi independent variables to make the output target profile in the parameterized simulation model approximate the one that users preset. This approach employs an idea of quasi-closed-loop control system, and works in an iterative mode. It starts from initial values of the design variable sequences, and predicts better sequences via the feedback of the profile error between the output target profile and the expected one. It never stops until the profile error is narrowed in the preset tolerance

  8. One- and two-dimensional density and temperature measurements of an argon-neon Z-pinch plasma at stagnation

    International Nuclear Information System (INIS)

    Wong, K.L.; Springer, P.T.; Hammer, J.H.; Iglesias, C.A.; Osterheld, A.L.; Foord, M.E.; Bruns, H.C.; Emig, J.A.; Deeney, C.

    1997-01-01

    In order to benchmark and improve current 2D radiation magnetohydrodynamic (MHD) models of Z-pinch plasmas, we have performed experiments which characterize the plasma conditions at stagnation. In the experiments the SATURN pulsed power facility at Sandia National Laboratory was used to create an imploding Ar-Ne plasma. An absolutely calibrated, high resolution space- and time-resolving Johann crystal spectrometer was used to infer the electron temperature T e from the slope of the hydrogenlike Ne free-bound continuum, and the ion density n i from the Stark broadening of the Ar heliumlike Rydberg series. 2D electron temperature profiles of the plasma are obtained from a set of imaging crystals also focused on the Ne free-bound continuum. We shot two types of gas nozzles in the experiment, annular and uniform fill, which varies the amount of mass in the plasma. 2D local thermodynamic equilibrium (LTE) and non-LTE MHD models predict a radiating region denser and cooler than measured. copyright 1997 American Institute of Physics

  9. Large density amplification measured on jets ejected from a magnetized plasma gun

    OpenAIRE

    Yun, Gunsu S.; You, Setthivoine; Bellan, Paul M.

    2007-01-01

    Observation of a large density amplification in the collimating plasma jet ejected from a coplanar coaxial plasma gun is reported. The jet velocity is ~30 km s^-1 and the electron density increases from ~10^20 to 10^(22–23) m^-3. In previous spheromak experiments, electron density of the order 10^(19–21) m^-3 had been measured in the flux conserver region, but no density measurement had been reported for the source gun region. The coplanar geometry of our electrodes permits direct observation...

  10. Scattering cross-section of an inhomogeneous plasma cylinder

    International Nuclear Information System (INIS)

    Jiaming Shi; Lijian Qiu; Ling, Y.

    1995-01-01

    Scattering of em waves by the plasma cylinder is of significance in radar target detection, plasma diagnosis, etc. This paper discusses the general method to calculate the scattering cross-section of em waves from a plasma cylinder which is radially inhomogeneous and infinitely long. Numerical results are also provided for several plasma density profiles. The effect of the electron density distribution on the scattering cross-section is investigated

  11. Study on possibility of plasma current profile determination using an analytical model of tokamak equilibrium

    International Nuclear Information System (INIS)

    Moriyama, Shin-ichi; Hiraki, Naoji

    1996-01-01

    The possibility of determining the current profile of tokamak plasma from the external magnetic measurements alone is investigated using an analytical model of tokamak equilibrium. The model, which is based on an approximate solution of the Grad-Shafranov equation, can set a plasma current profile expressed with four free parameters of the total plasma current, the poloidal beta, the plasma internal inductance and the axial safety factor. The analysis done with this model indicates that, for a D-shaped plasma, the boundary poloidal magnetic field prescribing the external magnetic field distribution is dependent on the axial safety factor in spite of keeping the boundary safety factor and the plasma internal inductance constant. This suggests that the plasma current profile is reversely determined from the external magnetic analysis. The possibility and the limitation of current profile determination are discussed through this analytical result. (author)

  12. Electron number density profiles derived from radio occultation on the CASSIOPE spacecraft

    DEFF Research Database (Denmark)

    Shume, E. B.; Vergados, P.; Komjathy, A.

    2017-01-01

    This paper presents electron number density profiles derived from high resolution Global Positioning System (GPS) radio occultation (RO) observations performed using the Enhanced Polar Outflow Probe (e-POP) payload on the high inclination CAScade, Smallsat and IOnospheric Polar Explorer (CASSIOPE...... good agreement with density profiles estimated from ionosonde data, measured over nearby stations to the latitude and longitude of the RO tangent points, (2) in good agreement with density profiles inferred from GPS RO measured by the Constellation Observing System for Meteorology, Ionosphere...

  13. Local electron density measurements in a screw pinch by means of a Michelson interferometer

    International Nuclear Information System (INIS)

    Hoekzema, J.A.; Busch, P.J.; Mastop, W.J.

    1976-06-01

    The time-dependent density profile of a toroidal screw-pinch plasma is determined from successive measurements of the line density along different sections of a line through the plasma. The pathlength is varied by the introduction of a hollow quartz tube into the plasma

  14. High density internal transport barriers for burning plasma operation

    Energy Technology Data Exchange (ETDEWEB)

    Ridolfini, V Pericoli [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Barbato, E [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Buratti, P [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy)] (and others)

    2005-12-15

    A tokamak plasma with internal transport barriers (ITBs) is the best candidate for a steady ITER operation, since the high energy confinement allows working at plasma currents (I{sub p}) lower than the reference scenario. To build and sustain an ITB at the ITER high density ({>=}10{sup 20} m{sup -3}) and largely dominant electron (e{sup -}) heating is not trivial in most existing tokamaks. FTU can instead meet both requests, thanks to its radiofrequency heating systems, lower hybrid (LH, up to 1.9 MW) and electron cyclotron (EC up to 1.2 MW). By the combined use of them, ITBs are obtained up to peak densities n{sub e0} > 1.3 x 10{sup 20} m{sup -3}, with central e{sup -} temperatures T{sub e0} {approx} 5.5 keV, and are sustained for as long as the heating pulse is applied (>35 confinement times, {tau}{sub E}). At n{sub e0} {approx} 0.8 x 10{sup 20} m{sup -3} T{sub e0} can be larger than 11 keV. Almost full current drive (CD) and an overall good steadiness is attained within about one {tau}{sub E}, 20 times faster than the ohmic current relaxation time. The ITB extends over a central region with an almost flat or slightly reversed q profile and q{sub min} {approx} 1.3 that is fully sustained by off-axis lower hybrid current drive. Consequent to this is the beneficial good alignment of the bootstrap current, generated by the ITB large pressure gradients, with the LH driven current. Reflectometry shows a clear change in the turbulence close to the ITB radius, consistent with the reduced e{sup -} transport. Ions (i{sup +}) are significantly heated via collisions, but thermal equilibrium with electrons cannot be attained since the e{sup -}-i{sup +} equipartition time is always 4-5 times longer than {tau}{sub E}. No degradation of the overall ion transport, rather a reduction of the i{sup +} heat diffusivity, is observed inside the ITB. The global confinement has been improved up to 1.6 times over the scaling predictions. The ITB radius can be controlled by adjusting the

  15. Optimization of laser-plasma injector via beam loading effects using ionization-induced injection

    Science.gov (United States)

    Lee, P.; Maynard, G.; Audet, T. L.; Cros, B.; Lehe, R.; Vay, J.-L.

    2018-05-01

    Simulations of ionization-induced injection in a laser driven plasma wakefield show that high-quality electron injectors in the 50-200 MeV range can be achieved in a gas cell with a tailored density profile. Using the PIC code Warp with parameters close to existing experimental conditions, we show that the concentration of N2 in a hydrogen plasma with a tailored density profile is an efficient parameter to tune electron beam properties through the control of the interplay between beam loading effects and varying accelerating field in the density profile. For a given laser plasma configuration, with moderate normalized laser amplitude, a0=1.6 and maximum electron plasma density, ne 0=4 ×1018 cm-3 , the optimum concentration results in a robust configuration to generate electrons at 150 MeV with a rms energy spread of 4% and a spectral charge density of 1.8 pC /MeV .

  16. Relationship between particle and heat transport in JT-60U plasmas with internal transport barrier

    International Nuclear Information System (INIS)

    Takenaga, Hidenobu; Higashijima, S.; Oyama, N.

    2003-01-01

    The relationship between particle and heat transport in an internal transport barrier (ITB) has been systematically investigated in reversed shear (RS) and high β p ELMy H-mode plasmas in JT-60U. No helium and carbon accumulation inside the ITB is observed even with ion heat transport reduced to a neoclassical level. On the other hand, the heavy impurity argon is accumulated inside the ITB. The argon density profile estimated from the soft x-ray profile is more peaked, by a factor of 2-4 in the RS plasma and of 1.6 in the high β p mode plasma, than the electron density profile. The helium diffusivity (D He ) and the ion thermal diffusivity (χ i ) are at an anomalous level in the high β p mode plasma, where D He and χ i are higher by a factor of 5-10 than the neoclassical value. In the RS plasma, D He is reduced from the anomalous to the neoclassical level, together with χ i . The carbon and argon density profiles calculated using the transport coefficients reduced to the neoclassical level only in the ITB are more peaked than the measured profiles, even when χ i is reduced to the neoclassical level. Argon exhaust from the inside of the ITB is demonstrated by applying ECH in the high β p mode plasma, where both electron and argon density profiles become flatter. The reduction of the neoclassical inward velocity for argon due to the reduction of density gradient is consistent with the experimental observation. In the RS plasma, the density gradient is not decreased by ECH and argon is not exhausted. These results suggest the importance of density control to suppress heavy impurity accumulation. (author)

  17. Relationship between particle and heat transport in JT-60U plasmas with internal transport barrier

    International Nuclear Information System (INIS)

    Takenaga, H.; Higashijima, S.; Oyama, N.

    2003-01-01

    The relationship between particle and heat transport in an internal transport barrier (ITB) has been systematically investigated in reversed shear (RS) and high β p ELMy H-mode plasmas in JT-60U. No helium and carbon accumulation inside the ITB is observed even with ion heat transport reduced to a neoclassical level. On the other hand, the heavy impurity argon is accumulated inside the ITB. The argon density profile estimated from the soft x-ray profile is more peaked, by a factor of 2-4 in the RS plasma and of 1.6 in the high β p mode plasma, than the electron density profile. The helium diffusivity (D He ) and the ion thermal diffusivity (χ i ) are at an anomalous level in the high β p mode plasma, where D He and χ i are higher by a factor of 5-10 than the neoclassical value. In the RS plasma, D He is reduced from the anomalous to the neoclassical level, together with χ i . The carbon and argon density profiles calculated using the transport coefficients reduced to the neoclassical level only in the ITB are more peaked than the measured profiles, even when χ i is reduced to the neoclassical level. Argon exhaust from the inside of the ITB is demonstrated by applying ECH in the high β p mode plasma, where both electron and argon density profiles become flatter. The reduction of the neoclassical inward velocity for argon due to the reduction of density gradient is consistent with the experimental observation. In the RS plasma, the density gradient is not decreased by ECH and argon is not exhausted. These results suggest the importance of density gradient control to suppress heavy impurity accumulation. (author)

  18. Dynamics of low density coronal plasma in low current x-pinches

    International Nuclear Information System (INIS)

    Haas, D; Bott, S C; Vikhrev, V; Eshaq, Y; Ueda, U; Zhang, T; Baranova, E; Krasheninnikov, S I; Beg, F N

    2007-01-01

    Experiments were performed on an x-pinch using a pulsed power current generator capable of producing an 80 kA current with a rise time of 50 ns. Molybdenum wires with and without gold coating were employed to study the effect of high z coating on the low-density ( 18 cm -3 ) coronal plasma dynamics. A comparison of images from XUV frames and optical probing shows that the low density coronal plasma from the wires initially converges at the mid-plane immediately above and below the cross-point. A central jet is formed which moves with a velocity of 6 x 10 4 ms -1 towards both electrodes forming a z-pinch column before the current maximum. A marked change in the low density coronal plasma dynamics was observed when molybdenum wires coated with ∼ 0.09 μm of gold were used. The processes forming the jet structure were delayed relative to bare Mo x-pinches, and the time-resolved x-ray emission also showed differences. An m = 0 instability was observed in the coronal plasma along the x-pinch legs, which were consistent with x-ray PIN diode signals in which x-ray pulses were observed before x-ray spot formation. These early time x-ray pulses were not observed with pure molybdenum x-pinches. These observations indicate that a thin layer of gold coating significantly changes the coronal plasma behaviour. Two dimensional MHD simulations were performed and qualitatively agree with experimental observations of low density coronal plasma

  19. Particle Transport in ECRH Plasmas of the TJ-II; Transporte de Particulas en Plasmas ECRH del TJ-II

    Energy Technology Data Exchange (ETDEWEB)

    Vargas, V. I.; Lopez-Bruna, D.; Estrada, T.; Guasp, J.; Reynolds, J. M.; Velasco, J. L.; Herranz, J.

    2007-07-01

    We present a systematic study of particle transport in ECRH plasmas of TJ-II with different densities. The goal is to fi nd particle confinement time and electron diffusivity dependence with line-averaged density. The experimental information consists of electron temperature profiles, T{sub e} (Thomson Scattering TS) and electron density, n{sub e}, (TS and reflectometry) and measured puffing data in stationary discharges. The profile of the electron source, Se, was obtained by the 3D Monte-Carlo code EIRENE. The analysis of particle balance has been done by linking the results of the code EIRENE with the results of a model that reproduces ECRH plasmas in stationary conditions. In the range of densities studied (0.58 {<=}n{sub e}> (10{sup 1}9m{sup -}3) {<=}0.80) there are two regions of confinement separated by a threshold density, {approx}0.65 10{sup 1}9m{sup -}3. Below this threshold density the particle confinement time is low, and vice versa. This is reflected in the effective diffusivity, D{sub e}, which in the range of validity of this study, 0.5 <{rho}<0.9 being {rho} normalized plasma radius, decreased significantly above the threshold density. The profiles of D{sub e} are flat for {>=}0,63(10{sup 1}9m{sup -}3). (Author) 35 refs.

  20. Electron density profile measurements by microwave reflectometry on Tore Supra

    International Nuclear Information System (INIS)

    Clairet, F.; Paume, M.; Chareau, J.M.

    1995-01-01

    A proposal is presented developing reflectometry diagnostic for electron density profile measurements as routine diagnostic without manual intervention as achieved at JET. Since density fluctuations seriously perturb the reflected signal and the measurement of the group delay, a method is described to overcome the irrelevant results with the help of an adaptive filtering technique. Accurate profiles are estimated for about 70% of the shots. (author) 3 refs.; 6 figs

  1. Profile formation and sustainment of autonomous tokamak plasma with current hole configuration

    International Nuclear Information System (INIS)

    Hayashi, N.; Takizuka, T.; Ozeki, T.

    2005-01-01

    We have investigated the profile formation and sustainment of tokamak plasmas with the current hole (CH) configuration by using 1.5D time-dependent transport simulations. A model of the current limit inside the CH on the basis of the Axisymmetric Tri-Magnetic-Islands equilibrium is introduced into the transport simulation. We found that a transport model with the sharp reduction of anomalous transport in the reversed-shear (RS) region can reproduce the time evolution of profiles observed in JT-60U experiments. The transport becomes neoclassical-level in the RS region, which results in the formation of profiles with internal transport barrier (ITB) and CH. The CH plasma has an autonomous property because of the strong interaction between a pressure profile and a current profile through the large bootstrap current fraction. The ITB width determined by the neoclassical-level transport agrees well with that measured in JT-60U. The energy confinement inside the ITB agrees with the scaling based on the JT-60U data. The scaling means the autonomous limitation of energy confinement in the CH plasma. The plasma with the large CH is sustained with the full current drive by the bootstrap current. The plasma with the small CH and the small bootstrap current fraction shrinks due to the penetration of inductive current. This shrink is prevented and the CH size can be controlled by the appropriate external current drive (CD). The CH plasma is found to respond autonomically to the external CD. (author)

  2. High performance H-mode plasmas at densities above the Greenwald limit

    International Nuclear Information System (INIS)

    Mahdavi, M.A.; Osborne, T.H.; Leonard, A.W.

    2001-01-01

    Densities up to 40 percent above the Greenwald limit are reproducibly achieved in high confinement (H ITER89p =2) ELMing H-mode discharges. Simultaneous gas fueling and divertor pumping were used to obtain these results. Confinement of these discharges, similar to moderate density H-mode, is characterized by a stiff temperature profile, and therefore sensitive to the density profile. A particle transport model is presented that explains the roles of divertor pumping and geometry for access to high densities. Energy loss per ELM at high density is a factor of five lower than predictions of an earlier scaling, based on data from lower density discharges. (author)

  3. Probing neutral density at the plasma edge of Tore Supra with CX excited impurity ions

    International Nuclear Information System (INIS)

    Hess, W.R.; Mattioli, M.; Guirlet, R.

    1993-01-01

    In Tokamak plasma physics renewed interest in visible spectroscopy has grown for two reasons. The use of fiber optics allows observation of local sources of both impurities and of hydrogen by observing radiation of low ionization states. Moreover, charge exchange spectroscopy (CXS) with either auxiliary or heating neutral beams is a standard technique to determine the ion temperature and impurity density profiles. After a short description of the experimental setup and the ergodic divertor of Tore Supra (TS), two discharges in which space-resolved observations of the CVI (8-7) line clearly show the presence of CX-related effects. A well isolated spectral line at 5304.6 A is discussed. Tentative identification as CIII (1s 2 2s, 7-5) is suggested. The conclusion shows the usefulness of the reported results for probing neutral density at the plasma edge by detecting CX excited impurity ions and that highly ionized C 6+ ions exist in the MARFE regions. To the best of our knowledge, only very low ionization C and O ions (such as CIII or OIV) have been previously reported in these regions

  4. Low-frequency oscillations at high density in JFT-2

    International Nuclear Information System (INIS)

    Maeno, Masaki; Katagiri, Masaki; Suzuki, Norio; Fujisawa, Noboru

    1977-12-01

    Low-frequency oscillations in a plasma were measured with magnetic probes and Si surface-barrier detectors, and behaviour of the high density plasmas was studied. The plasma current profile in the phase of decreasing density after the interruption of gas input is more peaked than during gas input. The introduction of hydrogen during a discharge results in a reduction of the impurities flux. The increase of density by fast gas input is limited with a negative voltage spike. Immediately before a negative voltage spike, oscillations of m=1,2 grow, leading to the spike. (auth.)

  5. Effects of a random spatial variation of the plasma density on the mode conversion in cold, unmagnetized, and stratified plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jung Yu, Dae [School of Space Research, Kyung Hee University, Yongin 446-701 (Korea, Republic of); Kim, Kihong [Department of Energy Systems Research, Ajou University, Suwon 443-749 (Korea, Republic of)

    2013-12-15

    We study the effects of a random spatial variation of the plasma density on the mode conversion of electromagnetic waves into electrostatic oscillations in cold, unmagnetized, and stratified plasmas. Using the invariant imbedding method, we calculate precisely the electromagnetic field distribution and the mode conversion coefficient, which is defined to be the fraction of the incident wave power converted into electrostatic oscillations, for the configuration where a numerically generated random density variation is added to the background linear density profile. We repeat similar calculations for a large number of random configurations and take an average of the results. We obtain a peculiar nonmonotonic dependence of the mode conversion coefficient on the strength of randomness. As the disorder increases from zero, the maximum value of the mode conversion coefficient decreases initially, then increases to a maximum, and finally decreases towards zero. The range of the incident angle in which mode conversion occurs increases monotonically as the disorder increases. We present numerical results suggesting that the decrease of mode conversion mainly results from the increased reflection due to the Anderson localization effect originating from disorder, whereas the increase of mode conversion of the intermediate disorder regime comes from the appearance of many resonance points and the enhanced tunneling between the resonance points and the cutoff point. We also find a very large local enhancement of the magnetic field intensity for particular random configurations. In order to obtain high mode conversion efficiency, it is desirable to restrict the randomness close to the resonance region.

  6. Effects of a random spatial variation of the plasma density on the mode conversion in cold, unmagnetized, and stratified plasmas

    International Nuclear Information System (INIS)

    Jung Yu, Dae; Kim, Kihong

    2013-01-01

    We study the effects of a random spatial variation of the plasma density on the mode conversion of electromagnetic waves into electrostatic oscillations in cold, unmagnetized, and stratified plasmas. Using the invariant imbedding method, we calculate precisely the electromagnetic field distribution and the mode conversion coefficient, which is defined to be the fraction of the incident wave power converted into electrostatic oscillations, for the configuration where a numerically generated random density variation is added to the background linear density profile. We repeat similar calculations for a large number of random configurations and take an average of the results. We obtain a peculiar nonmonotonic dependence of the mode conversion coefficient on the strength of randomness. As the disorder increases from zero, the maximum value of the mode conversion coefficient decreases initially, then increases to a maximum, and finally decreases towards zero. The range of the incident angle in which mode conversion occurs increases monotonically as the disorder increases. We present numerical results suggesting that the decrease of mode conversion mainly results from the increased reflection due to the Anderson localization effect originating from disorder, whereas the increase of mode conversion of the intermediate disorder regime comes from the appearance of many resonance points and the enhanced tunneling between the resonance points and the cutoff point. We also find a very large local enhancement of the magnetic field intensity for particular random configurations. In order to obtain high mode conversion efficiency, it is desirable to restrict the randomness close to the resonance region

  7. Duodenal L cell density correlates with features of metabolic syndrome and plasma metabolites

    Directory of Open Access Journals (Sweden)

    Annieke C G van Baar

    2018-05-01

    Full Text Available Background: Enteroendocrine cells are essential for the regulation of glucose metabolism, but it is unknown whether they are associated with clinical features of metabolic syndrome (MetS and fasting plasma metabolites. Objective: We aimed to identify fasting plasma metabolites that associate with duodenal L cell, K cell and delta cell densities in subjects with MetS with ranging levels of insulin resistance. Research design and methods: In this cross-sectional study, we evaluated L, K and delta cell density in duodenal biopsies from treatment-naïve males with MetS using machine-learning methodology. Results: We identified specific clinical biomarkers and plasma metabolites associated with L cell and delta cell density. L cell density was associated with increased plasma metabolite levels including symmetrical dimethylarginine, 3-aminoisobutyric acid, kynurenine and glycine. In turn, these L cell-linked fasting plasma metabolites correlated with clinical features of MetS. Conclusions: Our results indicate a link between duodenal L cells, plasma metabolites and clinical characteristics of MetS. We conclude that duodenal L cells associate with plasma metabolites that have been implicated in human glucose metabolism homeostasis. Disentangling the causal relation between L cells and these metabolites might help to improve the (small intestinal-driven pathophysiology behind insulin resistance in human obesity.

  8. Non linear excitation of waves at the vicinity of plasma resonance

    International Nuclear Information System (INIS)

    Chiron, Arnaud

    1992-01-01

    This research thesis reports the study of the non linear evolution of ionic acoustic and plasma waves excited by resonant absorption of an electromagnetic wave, in a non collisional plasma, without external magnetic field, and with a parabolic density profile. The plasma resonance occurs about the density profile peak. The numerical resolution of the Zakharov equation system is performed to describe the coupled evolution of the plasma wave electric field envelope, and low frequency density disturbances. Experiments performed in the microwave domain show the existence of a new effect related to the modification of the electromagnetic wave propagation under the influence of plasma density disturbances created by the ponderomotive force. This effect which results in a collisional relaxation of plasma waves trapped in the cavity formed at resonance, cannot be taken into account by a numerical simulation using a capacitive pump field. Measurements showed that plasma waves were trapped and relaxing in a cavity with characteristic dimensions of some thousands of Debye lengths, and that the plasma wave in the cavity was stationary. A new turbulence regime is thus highlighted [fr

  9. Stationary neoclassical profiles of plasma parameters in stellarators

    International Nuclear Information System (INIS)

    Danilkin, I.S.; Mineev, A.B.

    1991-01-01

    Peculiarities of neoclassical model of heat and particle transfer, occuring by calculations of plasma stationary profile parameters in stellarators are considered. The main peculiarity out of all consists in ineadequate compatibility with real physical conditions on the boundary, requiring application of supplementary 'anomalous' transfer or special (but technically possible) adjustment of particle and heat sources to achieve solution in form of 'correct' monotonically sloping profile. It is stated, that neoclassical theory does not provide for well-known ambiguity of solutions for ambipolar electrical field by search of monotonous stationary profiles supported by outside sources

  10. A contoured gap coaxial plasma gun with injected plasma armature

    Energy Technology Data Exchange (ETDEWEB)

    Witherspoon, F. Douglas; Case, Andrew; Messer, Sarah J.; Bomgardner, Richard II; Phillips, Michael W.; Brockington, Samuel; Elton, Raymond [HyperV Technologies Corp., Chantilly, Virginia 20151 (United States)

    2009-08-15

    A new coaxial plasma gun is described. The long term objective is to accelerate 100-200 {mu}g of plasma with density above 10{sup 17} cm{sup -3} to greater than 200 km/s with a Mach number above 10. Such high velocity dense plasma jets have a number of potential fusion applications, including plasma refueling, magnetized target fusion, injection of angular momentum into centrifugally confined mirrors, high energy density plasmas, and others. The approach uses symmetric injection of high density plasma into a coaxial electromagnetic accelerator having an annular gap geometry tailored to prevent formation of the blow-by instability. The injected plasma is generated by numerous (currently 32) radially oriented capillary discharges arranged uniformly around the circumference of the angled annular injection region of the accelerator. Magnetohydrodynamic modeling identified electrode profiles that can achieve the desired plasma jet parameters. The experimental hardware is described along with initial experimental results in which approximately 200 {mu}g has been accelerated to 100 km/s in a half-scale prototype gun. Initial observations of 64 merging injector jets in a planar cylindrical testing array are presented. Density and velocity are presently limited by available peak current and injection sources. Steps to increase both the drive current and the injected plasma mass are described for next generation experiments.

  11. Effects of applied dc radial electric fields on particle transport in a bumpy torus plasma

    Science.gov (United States)

    Roth, J. R.

    1978-01-01

    The influence of applied dc radial electric fields on particle transport in a bumpy torus plasma is studied. The plasma, magnetic field, and ion heating mechanism are operated in steady state. Ion kinetic temperature is more than a factor of ten higher than electron temperature. The electric fields raise the ions to energies on the order of kilovolts and then point radially inward or outward. Plasma number density profiles are flat or triangular across the plasma diameter. It is suggested that the radial transport processes are nondiffusional and dominated by strong radial electric fields. These characteristics are caused by the absence of a second derivative in the density profile and the flat electron temperature profiles. If the electric field acting on the minor radius of the toroidal plasma points inward, plasma number density and confinement time are increased.

  12. Dispersion relation and Landau damping of waves in high-energy density plasmas

    International Nuclear Information System (INIS)

    Zhu Jun; Ji Peiyong

    2012-01-01

    We present a theoretical investigation on the propagation of electromagnetic waves and electron plasma waves in high energy density plasmas using the covariant Wigner function approach. Based on the covariant Wigner function and Dirac equation, a relativistic quantum kinetic model is established to describe the physical processes in high-energy density plasmas. With the zero-temperature Fermi–Dirac distribution, the dispersion relation and Landau damping of waves containing the relativistic quantum corrected terms are derived. The relativistic quantum corrections to the dispersion relation and Landau damping are analyzed by comparing our results with those obtained in classical and non-relativistic quantum plasmas. We provide a detailed discussion on the Landau damping obtained in classical plasmas, non-relativistic Fermi plasmas and relativistic Fermi plasmas. The contributions of the Bohm potential, the Fermi statistics pressure and relativistic effects to the dispersion relation and Landau damping of waves are quantitatively calculated with real plasma parameters. (paper)

  13. Theory of a spherical emissive probe in a low-density isotropic plasma

    International Nuclear Information System (INIS)

    Din, A.

    2010-01-01

    Emissive probes are widely being used by plasma experimentalists to determine plasma parameters. Here, a fairly general spherical-emissive-probe scenario based on trajectory integration of the Vlasov equation is formulated and specialized to the particular non-emissive situation considered by Bernstein and Rabinowitz (1959), which is monoenergetic isotropic ions and Boltzmann-distributed electrons originating from the plasma. Then, this formalism together with our newly developed analytic-numerical matching procedure is used for finding the potential profile in the entire plasma-probe transition (PPT) region, consisting of the 'inward' and 'outward' sheath solutions, and the quasineutral (plasma) solution. The analytically expanded outward sheath and plasma solutions, the quasineutral solution and the related matching procedure represent genuinely new results in the context of this particular non-emissive probe scenario, however with the underlying methodology also applicable to other probe scenarios in the future. For the emissive case we consider, in addition to the plasma ions and electrons of the Bernstein and Rabinowitz scenario, electrons emitted from the probe surface with zero tangential velocity and a 'waterbag' distribution with respect to the radial velocity. Using our newly developed numerical matching procedure, we calculate the entire potential profile also for this emissive case. Comparison of the potential profiles for the emissive and non-emissive cases shows visible differences, thus demonstrating the effect of electron emission from the probe. To our knowledge, the present work represents the first attempt at systematically developing a kinetic approach for spherical emissive probes. (author)

  14. Plasma volume methodology: Evans blue, hemoglobin-hematocrit, and mass density transformations

    Science.gov (United States)

    Greenleaf, J. E.; Hinghofer-Szalkay, H.

    1985-01-01

    Methods for measuring absolute levels and changes in plasma volume are presented along with derivations of pertinent equations. Reduction in variability of the Evans blue dye dilution technique using chromatographic column purification suggests that the day-to-day variability in the plasma volume in humans is less than + or - 20 m1. Mass density determination using the mechanical-oscillator technique provides a method for measuring vascular fluid shifts continuously for assessing the density of the filtrate, and for quantifying movements of protein across microvascular walls. Equations for the calculation of volume and density of shifted fluid are presented.

  15. Microwave reflectometry for fusion plasma diagnostics

    International Nuclear Information System (INIS)

    1992-01-01

    This document contains a collection of 26 papers on ''Microwave Reflectometry for Fusion Plasma Diagnostics'', presented at the IAEA Technical Committee Meeting of the same name held at the JET Joint Undertaking, Abingdon, United Kingdom, March 4-6, 1992. It contains five papers on the measurement of plasma density profiles, six papers on theory and simulations in support of the development and application of this type of plasma diagnostics, eight papers on the measurement of density transients and fluctuations, and seven on new approaches to reflectometry-based plasma diagnostics. Refs, figs and tabs

  16. Estimation and display of beam density profiles

    Energy Technology Data Exchange (ETDEWEB)

    Dasgupta, S; Mukhopadhyay, T; Roy, A; Mallik, C

    1989-03-15

    A setup in which wire-scanner-type beam-profile monitor data are collected on-line in a nuclear data-acquisition system has been used and a simple algorithm for estimation and display of the current density distribution in a particle beam is described.

  17. Development of an x-ray Talbot-Lau moire deflectometer for fast density profile measurements of dense plasmas generated by beam-target interactions

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, Dan [National Security Technol., LLC, Los Alamos, NM (United States); Berninger, M; Meidinger, A; Stutman, Dan; Valdivia, Maria Pia

    2015-05-01

    For the first time an x-ray Talbot-Lau moire deflectometer is being developed that will use a flash tube source and fast detector for dynamic density gradient measurements. In Talbot-Lau moire deflectometry, an x-ray grating makes an image of itself on a second grating (the Talbot effect) to produce a moire pattern on a detector. The test object is placed between these gratings, with variations in index of refraction changing the pattern. A third grating in front of an incoherent x-ray source produces an array of coherent sources. With a 150 kV x-ray flash tube as the source, the gratings are placed in a glancing angle setup for performance at ~60 keV. The detector is a gated CCD with a fast scintillator for x-ray conversion. This diagnostic, designed for the Dual-Axis Radiographic Hydrodynamic Test facility (DARHT) at Los Alamos National Laboratory, measures the density profile of dense plasma plumes ejected from beam-target interactions. DARHT has two high-current, pulsed, inductive linear electron accelerators with bremsstrahlung targets at the end of each beam line to create 2-D radiographic images of hydrodynamic tests. One multi-pulse accelerator has up to four beam pulses striking the same target within 2 μs. Computer simulations that model target evolution and ejected material between pulses are used to design these targets for optimal radiographic performance; the x-ray deflectometer will directly measure density gradients in the ejected plumes and provide the first experimental constraints to these models. During the first year, currently underway, the diagnostic systems are being designed. In year two, the flash tube and fast detector will be deployed at DARHT for radiographic imaging while the deflectometer is built and tested on the bench with a continuous source. Finally, in year three, the fast deflectometer will be installed on DARHT and density measurements will be performed.

  18. Current density profile inside q=1 on Tore Supra

    International Nuclear Information System (INIS)

    Joffrin, E.; Desgranges, C.; Sabot, R.; Dubois, M.A.

    1995-01-01

    The Tore Supra polarimeter used to measure the poloidal field distribution is described. The current density profiles are computed in two different ways using the interferometric and polarimetric data in conjunction with the magnetic data and the location of the inversion radius determined by the soft X-ray camera. The current density inside the q=1 surface is investigated for normal and monster sawteeth. Its variation are also measured by the polarimeter and compared with that predicted by the current diffusion equation assuming complete reconnection. Finally, the safety factor profile is compared with that obtained with the striation data of the pellet ablation. The results of the evolution of the q profile during sawteeth are in good agreement with those obtained in other devices. (author) 9 refs.; 4 figs

  19. Behavior of the particle transport coefficients near the density limit in MTX

    International Nuclear Information System (INIS)

    Marinak, M.M.

    1993-04-01

    The perturbed particle transport coefficients were determined for a range of plasma conditions in the Alcator C tokamak, a component of the Microwave Tokamak Experiment (MTX), from analysis of density perturbations created in gas modulation experiments. Density measurements from a 15 chord far-infrared interferometer were sufficiently detailed to allow radial profiles of the transport coefficients to be resolved. Gas modulation experiments were carried out on plasmas over a range of relatively low currents and a wide variety of line-averaged densities, including values near the Greenwald density limit. With this technique the perturbed diffusion coefficient D and the perturbed convection velocity V can be determined simultaneously. Measured profiles of D rise toward the outside of the plasma column in a manner generally similar to those determined previously for χ e,HP from sawtooth heat pulse propagation. Values of D are typically smaller than those of χ e,HP given for the same line-averaged densities by a factor of 2-5. Diffusion coefficients from a series of discharges at constant current showed little variation with density through most of the saturated ohmic confinement regime. At the Greenwald density limit threshold a dramatic increase occurred in both the perturbed convective and diffusive transport coefficients in the outer region of the plasma. The increases were most pronounced at the outermost range of the radii where coefficients were determined (r/a = 0.8), but were apparent over a region which extended well into the plasma interior. Density profiles maintained a similar shape near the density limit, congruous with the similar behavior of the transport coefficients. No dramatic deterioration was evident in the global energy confinement

  20. Iterative noise removal from temperature and density profiles in the TJ-II Thomson scattering

    International Nuclear Information System (INIS)

    Farias, G.; Dormido-Canto, S.; Vega, J.; Santos, M.; Pastor, I.; Fingerhuth, S.; Ascencio, J.

    2014-01-01

    TJ-II Thomson Scattering diagnostic provides temperature and density profiles of plasma. The CCD camera acquires images that are corrupted with some kind of noise called stray-light. This noise degrades both image contrast and measurement accuracy, which could produce unreliable profiles of the diagnostic. So far, several approaches have been applied in order to decrease the noise in the TJ-II Thomson scattering images. Since the presence of the noise is not global but located in some particular regions of the image, advanced processing techniques are needed. However such methods require of manual fine-tuning of parameters to reach a good performance. In this contribution, an iterative image processing approach is applied in order to reduce the stray light effects in the images of the TJ-II Thomson scattering diagnostic. The proposed solution describes how the noise can be iteratively reduced in the images when a key parameter is automatically adjusted during the iterative process

  1. Iterative noise removal from temperature and density profiles in the TJ-II Thomson scattering

    Energy Technology Data Exchange (ETDEWEB)

    Farias, G., E-mail: gonzalo.farias@ucv.cl [Pontificia Universidad Católica de Valparaíso, Av. Brasil 2147, Valparaíso (Chile); Dormido-Canto, S., E-mail: sebas@dia.uned.es [Departamento de Informática y Automática, UNED, 28040 Madrid (Spain); Vega, J., E-mail: jesus.vega@ciemat.es [Asociación EURATOM/CIEMAT para Fusión, Avd. Complutense 22, 28040 Madrid (Spain); Santos, M., E-mail: msantos@ucm.es [Departamento de Arquitectura de Computadores y Automática, Universidad Complutense de Madrid, 28040 Madrid (Spain); Pastor, I., E-mail: ignacio.pastor@ciemat.es [Asociación EURATOM/CIEMAT para Fusión, Avd. Complutense 22, 28040 Madrid (Spain); Fingerhuth, S., E-mail: sebastian.fingerhuth@ucv.cl [Pontificia Universidad Católica de Valparaíso, Av. Brasil 2147, Valparaíso (Chile); Ascencio, J., E-mail: j_ascencio21@hotmail.com [Pontificia Universidad Católica de Valparaíso, Av. Brasil 2147, Valparaíso (Chile)

    2014-05-15

    TJ-II Thomson Scattering diagnostic provides temperature and density profiles of plasma. The CCD camera acquires images that are corrupted with some kind of noise called stray-light. This noise degrades both image contrast and measurement accuracy, which could produce unreliable profiles of the diagnostic. So far, several approaches have been applied in order to decrease the noise in the TJ-II Thomson scattering images. Since the presence of the noise is not global but located in some particular regions of the image, advanced processing techniques are needed. However such methods require of manual fine-tuning of parameters to reach a good performance. In this contribution, an iterative image processing approach is applied in order to reduce the stray light effects in the images of the TJ-II Thomson scattering diagnostic. The proposed solution describes how the noise can be iteratively reduced in the images when a key parameter is automatically adjusted during the iterative process.

  2. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    Science.gov (United States)

    Kakati, B.; Kausik, S. S.; Saikia, B. K.; Bandyopadhay, M.

    2010-02-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  3. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    International Nuclear Information System (INIS)

    Kakati, B; Kausik, S S; Saikia, B K; Bandyopadhay, M

    2010-01-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  4. Effects of pressure profile and plasma shaping on the n=1 internal kink mode in JT-60/JT-60U pellet fuelled plasmas

    International Nuclear Information System (INIS)

    Ozeki, Takahisa; Azumi, Masafumi

    1990-10-01

    The stability of the n=1 internal kink mode in a tokamak is numerically analyzed for plasmas with a centrally peaked pressure profile. These studies are carried out with the strongly peaked pressure inside the q=1 surface, which is based on the experimentally observed plasmas by means of injections of hydrogen-ice pellets in JT-60 tokamak. The effects of peaked pressure and shaping, i.e., elongation and triangularity, are also studied for JT-60U tokamak. The plasma with the strongly peaked pressure profile has higher critical value of poloidal beta defined within the q=1 surface than that with a parabolic pressure profile. Though the beta limit reduces with the increase of the elongation, the plasma with the peaked pressure profile has larger improvement due to the triangularity than that with the parabolic pressure profile. To access the second stability of the n=1 internal kink mode, the plasma with a flat pressure profile and the large minor radius of the q=1 surface is effective. (author)

  5. Evolution of the electron temperature profile of ohmically heated plasmas in TFTR

    International Nuclear Information System (INIS)

    Taylor, G.; Efthimion, P.C.; Arunasalam, V.

    1985-08-01

    Blackbody electron cyclotron emission was used to ascertain and study the evolution and behavior of the electron temperature profile in ohmically heated plasmas in the Tokamak Fusion Test Reactor (TFTR). The emission was measured with absolutely calibrated millimeter wavelength radiometers. The temperature profile normalized to the central temperature and minor radius is observed to broaden substantially with decreasing limiter safety factor q/sub a/, and is insensitive to the plasma minor radius. Sawtooth activity was seen in the core of most TFTR discharges and appeared to be associated with a flattening of the electron temperature profile within the plasma core where q less than or equal to 1. Two types of sawtooth behavior were identified in large TFTR plasmas (minor radius, a less than or equal to 0.8 m) : a typically 35 to 40 msec period ''normal'' sawtooth, and a ''compound'' sawtooth with 70 to 80 msec period

  6. Gap formation processes in a high-density plasma opening switch

    International Nuclear Information System (INIS)

    Grossmann, J.M.; Swanekamp, S.B.; Ottinger, P.F.; Commisso, R.J.; Hinshelwood, D.D.; Weber, B.V.

    1995-01-01

    A gap opening process in plasma opening switches (POS) is examined with the aid of numerical simulations. In these simulations, a high density (n e =10 14 --5x10 15 cm -3 ) uniform plasma initially bridges a small section of the coaxial transmission line of an inductive energy storage generator. A short section of vacuum transmission line connects the POS to a short circuit load. The results presented here extend previous simulations in the n e =10 12 --10 13 cm -3 density regime. The simulations show that a two-dimensional (2-D) sheath forms in the plasma near a cathode. This sheath is positively charged, and electrostatic sheath potentials that are large compared to the anode--cathode voltage develop. Initially, the 2-D sheath is located at the generator edge of the plasma. As ions are accelerated out of the sheath, it retains its original 2-D structure, but migrates axially toward the load creating a magnetically insulated gap in its wake. When the sheath reaches the load edge of the POS, the POS stops conducting current and the load current increases rapidly. At the end of the conduction phase a gap exists in the POS whose size is determined by the radial dimensions of the 2-D sheath. Simulations at various plasma densities and current levels show that the radial size of the gap scales roughly as B/n e , where B is the magnetic field. The results of this work are discussed in the context of long-conduction-time POS physics, but exhibit the same physical gap formation mechanisms as earlier lower density simulations more relevant to short-conduction-time POS. copyright 1995 American Institute of Physics

  7. A novel technique for real-time estimation of edge pedestal density gradients via reflectometer time delay data

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, L., E-mail: zeng@fusion.gat.com; Doyle, E. J.; Rhodes, T. L.; Wang, G.; Sung, C.; Peebles, W. A. [Physics and Astronomy Department, University of California, Los Angeles, California 90095 (United States); Bobrek, M. [Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831-6006 (United States)

    2016-11-15

    A new model-based technique for fast estimation of the pedestal electron density gradient has been developed. The technique uses ordinary mode polarization profile reflectometer time delay data and does not require direct profile inversion. Because of its simple data processing, the technique can be readily implemented via a Field-Programmable Gate Array, so as to provide a real-time density gradient estimate, suitable for use in plasma control systems such as envisioned for ITER, and possibly for DIII-D and Experimental Advanced Superconducting Tokamak. The method is based on a simple edge plasma model with a linear pedestal density gradient and low scrape-off-layer density. By measuring reflectometer time delays for three adjacent frequencies, the pedestal density gradient can be estimated analytically via the new approach. Using existing DIII-D profile reflectometer data, the estimated density gradients obtained from the new technique are found to be in good agreement with the actual density gradients for a number of dynamic DIII-D plasma conditions.

  8. A unified model of density limit in fusion plasmas

    Science.gov (United States)

    Zanca, P.; Sattin, F.; Escande, D. F.; Pucella, G.; Tudisco, O.

    2017-05-01

    In this work we identify by analytical and numerical means the conditions for the existence of a magnetic and thermal equilibrium of a cylindrical plasma, in the presence of Ohmic and/or additional power sources, heat conduction and radiation losses by light impurities. The boundary defining the solutions’ space having realistic temperature profile with small edge value takes mathematically the form of a density limit (DL). Compared to previous similar analyses the present work benefits from dealing with a more accurate set of equations. This refinement is elementary, but decisive, since it discloses a tenuous dependence of the DL on the thermal transport for configurations with an applied electric field. Thanks to this property, the DL scaling law is recovered almost identical for two largely different devices such as the ohmic tokamak and the reversed field pinch. In particular, they have in common a Greenwald scaling, linearly depending on the plasma current, quantitatively consistent with experimental results. In the tokamak case the DL dependence on any additional heating approximately follows a 0.5 power law, which is compatible with L-mode experiments. For a purely externally heated configuration, taken as a cylindrical approximation of the stellarator, the DL dependence on transport is found stronger. By adopting suitable transport models, DL takes on a Sudo-like form, in fair agreement with LHD experiments. Overall, the model provides a good zeroth-order quantitative description of the DL, applicable to widely different configurations.

  9. A final report to the Laboratory Directed Research and Development committee on Project 93-ERP-075: ''X-ray laser propagation and coherence: Diagnosing fast-evolving, high-density laser plasmas using X-ray lasers''

    International Nuclear Information System (INIS)

    Wan, A.S.; Cauble, R.; Da Silva, L.B.; Libby, S.B.; Moreno, J.C.

    1996-02-01

    This report summarizes the major accomplishments of this three-year Laboratory Directed Research and Development (LDRD) Exploratory Research Project (ERP) entitled ''X-ray Laser Propagation and Coherence: Diagnosing Fast-evolving, High-density Laser Plasmas Using X-ray Lasers,'' tracking code 93-ERP-075. The most significant accomplishment of this project is the demonstration of a new laser plasma diagnostic: a soft x-ray Mach-Zehnder interferometer using a neonlike yttrium x-ray laser at 155 angstrom as the probe source. Detailed comparisons of absolute two-dimensional electron density profiles obtained from soft x-ray laser interferograms and profiles obtained from radiation hydrodynamics codes, such as LASNEX, will allow us to validate and benchmark complex numerical models used to study the physics of laser-plasma interactions. Thus the development of soft x-ray interferometry technique provides a mechanism to probe the deficiencies of the numerical models and is an important tool for, the high-energy density physics and science-based stockpile stewardship programs. The authors have used the soft x-ray interferometer to study a number of high-density, fast evolving, laser-produced plasmas, such as the dynamics of exploding foils and colliding plasmas. They are pursuing the application of the soft x-ray interferometer to study ICF-relevant plasmas, such as capsules and hohlraums, on the Nova 10-beam facility. They have also studied the development of enhanced-coherence, shorter-pulse-duration, and high-brightness x-ray lasers. The utilization of improved x-ray laser sources can ultimately enable them to obtain three-dimensional holographic images of laser-produced plasmas

  10. Consequences of nonlinear heat transport laws on expected plasma profiles

    International Nuclear Information System (INIS)

    Lackner, K.

    1987-03-01

    The expected variation of plasma pressure profiles against changes in power deposition is investigated by using a simple linear heat transport law as well as a quadratic one. Applying the quadratic transport law it can be shown that the stiffening of the resulting profiles is sufficient to understand the experimentally measured phenomenon of 'profile consistence' without further assumptions of nonlocal effects. (orig.) [de

  11. Pellet-plasma interactions in tokamaks

    DEFF Research Database (Denmark)

    Chang, C.T.

    1991-01-01

    confinement time, offset by the accumulation of impurities at the plasma core is brought into focus. A possible remedy is suggested to diminish the effect of the impurities. Plausible arguments are presented to explain the apparent controversial observations on the propagation of a fast cooling front ahead......The ablation of a refuelling pellet of solid hydrogen isotopes is governed by the plasma state, especially the density and energy distribution of the electrons. On the other hand, the cryogenic pellet gives rise to perturbations of the plasma temperature and density. Based on extensive experimental...... data, the interaction between the pellet and the plasma is reviewed. Among the subjects discussed are the MHD activity, evolution of temperature and density profiles, and the behaviour of impurities following the injection of a pellet (or pellets). The beneficial effect of density peaking on the energy...

  12. Evaporation of carbon using electrons of a high density plasma; Evaporacion de carbono usando los electrones de un plasma de alta densidad

    Energy Technology Data Exchange (ETDEWEB)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O. [Instituto de Investigaciones en Materiales, UNAM, C.P. 04510 Mexico D.F. (Mexico)

    2000-07-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index). (Author)

  13. RF compensation of single Langmuir probe in low density helicon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Soumen, E-mail: soumen@ipr.res.in; Chattopadhyay, Prabal K.; Ghosh, Joydeep; Bora, Dhiraj

    2016-11-15

    Highlights: • Appropriate density and temperature measurement with Langmuir probe in RF Eenvironment. • Necessity of large auxiliary electrode for RF compensation at low densities (∼10{sup 16} m{sup −3}). • Measured two temperature electrons in low pressure helicon antenna produced RF plasma. • Tail electrons are localized only at off-axis in our cylindrical plasma system. - Abstract: Interpretations of Single Langmuir probe measurements in electrode-less radio frequency (RF) plasmas are noteworthy tricky and require adequate compensation of RF. Conventional RF compensation technique is limited only at high density (>10{sup 17} m{sup −3}) RF plasmas. RF compensation of single Langmuir probe at low density RF plasmas (∼10{sup 16} m{sup −3}) is presented in this paper. In RF driven plasmas, where the RF voltage is high (∼50 V) and density is in the range (∼10{sup 16} m{sup −3}), the primary RF compensation condition (Z{sub ck} > >Z{sub sh}) is very difficult to fulfill, because of high sheath impedance (Z{sub sh}) at 13.56 MHz and the construction limitation of a self-resonant tiny chock (Z{sub ck}) with very high impedance. Introducing a large auxiliary electrode (A{sub x}), (A{sub x} >>> A{sub p}), close to the small Langmuir probe (A{sub p}) tip, connected in parallel with probe via a coupling capacitor (C{sub cp}), significantly reduces the effective sheath impedance (Z{sub sh}) and allows probe bias to follow the RF oscillation. Dimensional requirements of the auxiliary electrode and the role of suitable coupling capacitor are discussed in this paper. Observations show proper compensation leads to estimation of more positive floating potentials and lower electron temperatures compared to uncompensated probe. The electron energy probability function (EEPF) is also obtained by double differentiating the collected current with respect to the applied bias voltage using an active analog circuit.

  14. External kink mode stability of tokamaks with finite edge current density in plasma outside separatrix

    International Nuclear Information System (INIS)

    Degtyarev, L.; Martynov, A.; Medvedev, S.; Troyon, F.; Villard, L.

    1996-01-01

    Large pressure gradients and current density at the plasma edge and accompanying edge-localized MHD instabilities are typical for H-mode discharges. Low-n external kink modes are a possible cause of the instabilities. The paper mostly deals with external kink modes driven by a finite current density at the plasma boundary (so called peeling modes). It was shown earlier that for a single axis plasma embedded into vacuum the peeling modes are stabilized when separatrix is approaching the plasma boundary. For doublet configurations a finite current density at the internal separatrix does not necessarily lead to external kink instability when the current density vanishes at the boundary. However, a finite current density at the plasma boundary outside the separatrix can drive outer peeling modes. The stability properties and structure of these modes depend on the plasma equilibrium outside the separatrix. The influence of plasma shear and pressure gradient at the boundary on the stability of the outer peeling modes in doublets is studied. The stability of kink modes in divertor configurations with plasma outside the separatrix is very sensitive to the boundary conditions set at open field lines. The choice of the boundary conditions and kink mode stability calculations for the divertor configurations are discussed. (author) 4 figs., 5 refs

  15. Spectroscopic studies of pulsed-power plasmas

    International Nuclear Information System (INIS)

    Maron, Y.; Arad, R.; Dadusc, G.; Davara, G.; Duvall, R.E.; Fisher, V.; Foord, M.E.; Fruchtman, A.; Gregorian, L.; Krasik, Ya.

    1993-01-01

    Recently developed spectroscopic diagnostic techniques are used to investigate the plasma behavior in a Magnetically Insulated Ion Diode, a Plasma Opening Switch, and a gas-puffed Z-pinch. Measurements with relatively high spectral, temporal, and spatial resolutions are performed. The particle velocity and density distributions within a few tens of microns from the dielectric-anode surface are observed using laser spectroscopy. Collective fluctuating electric fields in the plasma are inferred from anisotropic Stark broadening. For the Plasma Opening Switch experiment, a novel gaseous plasma source was developed which is mounted inside the high-voltage inner conductor. The properties of this source, together with spectroscopic observations of the electron density and particle velocities of the injected plasma, are described. Emission line intensities and spectral profiles give the electron kinetic energies during the switch operation and the ion velocity distributions. Secondary plasma ejection from the electrodes is also studied. In the Z-pinch experiment, spectral emission-line profiles are studied during the implosion phase. Doppler line shifts and widths yield the radial velocity distributions for various charge states in various regions of the plasma. Effects of plasma ejection from the cathode are also studied

  16. Electron cyclotron heating for current profile control of non-circular plasmas

    International Nuclear Information System (INIS)

    Chan, V.S.; Davidson, R.; Guest, G.; Hacker, M.; Miller, L.

    1981-01-01

    Electron Cyclotron Heating (ECH) offers a promising approach to modifying the radial profiles of electron temperature and plasma current in tokamaks to increase the ideal MHD beta limits and permit experimental access to particular noncircular cross-section tokamaks that cannot be achieved with the peaked current profiles characteristic of ohmically heated tokamaks. We use a one-and-one-half-dimensional, time-dependent transport model that incorporates a self-consistent model of electron cyclotron power absorption to study the temporal evolution of electron temperature and plasma current profiles and the resulting noncircular equilibria. Startup scenarios for high-beta dees and doublets are investigated with this transport modeling

  17. Modes in a nonneutral plasma column of finite length

    International Nuclear Information System (INIS)

    Rasband, S. Neil; Spencer, Ross L.

    2002-01-01

    A Galerkin, finite-element, nonuniform mesh computation of the mode equation for waves in a non-neutral plasma of finite length in a Cold-Fluid model gives an accurate calculation of the mode eigenfrequencies and eigenfunctions. We report on studies of the following: (1) finite-length Trivelpiece-Gould modes with flat-top and realistic density profiles, (2) finite-length diocotron modes with flat density profiles. We compare with the frequency equation of Fine and Driscoll [Phys Plasmas 5, 601 (1998)

  18. Scaling of confinement and profiles in the EXTRAP T2 reversed-field pinch

    International Nuclear Information System (INIS)

    Welander, A.

    1999-01-01

    In the Extrap T2 reversed-field pinch the diagnostic techniques for the measurement of electron density and temperature include; Thomson scattering which gives values at three radial positions in the core (r/a=0, 0.28, 0.56), Langmuir probes which give values at the edge (r/a>0.9) and interferometry which gives a line-averaged density. The empirical scaling of electron density and temperature including profile information with global plasma parameters has been studied. The density profile is subject to large variations, with an average parabolic shape when the density is low and flatter shapes when the density is increased. The change in the profile shape can be attributed to a shift in the penetration length of neutrals from the vicinity of the wall. The temperature scales roughly as I/n 1/2 where I is the plasma current and n is the density. The temperature profile is always quite flat with lower variations and there is a tendency for a flatter profile at higher temperatures. (author)

  19. Scaling of confinement and profiles in the EXTRAP T2 reversed-field pinch

    Science.gov (United States)

    Welander, A.

    1999-01-01

    In the EXTRAP T2 reversed-field pinch the diagnostic techniques for the measurement of electron density and temperature include; Thomson scattering which gives values at three radial positions in the core (r/a = 0, 0.28, 0.56), Langmuir probes which give values at the edge (r/a > 0.9) and interferometry which gives a line-averaged density. The empirical scaling of electron density and temperature including profile information with global plasma parameters has been studied. The density profile is subject to large variations, with an average parabolic shape when the density is low and flatter shapes when the density is increased. The change in the profile shape can be attributed to a shift in the penetration length of neutrals from the vicinity of the wall. The temperature scales roughly as I/n1/2 where I is the plasma current and n is the density. The temperature profile is always quite flat with lower variations and there is a tendency for a flatter profile at higher temperatures.

  20. Density profiles of supernova matter and determination of neutrino parameters

    Science.gov (United States)

    Chiu, Shao-Hsuan

    2007-08-01

    The flavor conversion of supernova neutrinos can lead to observable signatures related to the unknown neutrino parameters. As one of the determinants in dictating the efficiency of resonant flavor conversion, the local density profile near the Mikheyev-Smirnov-Wolfenstein (MSW) resonance in a supernova environment is, however, not so well understood. In this analysis, variable power-law functions are adopted to represent the independent local density profiles near the locations of resonance. It is shown that the uncertain matter density profile in a supernova, the possible neutrino mass hierarchies, and the undetermined 1-3 mixing angle would result in six distinct scenarios in terms of the survival probabilities of νe and ν¯e. The feasibility of probing the undetermined neutrino mass hierarchy and the 1-3 mixing angle with the supernova neutrinos is then examined using several proposed experimental observables. Given the incomplete knowledge of the supernova matter profile, the analysis is further expanded to incorporate the Earth matter effect. The possible impact due to the choice of models, which differ in the average energy and in the luminosity of neutrinos, is also addressed in the analysis.

  1. Potential and electron density calculated for freely expanding plasma by an electron beam

    International Nuclear Information System (INIS)

    Ho, C. Y.; Tsai, Y. H.; Ma, C.; Wen, M. Y.

    2011-01-01

    This paper investigates the radial distributions of potential and electron density in free expansion plasma induced by an electron beam irradiating on the plate. The region of plasma production is assumed to be cylindrical, and the plasma expansion is assumed to be from a cylindrical source. Therefore, the one-dimensional model in cylindrical coordinates is employed in order to analyze the radial distributions of the potential and electron density. The Runge-Kutta method and the perturbation method are utilized in order to obtain the numerical and approximate solutions, respectively. The results reveal that the decrease in the initial ion energy makes most of the ions gather near the plasma production region and reduces the distribution of the average positive potential, electron, and ion density along the radial direction. The oscillation of steady-state plasma along the radial direction is also presented in this paper. The ions induce a larger amplitude of oscillation along the radial direction than do electrons because the electrons oscillate around slowly moving ions due to a far smaller electron mass than ion mass. The radial distributions of the positive potential and electron density predicted from this study are compared with the available experimental data.

  2. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  3. Density fluctuations due to Raman forward scattering in quantum plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Punit, E-mail: punitkumar@hotmail.com; Singh, Shiv; Rathore, Nisha Singh, E-mail: nishasingh-rathore@yahoo.com [Department of Physics, University of Lucknow, Lucknow-226007 (India)

    2016-05-06

    Density fluctuations due Raman forward scattering (RFS) is analysed in the interaction of a high intensity laser pulse with high density quantum plasma. The interaction model is developed using the quantum hydrodynamic (QHD) model which consist of a set of equations describing the transport of charge, density, momentum and energy of a charged particle system interacting through a self-consistent electrostatic potential. The nonlinear source current has been obtained incorporating the effects of quantum Bohm potential, Fermi pressure and electron spin. The laser spectrum is strongly modulated by the interaction, showing sidebands at the plasma frequency. Furthermore, as the quiver velocity of the electrons in the high electric field of the laser beam is quit large, various quantum effects are observed which can be attributed to the variation of electron mass with laser intensity.

  4. Earth-mass haloes and the emergence of NFW density profiles

    Science.gov (United States)

    Angulo, Raul E.; Hahn, Oliver; Ludlow, Aaron D.; Bonoli, Silvia

    2017-11-01

    We simulate neutralino dark matter (χDM) haloes from their initial collapse, at ˜ earth mass, up to a few percent solar. Our results confirm that the density profiles of the first haloes are described by a ˜r-1.5 power law. As haloes grow in mass, their density profiles evolve significantly. In the central regions, they become shallower and reach on average ˜r-1, the asymptotic form of an NFW profile. Using non-cosmological controlled simulations, we observe that temporal variations in the gravitational potential caused by major mergers lead to a shallowing of the inner profile. This transformation is more significant for shallower initial profiles and for a higher number of merging systems. Depending on the merger details, the resulting profiles can be shallower or steeper than NFW in their inner regions. Interestingly, mergers have a much weaker effect when the profile is given by a broken power law with an inner slope of -1 (such as NFW or Hernquist profiles). This offers an explanation for the emergence of NFW-like profiles: after their initial collapse, r-1.5 χDM haloes suffer copious major mergers, which progressively shallows the profile. Once an NFW-like profile is established, subsequent merging does not change the profile anymore. This suggests that halo profiles are not universal but rather a combination of (1) the physics of the formation of the microhaloes and (2) their early merger history - both set by the properties of the dark matter particle - as well as (3) the resilience of NFW-like profiles to perturbations.

  5. Te and ne profiles on JFT-2M plasma with the highest spatial resolution TV Thomson scattering system

    International Nuclear Information System (INIS)

    Yamauchi, T.

    1993-01-01

    A high spatial resolution TV Thomson scattering system was constructed on JFT-2M tokamak. This system is similar to those used at PBX-M and TFTR. These systems are providing complete profiles of Te and ne at a single time during a plasma discharge. The characteristics of JFT-2M TVTS are as follows: 1. Measured points are composed of not only 81 points for the scattered light and plasma light, whose time difference is 2 ms, but also 10 points for plasma light measured at the same time with scattered light. 2. Spatial resolution is 0.86 cm, which is higher than any other Thomson scattering system. 3. Sensitivity of detector composed of image intensifier tubes and CCD is as high as that of photomultiplier tube. Te and ne profiles have been measured over one year on JFT-2M. The line-averaged electron density measured was in the region of 5x10 12 cm -3 - 7x10 13 cm -3 and the measured electron temperature was in the region of 50 eV -1.2 keV. (author) 7 refs., 7 figs., 1 tab

  6. High density high performance plasma with internal diffusion barrier in Large Helical Device

    International Nuclear Information System (INIS)

    Sakamoto, R.; Kobayashi, M.; Miyazawa, J.

    2008-10-01

    A attractive high density plasma operational regime, namely an internal diffusion barrier (IDB), has been discovered in the intrinsic helical divertor configuration on the Large Helical Device (LHD). The IDB which enables core plasma to access a high density/high pressure regime has been developed. It is revealed that the IDB is reproducibly formed by pellet fueling in the magnetic configurations shifted outward in major radius. Attainable central plasma density exceeds 1x10 21 m -3 . Central pressure reaches 1.5 times atmospheric pressure and the central β value becomes fairly high even at high magnetic field, i.e. β(0)=5.5% at B t =2.57 T. (author)

  7. Optimum design of a microwave interferometer for plasma density measurement

    International Nuclear Information System (INIS)

    Lindberg, L.; Eriksson, A.

    1980-11-01

    Theoretical and practical problems arising in the application of microwave interferometry to density measurements on transient plasmas are discussed. The conditions for unambiquous measurements in a density range as wide as possible are analyzed. It is shown that the initial zero adjustment of the interferometer bridge recommended in many text books is the worst possible choice of initial condition when the aim is high initial sensitivity at low densities. The analytic expressions needed for unambiquous evaluation of any phase shift from a few degrees to several times π (counting of fringes) are derived. The practical design of the interferometer circuit and its inherent error sources due to reflexions and non-ideal component properties are discussed. The results are applied to an interferometer operating at 80 GHz used on a pulsed plasma experiment. The minimum measurable phase shift is 2deg and the range of linear densities that have been measured is = 1 . 10 16 - 3 . 10 18 m -2

  8. Optical guiding of laser beam in nonuniform plasma

    Indian Academy of Sciences (India)

    creased sufficiently with respect to the beam edge to balance the effect of ... discharge to control the plasma profile [23], and (iii) using the ponderomotive ... intensity radial profile, the density profile that evolves is peaked on the axis and falls ...

  9. Magnetohydrodynamic simulations of density-limit disruptions in tokamaks

    International Nuclear Information System (INIS)

    Kleva, R.G.; Drake, J.F.; Denton, R.E.

    1990-01-01

    Magnetohydrodynamic simulations are presented which demonstrate that density limit disruptions can be triggered by edge radiation which destabilizes a q = 1 kink followed by a q = 2 tearing mode. A bubble of cold plasma is injected from the edge into the center by the q = 1 kink. The q = 2 mode then broadens the current profile and throws the hot plasma to the wall. The MHD simulations presented are the first to successfully reproduce several key features of density limit disruptions including (1) the rapid drop in the central temperature, (2) the rapid expansion of the current profile, (3) the m = 1 cold bubble which is seen to be injected from the edge into the center during density limit disruptions on JET, and (4) disruptions in sawtoothing discharges. (author)

  10. Pulsed time-of-flight refractometry measurements of the electron density in the T-11M tokamak

    International Nuclear Information System (INIS)

    Petrov, A.A.; Petrov, V.G.; Malyshev, A.Yu.; Markov, V.K.; Babarykin, A.V.

    2002-01-01

    A new method for measuring the plasma density in magnetic confinement systems - pulsed time-of-flight refractometry - is developed and tested experimentally in the T-11M tokamak. The method is based on the measurements of the time delay of short (with a duration of several nanoseconds) microwave pulses propagating through the plasma. When the probing frequency is much higher than the plasma frequency, the measured delay in the propagation time is proportional to the line-averaged electron density regardless of the density profile. A key problem in such measurements is the short time delay of the pulse in the plasma (∼1 ns or less for small devices) and, consequently, low accuracy of the measurements of the average density. Various methods for improving the accuracy of such measurements are proposed and implemented in the T-11M experiments. The measurements of the line-averaged density in the T-11M tokamak in the low-density plasma regime are performed. The results obtained agree satisfactorily with interferometric data. The measurement errors are analyzed, and the possibility of using this technique to measure the electron density profile and the position of the plasma column is discussed

  11. Bremsstrahlung spectra for Al, Cs, and Au atoms in high-temperature, high-density plasmas

    International Nuclear Information System (INIS)

    Kim, L.; Pratt, R.H.; Tseng, H.K.

    1985-01-01

    Results are presented from a numerical calculation for the bremsstrahlung spectrum and Gaunt factors of Al, Cs, and Au atoms in high-temperature (-T), high-density (-rho) plasmas. Plasma temperatures kT = 0.1 and 1.0 keV and plasma densities rho = rho 0 (the normal solid density) and rho = 100rho 0 are considered. This allows us to determine the generality and identify the origins of features which we had previously identified in calculations for Cs. We also now present results for the total energy loss of an electron in such a plasma. We use a relativistic multipole code which treats the bremsstrahlung process as a single-electron transition in a static screened central potential. We take for the static potential corresponding to an atom in a hot dense plasma the finite-temperature, finite-density Thomas-Fermi model. This approach corresponds to an average atom in local thermodynamic equilibrium. In comparison to isolated-neutral-atom results we observe general suppression of cross sections and a particular suppression in the tip region of the spectrum. Within this model, both superscreening and shape resonances are found in the circumstances of extreme density. At more normal densities and except for the soft-photon end, the spectrum at these energies for an atom in a hot plasma (characterized by an average degree of ionization) can be well represented by the spectrum of the corresponding isolated ion, which has a similar potential shape at the distances which characterize the process

  12. Strong self-focusing of a cosh-Gaussian laser beam in collisionless magneto-plasma under plasma density ramp

    International Nuclear Information System (INIS)

    Nanda, Vikas; Kant, Niti

    2014-01-01

    The effect of plasma density ramp on self-focusing of cosh-Gaussian laser beam considering ponderomotive nonlinearity is analyzed using WKB and paraxial approximation. It is noticed that cosh-Gaussian laser beam focused earlier than Gaussian beam. The focusing and de-focusing nature of the cosh-Gaussian laser beam with decentered parameter, intensity parameter, magnetic field, and relative density parameter has been studied and strong self-focusing is reported. It is investigated that decentered parameter “b” plays a significant role for the self-focusing of the laser beam as for b=2.12, strong self-focusing is seen. Further, it is observed that extraordinary mode is more prominent toward self-focusing rather than ordinary mode of propagation. For b=2.12, with the increase in the value of magnetic field self-focusing effect, in case of extraordinary mode, becomes very strong under plasma density ramp. Present study may be very useful in the applications like the generation of inertial fusion energy driven by lasers, laser driven accelerators, and x-ray lasers. Moreover, plasma density ramp plays a vital role to enhance the self-focusing effect

  13. Strong self-focusing of a cosh-Gaussian laser beam in collisionless magneto-plasma under plasma density ramp

    Energy Technology Data Exchange (ETDEWEB)

    Nanda, Vikas; Kant, Niti, E-mail: nitikant@yahoo.com [Department of Physics, Lovely Professional University, G. T. Road, Phagwara, Punjab 144411 (India)

    2014-07-15

    The effect of plasma density ramp on self-focusing of cosh-Gaussian laser beam considering ponderomotive nonlinearity is analyzed using WKB and paraxial approximation. It is noticed that cosh-Gaussian laser beam focused earlier than Gaussian beam. The focusing and de-focusing nature of the cosh-Gaussian laser beam with decentered parameter, intensity parameter, magnetic field, and relative density parameter has been studied and strong self-focusing is reported. It is investigated that decentered parameter “b” plays a significant role for the self-focusing of the laser beam as for b=2.12, strong self-focusing is seen. Further, it is observed that extraordinary mode is more prominent toward self-focusing rather than ordinary mode of propagation. For b=2.12, with the increase in the value of magnetic field self-focusing effect, in case of extraordinary mode, becomes very strong under plasma density ramp. Present study may be very useful in the applications like the generation of inertial fusion energy driven by lasers, laser driven accelerators, and x-ray lasers. Moreover, plasma density ramp plays a vital role to enhance the self-focusing effect.

  14. Spectrally resolved measurements of the terahertz beam profile generated from a two-color air plasma

    DEFF Research Database (Denmark)

    Pedersen, Pernille Klarskov; Zalkovskij, Maksim; Strikwerda, Andrew

    2014-01-01

    Using a THz camera and THz bandpass filters, we measure the frequency - resolved beam profile emitted from a two - color air plasma. We observe a frequency - independent emission angle from the plasma .......Using a THz camera and THz bandpass filters, we measure the frequency - resolved beam profile emitted from a two - color air plasma. We observe a frequency - independent emission angle from the plasma ....

  15. Thomson scattering from near-solid density plasmas using soft x-ray free electron lasers

    Energy Technology Data Exchange (ETDEWEB)

    Holl, A; Bornath, T; Cao, L; Doppner, T; Dusterer, S; Forster, E; Fortmann, C; Glenzer, S H; Gregori, G; Laarmann, T; Meiwes-Broer, K H; Przystawik, A; Radcliffe, P; Redmer, R; Reinholz, H; Ropke, G; Thiele, R; Tiggesbaumker, J; Toleikis, S; Truong, N X; Tschentscher, T; Uschmann, I; Zastrau, U

    2006-11-21

    We propose a collective Thomson scattering experiment at the VUV free electron laser facility at DESY (FLASH) which aims to diagnose warm dense matter at near-solid density. The plasma region of interest marks the transition from an ideal plasma to a correlated and degenerate many-particle system and is of current interest, e.g. in ICF experiments or laboratory astrophysics. Plasma diagnostic of such plasmas is a longstanding issue. The collective electron plasma mode (plasmon) is revealed in a pump-probe scattering experiment using the high-brilliant radiation to probe the plasma. The distinctive scattering features allow to infer basic plasma properties. For plasmas in thermal equilibrium the electron density and temperature is determined from scattering off the plasmon mode.

  16. Electromagnetic-implosion generation of pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Baker, W.L.; Broderick, N.F.; Degnan, J.H.; Hussey, T.W.; Kiuttu, G.F.; Kloc, D.A.; Reinovsky, R.E.

    1983-01-01

    This chapter reports on the experimental and theoretical investigation of the generation of pulsed high-energy-density plasmas by electromagnetic implosion of cylindrical foils (i.e., imploding liners or hollow Z-pinches) at the Air Force Weapons Laboratory. Presents a comparison of experimental data with one-dimensional MHD and two-dimensional calculations. Points out that the study is distinct from other imploding liner efforts in that the approach is to produce a hot, dense plasma from the imploded liner itself, rather than to compress a magnetic-field-performed plasma mixture. The goal is to produce an intense laboratory pulsed X-ray source

  17. Changes of the electron density distribution during MHD activity in CHS

    International Nuclear Information System (INIS)

    Soltwisch, H.; Tanaka, K.

    2000-09-01

    Density oscillations induced by MHD activities were observed in NBI heated plasmas on CHS by using an HCN laser interferometer. The accompanied changes of the density profiles were also observed. The oscillations are composition of m=0 sawteeth like crash and m=2 sinusoidal oscillations as a post courser of the crash. Possible models of the oscillation structure are examined in order to explain experimental data of the interferometer. Rotating plasma core, which is hollow profile and keeps constant elongation of the flux surface can explain amplitude and phase distribution of the sinusoidal oscillation. (author)

  18. Experimental investigation of ultraviolet laser induced plasma density and temperature evolution in air

    International Nuclear Information System (INIS)

    Thiyagarajan, Magesh; Scharer, John

    2008-01-01

    We present measurements and analysis of laser induced plasma neutral densities and temperatures in dry air by focusing 200 mJ, 10 MW high power, 193 nm ultraviolet ArF (argon fluoride) laser radiation to a 30 μm radius spot size. We examine these properties that result from multiphoton and collisional cascade processes for pressures ranging from 40 Torr to 5 atm. A laser shadowgraphy diagnostic technique is used to obtain the plasma electron temperature just after the shock front and this is compared with optical emission spectroscopic measurements of nitrogen rotational and vibrational temperatures. Two-color laser interferometry is employed to measure time resolved spatial electron and neutral density decay in initial local thermodynamic equilibrium (LTE) and non-LTE conditions. The radiating species and thermodynamic characteristics of the plasma are analyzed by means of optical emission spectroscopy (OES) supported by SPECAIR, a special OES program for air constituent plasmas. Core plasma rotational and vibrational temperatures are obtained from the emission spectra from the N 2 C-B(2+) transitions by matching the experimental spectrum results with the SPECAIR simulation results and the results are compared with the electron temperature just behind the shock wave. The plasma density decay measurements are compared with a simplified electron density decay model that illustrates the dominant three-and two-body recombination terms with good correlation

  19. A numerical solution for a toroidal plasma in equilibrium

    International Nuclear Information System (INIS)

    Hintz, E.; Sudano, J.P.

    1982-01-01

    The iterative techniques alternating direction implicit (ADI), sucessive ove-relaxation (SOR) and Gauss-Seidel are applied to a nonlinear elliptical second order differential equation (Grand-Shafranov). This equation was solve with the free boundary conditions plasma-vacuum interface over a rectangular section in cylindrical coordinates R and Z. The current density profile, plasma pressure profile, magnetic and isobaric surfaces are numerically determined for a toroidal plasma in equilibrium. (L.C.) [pt

  20. Transport analysis of oscillatory state for plasma dynamics in helical plasmas

    International Nuclear Information System (INIS)

    Toda, S.; Itoh, K.

    2012-11-01

    In helical plasmas, two kinds of the oscillation for the plasma quantities are experimentally observed. Firstly, the limit cycle phenomena in the temporal evolution of the electrostatic potential, namely the electric pulsation, have been observed in the core region. The temporally self-generated oscillation of the radial electric field is shown as a simulation result in the core region. The dependence of the transition point for the radial electric field on the source is examined. Secondly, the density limit oscillation in the helical device was reported. To realize the oscillation phenomena at the density limit, the temporal evolution of the density profile is newly included in a simulation when the radiative loss is calculated in the edge region. Two stationary plasma states, where the transport loss or radiative loss is dominant in the edge region, are obtained. The dynamics of the plasma quantity is found to show the transition from the transport-dominated state to the radiation-dominated state. (author)