WorldWideScience

Sample records for plasma chemical vapor

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  6. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  7. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  8. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  9. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  10. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  11. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  12. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  13. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  14. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  15. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  16. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  18. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  19. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  20. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  1. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  2. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  3. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  4. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  5. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  6. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  7. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  8. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  9. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  10. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  11. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  12. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  13. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  14. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  15. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  16. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  17. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  18. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  19. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  20. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  1. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  2. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  3. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  4. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  5. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  6. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  7. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  8. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  9. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  10. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  11. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  12. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  13. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  14. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  15. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  16. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  17. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  18. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  2. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  3. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. Observation and particle simulation of vaporized W, Mo, and Be in PISCES-B plasma for vapor-shielding studies

    Directory of Open Access Journals (Sweden)

    K. Ibano

    2017-08-01

    Full Text Available Interactions of Tungsten (W, Molybdenum (Mo, and Beryllium (Be vapors with a steady-state plasma were studied by the PISCES-B liner plasma experiments as well as Particle-In-Cell (PIC simulations for the understanding of vapor-shielding phenomena. Effective cooling of the plasma by laser-generated Be vapor was observed in PISCES-B. On the other hand, no apparent cooling was observed for W and Mo vapors. The PIC simulation explains these experimental observations of the difference between low-Z and high-Z vapors. Decrease of electron temperature due to the vapor ejection was observed in case of a simulation of the Be vapor. As for the W vapor, it was found that the plasma cooling is localized only near the wall at a higher electron density plasma (∼1019m−3. On the other hand, the appreciable plasma cooling can be observed in a lower density plasma (∼1018m−3 for the W vapor.

  6. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  7. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  8. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  9. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  10. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  11. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  12. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  13. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  14. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  15. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  16. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  17. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  18. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  19. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  20. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  1. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  4. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  5. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  6. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  7. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  8. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  9. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  10. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  11. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  12. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  13. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  14. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  15. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  16. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  17. Vaporization studies of plasma interactive materials in simulated plasma disruption events

    International Nuclear Information System (INIS)

    Stone, C.A. IV; Croessmann, C.D.; Whitley, J.B.

    1988-03-01

    The melting and vaporization that occur when plasma facing materials are subjected to a plasma disruption will severely limit component lifetime and plasma performance. A series of high heat flux experiments was performed on a group of fusion reactor candidate materials to model material erosion which occurs during plasma disruption events. The Electron Beam Test System was used to simulate single disruption and multiple disruption phenomena. Samples of aluminum, nickel, copper, molybdenum, and 304 stainless steel were subjected to a variety of heat loads, ranging from 100 to 400 msec pulses of 8 to 18 kWcm 2 . It was found that the initial surface temperature of a material strongly influences the vaporization process and that multiple disruptions do not scale linearly with respect to single disruption events. 2 refs., 9 figs., 5 tabs

  18. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    Science.gov (United States)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  19. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  20. Non-catalytic direct synthesis of graphene on Si (111) wafers by using inductively-coupled plasma chemical vapor deposition

    Science.gov (United States)

    Hwang, Sung Won; Shin, Hyunho; Lee, Bongsoo; Choi, Suk-Ho

    2016-08-01

    We employ inductively-coupled plasma chemical vapor deposition for non-catalytic growth of graphene on a Si (111) wafer or glass substrate, which is useful for practical device applications of graphene without transfer processes. At a RF power (P) of 500 W under C2H2 flow, defect-free 3 ˜ 5-layer graphene is grown on Si (111) wafers, but on glass substrate, the layer is thicker and defective, as characterized by Raman spectroscopy and electron microscopy. The graphene is produced on Si (111) for P down to 190 W whereas it is almost not formed on glass for P < 250 W, possibly resulting from the weak catalytic-reaction-like effect on glass. These results are discussed based on possible growth mechanisms.

  1. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  2. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  3. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  4. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  5. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  6. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  7. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  8. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  9. Vaporized wall material/plasma interaction during plasma disruption

    International Nuclear Information System (INIS)

    Merrill, B.J.; Carroll, M.C.; Jardin, S.C.

    1983-01-01

    The purpose of this paper is to discuss a new plasma disruption model that has been developed for analyzing the consequences to the limiter/first wall structures. This model accounts for: nonequilibrium surface vaporization for the ablating structure, nonequilibrium ionization of and radiation emitted from the ablated material in the plasma, plasma particle and energy transport, and plasma electromagnetic field evolution during the disruption event. Calculations were performed for a 5 ms disruption on a stainless steel flat limiter as part of a D-shaped first wall. These results indicated that the effectiveness of the ablated wall material to shield the exposed structure is greater than predicted by earlier models, and that the rate of redeposition of the ablated wall material ions is very dramatic. Impurity transport along magnetic field lines, global plasma motion, and radiation transport in an optically thick plasma are important factors that require additional modeling. Experimental measurements are needed to verify these models

  10. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  11. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  12. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  13. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  14. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  15. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  16. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  17. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  18. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  19. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  20. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  1. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  2. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  3. Fiber-reinforced ceramic matrix composites processed by a hybrid technique based on chemical vapor infiltration, slurry impregnation and spark plasma sintering

    International Nuclear Information System (INIS)

    Magnant, J.; Pailler, R.; Le Petitcorps, Y.; Maille, L.; Guette, A.; Marthe, J.

    2013-01-01

    Fabrication of multidirectional continuous carbon and silicon carbide fiber reinforced ceramic matrix composites (CMC) by a new short time hybrid process was studied. This process is based, first, on the deposition of fiber interphase and coating by chemical vapor infiltration, next, on the introduction of silicon nitride powders into the fibrous preform by slurry impregnation and, finally, on the densification of the composite by liquid phase spark plasma sintering (LP-SPS). The homogeneous introduction of the ceramic charges into the multidirectional fiber pre-forms was realized by slurry impregnation from highly concentrated and well-dispersed aqueous colloid suspensions. The chemical degradation of the carbon fibers during the fabrication was prevented by adapting the sintering pressure cycle. The composites manufactured are dense. Microstructural analyses were conducted to explain the mechanical properties achieved. One main important result of this study is that LP-SPS can be used in some hybrid processes to densify fiber reinforced CMC. (authors)

  4. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  5. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  6. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  7. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  8. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  9. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  10. Terahertz radiation in alkali vapor plasmas

    International Nuclear Information System (INIS)

    Sun, Xuan; Zhang, X.-C.

    2014-01-01

    By taking advantage of low ionization potentials of alkali atoms, we demonstrate terahertz wave generation from cesium and rubidium vapor plasmas with an amplitude nearly one order of magnitude larger than that from nitrogen gas at low pressure (0.02–0.5 Torr). The observed phenomena are explained by the numerical modeling based upon electron tunneling ionization

  11. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  12. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  13. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  14. A low aspect ratio electrothermal gun for metal plasma vapor discharge and ceramic nanopowder production

    International Nuclear Information System (INIS)

    Kim, Kyoung Jin; Peterson, Dennis R.

    2008-01-01

    Traditionally, the electrothermal gun design has the bore of a large aspect ratio: however, a low aspect ratio design with a shorter bore length has been employed for efficient production of metal plasma vapors and synthesis of nanomaterials. In a comparison of the arc resistance-current relationship, a low aspect ratio design is found to exhibit distinctively different characteristics compared to a high aspect ratio design, and this trend is explained by the scaling law of plasma properties including theory of plasma electrical conductivity. A one-dimensional isothermal model has been applied to the present experiments to confirm the scaling laws, and it was found that the present modification of the electrothermal gun is able to produce fully ionized metal plasma vapor, while the plasma vapor produced in a conventional design is partially ionized. Also, by reacting metal plasma vapors with the controlled gases in the reaction chamber, nanoscale materials such as aluminum oxide, aluminum nitride, and titanium oxide were synthesized successfully

  15. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  16. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  17. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    International Nuclear Information System (INIS)

    Saidin, M.A.R.; Ismail, A.F.; Sanip, S.M.; Goh, P.S.; Aziz, M.; Tanemura, M.

    2012-01-01

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I D /I G value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  18. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    Energy Technology Data Exchange (ETDEWEB)

    Saidin, M.A.R. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Ismail, A.F., E-mail: afauzi@utm.my [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Sanip, S.M.; Goh, P.S.; Aziz, M. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Tanemura, M. [Department of Frontier Material, Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2012-01-31

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I{sub D}/I{sub G} value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  19. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  20. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  1. Effect of vapor plasma on the coupling of laser radiation with aluminum targets

    Energy Technology Data Exchange (ETDEWEB)

    Shui, V H; Kivel, B; Weyl, G M

    1978-12-01

    The effect of vapor plasma on thermal and impulse coupling of laser radiation with aluminum targets is studied to understand and explain experimental data showing anomalously high coupling to 10.6-micron laser radiation. Heating of vapor by inverse bremsstrahlung absorption of laser radiation, subsequent reradiation in the uv and deep uv by ionized species, and vapor layer growth are modeled. A computer code has been developed to solve the governing equations. Major conclusions include the following: (1) vapor plasma radiative transport can be an important mechanism for laser/target coupling, (2) aluminum vapor (density times thickness) approximately equal to 10 to the 17th power/sq cm (corresponding to about 0.01 micron of target material) can result in thermal coupling coefficients of 20% or more, and (3) too much vapor reduces the net flux at the target.

  2. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  3. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  4. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  5. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  6. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  7. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  8. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  9. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  10. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  11. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  12. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    Science.gov (United States)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  13. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  14. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  15. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  16. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  17. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  18. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  19. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  20. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  1. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  2. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  3. Optimization of Gas Composition Used in Plasma Chemical Vaporization Machining for Figuring of Reaction-Sintered Silicon Carbide with Low Surface Roughness.

    Science.gov (United States)

    Sun, Rongyan; Yang, Xu; Ohkubo, Yuji; Endo, Katsuyoshi; Yamamura, Kazuya

    2018-02-05

    In recent years, reaction-sintered silicon carbide (RS-SiC) has been of interest in many engineering fields because of its excellent properties, such as its light weight, high rigidity, high heat conductance and low coefficient of thermal expansion. However, RS-SiC is difficult to machine owing to its high hardness and chemical inertness and because it contains multiple components. To overcome the problem of the poor machinability of RS-SiC in conventional machining, the application of atmospheric-pressure plasma chemical vaporization machining (AP-PCVM) to RS-SiC was proposed. As a highly efficient and damage-free figuring technique, AP-PCVM has been widely applied for the figuring of single-component materials, such as Si, SiC, quartz crystal wafers, and so forth. However, it has not been applied to RS-SiC since it is composed of multiple components. In this study, we investigated the AP-PCVM etching characteristics for RS-SiC by optimizing the gas composition. It was found that the different etching rates of the different components led to a large surface roughness. A smooth surface was obtained by applying the optimum gas composition, for which the etching rate of the Si component was equal to that of the SiC component.

  4. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  5. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  6. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  7. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  8. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  9. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    Energy Technology Data Exchange (ETDEWEB)

    Joulaei, A. [Max-Planck Institute for Physics, Munich (Germany); University of Mazandaran (Iran, Islamic Republic of); Moody, J. [Max-Planck Institute for Physics, Munich (Germany); Berti, N.; Kasparian, J. [University of Geneva (Switzerland); Mirzanejhad, S. [University of Mazandaran (Iran, Islamic Republic of); Muggli, P. [Max-Planck Institute for Physics, Munich (Germany)

    2016-09-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment. - Highlights: • Discussion the AWAKE plasma source based on photoionization of rubidium vapor with a TW/cm^2 Intensity laser with a spectrum across valence ground state transition resonances. • Examines the propagation of the AWAKE ionization laser through rubidium vapor at design density on a small scale and reduced intensity with a linear numerical model compared to experimental results. • Discusses physics of pulse propagation through the vapor at high intensity regime where strong ionization occurs within the laser pulse.

  10. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  11. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  12. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  13. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  14. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  15. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  16. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  17. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  18. Obtention of selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition on porous substrates

    International Nuclear Information System (INIS)

    Bankovic, P.; Demarquette, N.R.; Silva, M.L.P. da

    2004-01-01

    In this work, the possibility of obtaining selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition (PECVD) of hexamethyldisilazane (HMDS) or double layers of HMDS and n-hexane on porous substrates using a capacitive plasma reactor was investigated. The porous substrates used were paper filter, diatomite and polyester textiles. The films were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and contact angle measurements. The membranes obtained were characterized by the Cobb test. Their efficiency to separate hydrocarbon compounds from water was evaluated through filtration experiments and Karl-Fischer titration tests. The reagents used in the filtration experiments were: chloroform, n-hexane, n-heptane, ethyl ether, benzene and diesel. XPS analysis showed that Si, N, C and O were present at the surface of the film. C peak was dominant in the double layer film spectra. C-H n , CH 2 , Si-H, Si-CH 3 , N-H, Si-CH 2 -Si, Si-N-Si and Si-C bonds were identified in both types of the films by ATR-FTIR. The relative intensities of the corresponding peaks in the two spectra were different. The XPS and FTIR results indicated that C was most likely present in a CH n form at the surface of double layer film. The average contact angles formed by drops of water on the film surface ranged from 135 deg. to 155 deg. . Water adsorption measured by Cobb test decreased from average values ranging from 300 to 9000 g m -2 (for nonmodified surfaces) to values ranging from 0 to 20 g m -2 (for treated surfaces). The Karl-Fischer titration indicated that between 90 and 1000 ppm (depending on the reagent used) of water remained in the hydrocarbon compound after filtration

  19. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  20. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  1. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  2. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  3. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  4. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  5. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  6. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  7. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  8. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  9. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  10. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  13. Evaporation and vapor shielding of CFC targets exposed to plasma heat fluxes relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.M.; Arkhipov, N.I.; Landman, I.S.; Pestchanyi, S.E.; Toporkov, D.A.; Zhitlukhin, A.M.

    2009-01-01

    Carbon fibre composite NB31 was tested at plasma gun facility MK-200UG by plasma heat fluxes relevant to Edge Localised Modes in ITER. The paper reports the results obtained on the evaporation threshold of carbon fibre composite, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state. First experimental results on investigation of the vapor shield onset conditions are presented also. The obtained experimental data are compared with the results of numerical modeling.

  14. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  16. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  17. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  18. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  19. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  20. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  1. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  2. Electrothermal vaporization inductively coupled plasma mass spectrometry for the determination of trace amount of lanthanides and yttrium in soil with polytetrafluroethylene emulsion as a chemical modifier

    International Nuclear Information System (INIS)

    He Man; Hu, Bin; Jiang Zucheng

    2005-01-01

    A method of electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) for the determination of trace lanthanides and yttrium in soil samples with a polytetrafluorethylene (PTFE) emulsion as chemical modifier to promote the vaporization of the analytes from the graphite furnace was developed in this paper. The analytical characteristics, spectral interference and matrix effect of the analytical method were evaluated and critically compared with those of pneumatic nebulization inductively coupled plasma mass spectrometry (PN-ICP-MS). Under the optimized operation conditions, the relative detection limits of lanthanides (La-Lu) and yttrium for ETV-ICP-MS and PN-ICP-MS were 0.4-20 ng l -1 and 1.0-21 ng l -1 , respectively, the absolute detection limits for ETV-ICP-MS were 4-200 fg, which were improved by 1-2 orders of magnitude compared with PN-ICP-MS. While the analytical precision of ETV-ICP-MS is worse than that of PN-ICP-MS, with the R.S.D.s (%) of 4.1-10% for the former and 2.9-7.8% for the latter. Regarding to the matrix effect, both conventional method and stepwise dilution method were employed to observe the effect of matrix and the very similar results were obtained. It was found that the highest tolerance concentration of the matrix is 1000 mg l -1 and 800 mg l -1 for ETV-ICP-MS and PN-ICP-MS, respectively. To assess the accuracy, the proposed method was applied to the determination of trace lanthanides and yttrium in three different soil standard reference materials and one soil sample, and the determined values are in good agreement with the certified values or reference values

  3. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  4. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  5. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  6. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  7. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  8. Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach: Study of the precursor reaction mechanisms

    International Nuclear Information System (INIS)

    Castex, A.; Jousseaume, V.; Deval, J.; Bruat, J.; Favennec, L.; Passemard, G.

    2008-01-01

    As interconnects are scaled down, much effort is made to achieve ultralow k material with a dielectric constant lower than 2.5. Thus, many new precursors are investigated in plasma-enhanced chemical vapor deposition. This is particularly true with the porogen approach where two molecules are used: an organosilicon to create the silicon matrix and an organic molecule ''porogen'' that creates material porosity during a post-treatment such as annealing. In this article, the influence of the organosilicon molecular structure is investigated. Two ''matrix precursors'' with different structures are therefore compared. The first one, referred to as D5, has a ring structure (decamethyl pentacyclosiloxane); the second one, referred to as DEOMS, has a star structure (diethoxymethyl silane). The porogen organic molecule, referred to as CHO, is cyclohexen oxide. The fragmentation paths of the precursor molecules in the plasma are investigated by quadrupole mass spectroscopy and the film structure is studied by Fourier transform infrared spectroscopy. The mass spectroscopy analysis shows that the fragmentation in plasma is highest for DEOMS, intermediate for CHO, and lowest for D5 in comparable process conditions. At the maximum plasma power setting, the loss rate, which yields molecule consumption, is 43%-81% for the D5-CHO mixture, respectively, and 73%-37% for the DEOMS-CHO mixture, respectively. This is related to higher bond-dissociation energy for the siloxane (Si-O-Si) link in D5 than silane (Si-H), silylethoxyde (Si-OC 2 H 5 ) in DEOMS, or C-C and epoxy cycle in CHO. Indeed, a higher electron-energy relative threshold for dissociation under electron impact is measured for D5 (around 7 eV) than for DEOMS and CHO (around 4 eV). Moreover, the fragment structures differ from one precursor to another. Methyl groups are abstracted from D5 and a few polysiloxane chains are produced from pentacycle opening and fragmentation. In the case of DEOMS, many single silicon

  9. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  10. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  11. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  12. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  13. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  14. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  15. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  16. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    chemiresistor device and used as a chemical sensor, where its resistance is temporarily modified while exposed to chemical compounds. The inherent, broad selective nature of graphene is demonstrated by testing a sensor against a diverse set of volatile organic compounds and also against a set of chemically similar compounds. The sensor exhibits excellent selectivity and is capable of achieving high classification accuracies. The kinetics of the sensor's response are further investigated revealing a relationship between the transient behavior of the response curve and physiochemical properties of the compounds, such as the molar mass and vapor pressure. This kinetic information is also shown to provide important information for further pattern recognition and classification, which is demonstrated by increased classification accuracy of very similar compounds. Covalent modification of the graphene surface is demonstrated by means of plasma treatment and free radical exchange, and sensing performance compared to an unmodified graphene sensor. Finally, the first example of a graphene-based, cross-reactive chemical sensor array is demonstrated by applying various polymers as coatings over an array of graphene sensors. The sensor array is tested against a variety of compounds, including the complex odor of Scotch whiskies, where it is capable of perfect classification of 10 Scotch whiskey variations.

  17. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  18. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  19. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  20. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  1. Experimental simulation and numerical modeling of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhtin, V.P.; Konkashbaev, I.; Landman, I.; Safronov, V.M.; Toporkov, D.A.; Zhitlukhin, A.M.

    1995-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and are experimentally analyzed at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. ((orig.))

  2. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  3. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  4. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  5. Nanocrystalline-Si-dot multi-layers fabrication by chemical vapor deposition with H-plasma surface treatment and evaluation of structure and quantum confinement effects

    Directory of Open Access Journals (Sweden)

    Daisuke Kosemura

    2014-01-01

    Full Text Available 100-nm-thick nanocrystalline silicon (nano-Si-dot multi-layers on a Si substrate were fabricated by the sequential repetition of H-plasma surface treatment, chemical vapor deposition, and surface oxidation, for over 120 times. The diameter of the nano-Si dots was 5–6 nm, as confirmed by both the transmission electron microscopy and X-ray diffraction analysis. The annealing process was important to improve the crystallinity of the nano-Si dot. We investigated quantum confinement effects by Raman spectroscopy and photoluminescence (PL measurements. Based on the experimental results, we simulated the Raman spectrum using a phenomenological model. Consequently, the strain induced in the nano-Si dots was estimated by comparing the experimental and simulated results. Taking the estimated strain value into consideration, the band gap modulation was measured, and the diameter of the nano-Si dots was calculated to be 5.6 nm by using PL. The relaxation of the q ∼ 0 selection rule model for the nano-Si dots is believed to be important to explain both the phenomena of peak broadening on the low-wavenumber side observed in Raman spectra and the blue shift observed in PL measurements.

  6. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  7. Modeling CO2 laser ablation impulse of polymers in vapor and plasma regimes

    International Nuclear Information System (INIS)

    Sinko, John E.; Phipps, Claude R.

    2009-01-01

    An improved model for CO 2 laser ablation impulse in polyoxymethylene and similar polymers is presented that describes the transition effects from the onset of vaporization to the plasma regime in a continuous fashion. Several predictions are made for ablation behavior.

  8. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  9. Evaporation and Vapor Shielding of CFC Targets Exposed to Plasma Heat Fluxes Relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.; Arkhipov, N.I.; Toporkov, D.A.; Zhitlukhin, A.M.; Landman, I.

    2007-01-01

    Full text of publication follows: Carbon-fibre composite (CFC) is foreseen presently as armour material for the divertor target in ITER. During the transient processes such as instabilities of Edge Localized Modes (ELMs) the target as anticipated will be exposed to the plasma heat loads of a few MJ/m 2 on the time scale of a fraction of ms, which causes an intense evaporation at the target surface and contaminates tokamak plasma by evaporated carbon. The ITER transient loads are not achievable at existing tokamaks therefore for testing divertor armour materials other facilities, in particular plasma guns are employed. In the present work the CFC targets have been tested for ITER at the plasma gun facility MK- 200 UG in Troitsk by ELM relevant heat fluxes. The targets in the applied magnetic field up to 2 T were irradiated by hydrogen plasma streams of diameter 6 - 8 cm, impact ion energy 2 - 3 keV, pulse duration 0.05 ms and energy density varying in the range 0.05 - 1 MJ/m 2 . Primary attention has been focused on the measurement of evaporation threshold and investigation of carbon vapor properties. Fast infrared pyrometer, optical and VUV spectrometers, framing cameras and plasma calorimeters were applied as diagnostics. The paper reports the results obtained on the evaporation threshold of CFC, the evaporation rate of the carbon fibers oriented parallel and perpendicular to the exposed target surface, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state measured up to the distance 15 cm at varying plasma load. First experimental results on investigation of the vapor shield onset conditions are presented also. (authors)

  10. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  11. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  12. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  13. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  14. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  16. Determination of the characteristics of an electric arc plasma contaminated by vapors from insulators

    International Nuclear Information System (INIS)

    Abbaoui, M.; Cheminat, B.

    1991-01-01

    An experimental study at atmospheric pressure carried out on plasma penetrated by vapors from different industrial insulators allowed the showing of the influence of the nature of the insulator upon the characteristics of the electric arc plasma; i.e., an increase of the temperature, electron density, electric field, and extinction velocity of the arc. Measurements have been made spectrometrically and by means of probes

  17. Plasma-polymerized films providing selective affinity to the polarity of vaporized organic solvents

    International Nuclear Information System (INIS)

    Akimoto, Takuo; Ikeshita, Yusuke; Terashima, Ryo; Karube, Isao

    2009-01-01

    Plasma-polymerized films (PPFs) were fabricated as recognition membranes for a vapor-sensing device, and their affinity to vaporized organic solvents was evaluated with surface plasmon resonance. The affinity we intended to create is the selective sorption of the vaporized organic solvents depending on their polarity. For this purpose, acetonitrile, ethylenediamine (EDA), styrene, hexamethyldisiloxane (HMDSO), and hexamethyldisilazane were used to fabricate PPFs. Vaporized methanol, ethanol, and 1-propanol were used as high-polar solvents to be analyzed. Hexane, toluene, and p-xylene were used as low-polar solvents. As a result, the HMDSO-PPF with 97.3 o of contact angle was found to provide affinity to the low-polar solvents. In contrast, the EDA-PPF with 7.1 o of contact angle provided affinity to the high-polar solvents. Observations of the surface morphology of the HMDSO- and EDA-PPFs with a scanning electron microscope revealed that they are composed of nano-scale islands.

  18. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  19. The lithium vapor box divertor

    International Nuclear Information System (INIS)

    Goldston, R J; Schwartz, J; Myers, R

    2016-01-01

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m −2 , implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et al as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. At the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma. (paper)

  20. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  1. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  2. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  3. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  4. Influence of atmospheric plasma on physicochemical properties of vapor-grown graphite nanofibers.

    Science.gov (United States)

    Seo, Min-Kang; Park, Soo-Jin; Lee, Sang-Kwan

    2005-05-01

    Vapor-grown graphite nanofibers (GNFs) were modified by plasma treatments using low-pressure plasmas with different gases (Ar gas only and/or Ar/O2 gases), flow rates, pressures, and powers. Surface characterizations and morphologies of the GNFs after plasma treatment were investigated by X-ray photoelectron spectroscopy (XPS), contact angle, titration, and transmission electron microscopy (TEM) measurements. Also, the investigation of thermomechanical behavior and impact strengths of the GNFs/epoxy composites was performed by dynamic-mechanical thermal analysis (DMTA) and Izod impact testing, respectively. The plasma treatment of the fibers changed the surface morphologies by forming a layer with a thickness on the order of 1 nm, mainly consisting of oxygen functional groups such as hydroxyl, carbonyl, and carboxyl groups. After functionalization of the complete surfaces, further plasma treatment did not enhance the superficial oxygen content but slightly changed the portions of the functional groups. Also, the composites with plasma-treated GNFs showed an increase in T(g) and impact strength compared to the composites containing the same amount of plasma-untreated GNFs.

  5. Numerical modeling and experimental simulation of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhin, V.P.; Goel, B.; Hoebel, W.; Konkashbaev, I.; Landman, I.; Piazza, G.; Safronov, V.M.; Sherbakov, A.R.; Toporkov, D.A.; Zhitlukhin, A.M.

    1994-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and experimentally investigated at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. In the optical wavelength range C II, C III, C IV emission lines for graphite, Cu I, Cu II lines for copper and continuum radiation for tungsten samples are observed in the target plasma. The plasma expands along the magnetic field lines with velocities of (4±1)x10 6 cm/s for graphite and 10 5 cm/s for copper. Modeling was done with a radiation hydrodynamics code in one-dimensional planar geometry. The multifrequency radiation transport is treated in flux limited diffusion and in forward reverse transport approximation. In these first modeling studies the overall shielding efficiency for carbon and tungsten defined as ratio of the incident energy and the vaporization energy for power densities of 10 MW/cm 2 exceeds a factor of 30. The vapor shield is established within 2 μs, the power fraction to the target after 10 μs is below 3% and reaches in the stationary state after about 20 μs a value of around 1.5%. ((orig.))

  6. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  7. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  8. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  9. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    CERN Document Server

    Joulaei, Atefeh; Berti, Nicolas; Kasparian, Jerome; Mirzanejhad, Saeed; Muggli, Patric

    2016-01-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment.

  10. Optical emission spectroscopy of metal vapor dominated laser-arc hybrid welding plasma

    International Nuclear Information System (INIS)

    Ribic, B.; DebRoy, T.; Burgardt, P.

    2011-01-01

    During laser-arc hybrid welding, plasma properties affect the welding process and the weld quality. However, hybrid welding plasmas have not been systematically studied. Here we examine electron temperatures, species densities, and electrical conductivity for laser, arc, and laser-arc hybrid welding using optical emission spectroscopy. The effects of arc currents and heat source separation distances were examined because these parameters significantly affect weld quality. Time-average plasma electron temperatures, electron and ion densities, electrical conductivity, and arc stability decrease with increasing heat source separation distance during hybrid welding. Heat source separation distance affects these properties more significantly than the arc current within the range of currents considered. Improved arc stability and higher electrical conductivity of the hybrid welding plasma result from increased heat flux, electron temperatures, electron density, and metal vapor concentrations relative to arc or laser welding.

  11. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  12. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  13. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  14. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  15. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  16. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  17. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  18. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  19. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  20. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  1. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    Science.gov (United States)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  2. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  3. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  4. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  5. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  6. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  7. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  8. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  9. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  10. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  11. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  12. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  13. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  14. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  15. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  16. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  19. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  20. Considerations of particle vaporization and analyte diffusion in single-particle inductively coupled plasma-mass spectrometry

    International Nuclear Information System (INIS)

    Ho, Koon-Sing; Lui, Kwok-On; Lee, Kin-Ho; Chan, Wing-Tat

    2013-01-01

    The intensity of individual gold nanoparticles with nominal diameters of 80, 100, 150, and 200 nm was measured using single-particle inductively coupled plasma-mass spectrometry (ICP-MS). Since the particles are not perfectly monodisperse, a distribution of ICP-MS intensity was obtained for each nominal diameter. The distribution of particle mass was determined from the transmission electron microscopy (TEM) image of the particles. The distribution of ICP-MS intensity and the distribution of particle mass for each nominal diameter were correlated to give a calibration curve. The calibration curves are linear, but the slope decreases as the nominal diameter increases. The reduced slope is probably due to a smaller degree of vaporization of the large particles. In addition to the degree of particle vaporization, the rate of analyte diffusion in the ICP is an important factor that determines the measured ICP-MS intensity. Simulated ICP-MS intensity versus particle size was calculated using a simple computer program that accounts for the vaporization rate of the gold nanoparticles and the diffusion rate and degree of ionization of the gold atoms. The curvature of the simulated calibration curves changes with sampling depth because the effects of particle vaporization and analyte diffusion on the ICP-MS intensity are dependent on the residence time of the particle in the ICP. Calibration curves of four hypothetical particles representing the four combinations of high and low boiling points (2000 and 4000 K) and high and low analyte diffusion rates (atomic masses of 10 and 200 Da) were calculated to further illustrate the relative effects of particle vaporization and analyte diffusion. The simulated calibration curves show that the sensitivity of single-particle ICP-MS is smaller than that of the ICP-MS measurement of continuous flow of standard solutions by a factor of 2 or more. Calibration using continuous flow of standard solution is semi-quantitative at best. An

  1. A Lithium Vapor Box Divertor Similarity Experiment

    Science.gov (United States)

    Cohen, Robert A.; Emdee, Eric D.; Goldston, Robert J.; Jaworski, Michael A.; Schwartz, Jacob A.

    2017-10-01

    A lithium vapor box divertor offers an alternate means of managing the extreme power density of divertor plasmas by leveraging gaseous lithium to volumetrically extract power. The vapor box divertor is a baffled slot with liquid lithium coated walls held at temperatures which increase toward the divertor floor. The resulting vapor pressure differential drives gaseous lithium from hotter chambers into cooler ones, where the lithium condenses and returns. A similarity experiment was devised to investigate the advantages offered by a vapor box divertor design. We discuss the design, construction, and early findings of the vapor box divertor experiment including vapor can construction, power transfer calculations, joint integrity tests, and thermocouple data logging. Heat redistribution of an incident plasma-based heat flux from a typical linear plasma device is also presented. This work supported by DOE Contract No. DE-AC02-09CH11466 and The Princeton Environmental Institute.

  2. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  3. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  4. Bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes versus cold-knife transurethral incision for the treatment of posterior urethral stricture: a prospective, randomized study.

    Science.gov (United States)

    Cai, Wansong; Chen, Zhiyuan; Wen, Liping; Jiang, Xiangxin; Liu, Xiuheng

    2016-01-01

    Evaluate the efficiency and safety of bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes for the treatment of posterior urethral stricture. Compare the outcomes following bipolar plasma vaporization with conventional cold-knife urethrotomy. A randomized trial was performed to compare patient outcomes from the bipolar and cold-knife groups. All patients were assessed at 6 and 12 months postoperatively via urethrography and uroflowmetry. At the end of the first postoperative year, ureteroscopy was performed to evaluate the efficacy of the procedure. The mean follow-up time was 13.9 months (range: 12 to 21 months). If re-stenosis was not identified by both urethrography and ureteroscopy, the procedure was considered "successful". Fifty-three male patients with posterior urethral strictures were selected and randomly divided into two groups: bipolar group (n=27) or cold-knife group (n=26). Patients in the bipolar group experienced a shorter operative time compared to the cold-knife group (23.45±7.64 hours vs 33.45±5.45 hours, respectively). The 12-month postoperative Qmax was faster in the bipolar group than in the cold-knife group (15.54±2.78 ml/sec vs 18.25±2.12 ml/sec, respectively). In the bipolar group, the recurrence-free rate was 81.5% at a mean follow-up time of 13.9 months. In the cold-knife group, the recurrence-free rate was 53.8%. The application of bipolar plasma-cutting and plasma-loop electrodes for the management of urethral stricture disease is a safe and reliable method that minimizes the morbidity of urethral stricture resection. The advantages include a lower recurrence rate and shorter operative time compared to the cold-knife technique.

  5. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  6. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  7. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  8. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  9. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  10. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  11. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  12. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  13. Contact glow discharge electrolysis: its origin, plasma diagnostics and non-faradaic chemical effects

    International Nuclear Information System (INIS)

    Sen Gupta, Susanta K

    2015-01-01

    Contact glow discharge electrolysis (CGDE) also termed plasma electrolysis is a novel electrolysis where a stable sheath of light emitting plasma develops around an electrode immersed well inside a relatively high-conductivity liquid electrolyte during normal electrolysis (NE) at several hundred volts. The phenomenon may develop in dc-, pulsed dc-, ac- as well as RF-driven electrolyses. The chemical effects of CGDE are remarkably non-faradaic in respect to the nature of the products as well as their yields. The article traces comprehensively the progress made in studies of CGDE in aqueous and non-aqueous solutions since 1844 and reviews the developments in the understanding of its origin, light emission, plasma state and non-faradaic effects leading to the elucidation of detailed mechanism of the origin of CGDE on the basis of the onset of hydrodynamic instabilities in local vaporization of the solvent near the working electrode during NE, and that of highly non-faradaic effects of CGDE based on a model of two reaction zones located within the electrode plasma and at the plasma–liquid interface producing solvent derived radicals at high local concentrations. Keeping in view the recent surge of interest in varied applications of CGDE, the article is appended with highlights of these applications across synthetic chemistry, waste water treatment, electrosurgical devices, nanoparticle fabrications, surface engineering and micro-machining. (topical review)

  14. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  15. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  16. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  17. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  18. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  19. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  20. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  1. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  2. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  3. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  4. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  5. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Piotr Piszczek

    2017-09-01

    Full Text Available Bioactivity investigations of titania nanotube (TNT coatings enriched with silver nanograins (TNT/Ag have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM, X-ray photoelectron spectroscopy (XPS, and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS. The metabolic activity assay (MTT was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9. The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface.

  6. Fast screening of analytes for chemical reactions by reactive low-temperature plasma ionization mass spectrometry.

    Science.gov (United States)

    Zhang, Wei; Huang, Guangming

    2015-11-15

    Approaches for analyte screening have been used to aid in the fine-tuning of chemical reactions. Herein, we present a simple and straightforward analyte screening method for chemical reactions via reactive low-temperature plasma ionization mass spectrometry (reactive LTP-MS). Solution-phase reagents deposited on sample substrates were desorbed into the vapor phase by action of the LTP and by thermal desorption. Treated with LTP, both reagents reacted through a vapor phase ion/molecule reaction to generate the product. Finally, protonated reagents and products were identified by LTP-MS. Reaction products from imine formation reaction, Eschweiler-Clarke methylation and the Eberlin reaction were detected via reactive LTP-MS. Products from the imine formation reaction with reagents substituted with different functional groups (26 out of 28 trials) were successfully screened in a time of 30 s each. Besides, two short-lived reactive intermediates of Eschweiler-Clarke methylation were also detected. LTP in this study serves both as an ambient ionization source for analyte identification (including reagents, intermediates and products) and as a means to produce reagent ions to assist gas-phase ion/molecule reactions. The present reactive LTP-MS method enables fast screening for several analytes from several chemical reactions, which possesses good reagent compatibility and the potential to perform high-throughput analyte screening. In addition, with the detection of various reactive intermediates (intermediates I and II of Eschweiler-Clarke methylation), the present method would also contribute to revealing and elucidating reaction mechanisms. Copyright © 2015 John Wiley & Sons, Ltd.

  7. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  8. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  9. Direct determination of trace rare earth elements in ancient porcelain samples with slurry sampling electrothermal vaporization inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Xiang Guoqiang; Jiang Zucheng; He Man; Hu Bin

    2005-01-01

    A method for the direct determination of trace rare earth elements in ancient porcelain samples by slurry sampling fluorinating electrothermal vaporization inductively coupled plasma mass spectrometry was developed with the use of polytetrafluoroethylene as fluorinating reagent. It was found that Si, as a main matrix element in ancient porcelain sample, could be mostly removed at the ashing temperature of 1200 deg. C without considerable losses of the analytes. However, the chemical composition of ancient porcelain sample is very complicated, which makes the influences resulting from other matrix elements not be ignored. Therefore, the matrix effect of ancient porcelain sample was also investigated, and it was found that the matrix effect is obvious when the matrix concentration was larger than 0.8 g l -1 . The study results of particle size effect indicated that when the sample particle size was less than 0.057 mm, the particle size effect is negligible. Under the optimized operation conditions, the detection limits for rare earth elements by fluorinating electrothermal vaporization inductively coupled plasma mass spectrometry were 0.7 ng g -1 (Eu)-33.3 ng g -1 (Nd) with the precisions of 4.1% (Yb)-10% (La) (c = 1 μg l -1 , n = 9). The proposed method was used to directly determine the trace rare earth elements in ancient porcelain samples produced in different dynasty (Sui, Ming and Qing), and the analytical results are satisfactory

  10. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  11. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  12. Synthesis and characterization of carbon nanofilms for chemical sensing

    Science.gov (United States)

    Kumar, Vivek

    Carbon nanofilms obtained by high temperature graphitization of diamond surface in inert atmospheres or vacuum are modified by treatment in plasma of different precursor gases. At temperatures above 1000 °C, a stable conductive film of thickness between 10 - 100 nm and specific resistivity 10-3-10-4 Ωm, depending upon the heating conditions and the growth atmosphere, is formed on diamond surface. A gray, thin film of high surface resistivity is obtained in high vacuum, while at low vacuum (below 10-4 mbar), a thick black film of low surface resistivity forms. It is observed that the exposure to plasma reduces the surface conductance of carbon nanofilms as result of a partial removal of carbon and the plasma-stimulated amorphization. The rate of the reduction of conductance and hence the etching ability of plasma depends on the type of precursor gas. Hydrogen reveals the strongest etching ability, followed by oxygen and argon, whereas SF6 is ineffective. The carbon nanofilms show significant sensitivity of their electrical conductance to temperature and exposure to the vapors of common organic compounds. The oxygen plasma treated films exhibit selective response to acetone and water vapors. The fast response and recovery of the conductance are the features of the carbon nanofilms. The plasma-treated carbon nanofilm on graphitized diamond surface is discussed as a promising sensing material for development of all-carbon chemical sensors, which may be suitable for biological and medical applications. An alternative approach of fabrication of temperature and chemical sensitive carbon nanofilms on insulating substrates is proposed. The films are obtained by direct deposition of sputtered carbon on highly polished quartz substrates followed by subsequent annealing at temperatures above 400 °C. It is observed that the as-deposited films are essentially amorphous, while the heating induces irreversible structural ordering and gradual conversion of amorphous carbon in

  13. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  14. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  15. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  16. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  17. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Strangeness Production in a Chemically Equilibrating Quark-Gluon Plasma

    Institute of Scientific and Technical Information of China (English)

    HE Ze-Jun; LONG Jia-Li; MA Yu-Gang; MA Guo-Liang

    2004-01-01

    @@ We study the strangeness of a chemically equilibrating quark-gluon plasma at finite baryon density based on the and will accelerate with the change of the initial system from a chemically non-equilibrated to an equilibrated system. We also find that the calculated strangeness is very different from the one in the thermodynamic equilibrium system. This study may be helpful to understand the formation of quark-gluon plasma via a chemically non-equilibrated evolution framework.

  20. Acceleration of Vaporization, Atomization, and Ionization Efficiencies in Inductively Coupled Plasma by Merging Laser-Ablated Particles with Hydrochloric Acid Gas.

    Science.gov (United States)

    Nakazawa, Takashi; Izumo, Saori; Furuta, Naoki

    2016-01-01

    To accelerate the vaporization, atomization, and ionization efficiencies in laser ablation inductively coupled plasma mass spectrometry, we merged HCl gas with laser-ablated particles before introduction into the plasma, to convert their surface constituents from oxides to lower-melting chlorides. When particles were merged with HCl gas generated from a HCl solution at 200°C, the measured concentrations of elements in the particles were 135% higher on average than the concentrations in particles merged with ultrapure water vapor. Particle corrosion and surface roughness were observed by scanning electron microscopy, and oxide conversion to chlorides was confirmed by X-ray photoelectron spectroscopy. Under the optimum conditions, the recoveries of measured elements improved by 23% on average, and the recoveries of elements with high-melting oxides (Sr, Zr, and Th) improved by as much as 36%. These results indicate that vaporization, atomization, and ionization in the ICP improved when HCl gas was merged with the ablated particles.

  1. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  2. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  3. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  4. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  5. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  6. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  7. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  8. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  9. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  10. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  12. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  13. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  15. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  16. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  17. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  18. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  19. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  20. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  1. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  2. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  3. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  4. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  5. Nano powders, components and coatings by plasma technique

    Science.gov (United States)

    McKechnie, Timothy N. (Inventor); Antony, Leo V. M. (Inventor); O'Dell, Scott (Inventor); Power, Chris (Inventor); Tabor, Terry (Inventor)

    2009-01-01

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  6. Nano powders, components and coatings by plasma technique

    Science.gov (United States)

    McKechnie, Timothy N [Brownsboro, AL; Antony, Leo V. M. [Huntsville, AL; O'Dell, Scott [Arab, AL; Power, Chris [Guntersville, AL; Tabor, Terry [Huntsville, AL

    2009-11-10

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  7. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  8. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  9. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    Science.gov (United States)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  10. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  11. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  12. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  13. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  14. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  15. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  16. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  17. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  18. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  19. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  20. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  1. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  2. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  3. Characterization and monitoring of total organic chloride vapors

    International Nuclear Information System (INIS)

    Anheier, N.C. Jr.; Evans, J.C. Jr.; Olsen, K.B.

    1992-07-01

    Chemical sensors are being developed intermediate highly selective and broadly selective methods. PNL is developing an optical-emission based TOCl (total organic chlorinated compounds) sensor (Halosnif) which is capable of measuring TOCl in real time on an extracted gas sample over a wide linear dynamic range. Halosnif employs an atomic emission sensor that is broadly selective for any moderately volatile organic hclorinated vapor but does not distinguish between classes of chlorinated compounds. A rf-induced He plasma is used to excite the chlorine atoms, causing light emission at 837.6 nm. The sensitivity ranges from 1-2 ppM up to at least 10,000 ppM. Field tests were conducted at Tinker AFB in areas of high TCE contamination, in two boreholes at Savannah River, and at Hanford CCl 4 vapor extraction system. This sensor is briefly compared with acoustic wave sensors being developed by SNL (PAWS). 4 figs

  4. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  5. Determination of mercury in coal by isotope dilution cold-vapor generation inductively coupled plasma mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Long, S.E.; Kelly, W.R.

    2002-04-01

    A method based on isotope dilution cold-vapor inductively coupled plasma mass spectrometry (ID-CV-ICPMS) has been developed for high-accuracy determinations of mercury in bituminous and sub-bituminous coals. A closed-system digestion process employing a Carius tube is used to completely oxidize the coal matrix and chemically equilibrate the mercury in the sample with a Hg-201 isotopic spike. The digestates are diluted with high-purity quartz-distilled water, and the mercury is released as a vapor by reduction with tin chloride. Measurements of Hg-201/Hg-202 isotope ratios are made using a quadrupole ICPMS system in time-resolved analysis mode. The new method has some significant advantages over existing methods. The instrument detection limit is less than 1 pg/mL. The average blank (n = 17) is 30 pg, which is roughly 1 order of magnitude lower than the equivalent microwave digestion procedure. The detection limit in coal is blank limited and is similar to 40 pg/g. Memory effects are very low. The relative reproducibility of the analytical measurements is similar to 0.5% for mercury concentrations in the range 10-150 ng/g. The method has been used to measure mercury concentrations in six coal reference materials, SRM 1632b (77.4 ng/g), SRM 1632c (94.3 ng/g), BCR 40 (433.2 ng/g), BCR 180 (125.0 ng/g), BCR 181 (135.8 ng/g), and SARM 20 (252.6 ng/g), as well as a coal fly ash, SRM 1633b (143.1 ng/g). The method is equally applicable to other types of fossil fuels including both crude and refined oils.

  6. Analysis of organic vapors with laser induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Nozari, Hadi; Tavassoli, Seyed Hassan [Laser and Plasma Research Institute, Shahid Beheshti University, G. C, 1983963113 Evin, Tehran (Iran, Islamic Republic of); Rezaei, Fatemeh, E-mail: fatemehrezaei@kntu.ac.ir [Department of Physics, K. N. Toosi University of Technology, 15875-4416 Shariati, Tehran (Iran, Islamic Republic of)

    2015-09-15

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminish gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor.

  7. Analysis of organic vapors with laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Nozari, Hadi; Tavassoli, Seyed Hassan; Rezaei, Fatemeh

    2015-01-01

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminish gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor

  8. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    Science.gov (United States)

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  9. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  10. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  11. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  12. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  13. TVA - Thermionic Vacuum Arc - A new type of discharge generating pure metal vapor plasma

    International Nuclear Information System (INIS)

    Musa, G.; Popescu, A.; Mustata, I.; Borcoman, I.; Cretu, M.; Leu, G.F.; Salambas, A.; Ehrich, H.; Schumann, I.

    1996-01-01

    In this paper it is presented a new type of discharge in vacuum conditions generating pure metal vapor plasma with energetic metal ions content. The peculiarities of this heated cathode discharge are described and the dependence of the measured ion energy of the working parameters are established. The ion energy value can be easily and smoothly changed. A nearly linear dependence between energy of ions and arc voltage drop has been observed. The ion energy can be increased by the increase of the interelectrode distance, decrease of cathode temperature, change of the relative position of the electrodes and by the decrease of the arc discharge current. A special configuration with cylindrical geometry has been used to develop a small size and compact metal vapour plasma gun. Due to the mentioned peculiarities, this discharge offers new openings for important applications. (author)

  14. NBI - plasma vaporization hybrid approach in bladder cancer endoscopic management.

    Science.gov (United States)

    Stănescu, F; Geavlete, B; Georgescu, D; Jecu, M; Moldoveanu, C; Adou, L; Bulai, C; Ene, C; Geavlete, P

    2014-06-15

    A prospective study was performed aiming to evaluate the surgical efficacy, perioperative safety profile, diagnostic accuracy and medium term results of a multi-modal approach consisting in narrow band imaging (NBI) cystoscopy and bipolar plasma vaporization (BPV) when compared to the standard protocol represented by white light cystoscopy (WLC) and transurethral resection of bladder tumors (TURBT). A total of 260 patients with apparently at least one bladder tumor over 3 cm were included in the trial. In the first group, 130 patients underwent conventional and NBI cystoscopy followed by BPV, while in a similar number of cases of the second arm, classical WLC and TURBT were applied. In all non-muscle invasive bladder tumors' (NMIBT) pathologically confirmed cases, standard monopolar Re-TUR was performed at 4-6 weeks after the initial intervention, followed by one year' BCG immunotherapy. The follow-up protocol included abdominal ultrasound, urinary cytology and WLC, performed every 3 months for a period of 2 years. The obturator nerve stimulation, bladder wall perforation, mean hemoglobin level drop, postoperative bleeding, catheterization period and hospital stay were significantly reduced for the plasma vaporization technique by comparison to conventional resection. Concerning tumoral detection, the present data confirmed the NBI superiority when compared to standard WLC regardless of tumor stage (95.3% vs. 65.1% for CIS, 93.3% vs. 82.2% for pTa, 97.4% vs. 94% for pT1, 95% vs. 84.2% overall). During standard Re-TUR the overall (6.3% versus 17.4%) and primary site (3.6% versus 12.8%) residual tumors' rates were significantly lower for the NBI-BPV group. The 1 (7.2% versus 18.3%) and 2 (11.5% versus 25.8%) years' recurrence rates were substantially lower for the combined approach. NBI cystoscopy significantly improved diagnostic accuracy, while bipolar technology showed a higher surgical efficiency, lower morbidity and faster postoperative recovery. The combined

  15. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  16. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  17. Optimization of a single-drop microextraction method for multielemental determination by electrothermal vaporization inductively coupled plasma mass spectrometry following in situ vapor generation

    International Nuclear Information System (INIS)

    Gil, Sandra; Loos-Vollebregt, Margaretha T.C. de; Bendicho, Carlos

    2009-01-01

    A headspace single-drop microextraction (HS-SDME) method has been developed in combination with electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) for the simultaneous determination of As, Sb, Bi, Pb, Sn and Hg in aqueous solutions. Vapor generation is carried out in a 40 mL volume closed-vial containing a solution with the target analytes in hydrochloric acid and potassium ferricyanide medium. Hydrides (As, Sb, Bi, Pb, Sn) and Hg vapor are trapped onto an aqueous single drop (3 μL volume) containing Pd(II), followed by the subsequent injection in the ETV. Experimental variables such as medium composition, sodium tetrahydroborate (III) volume and concentration, stirring rate, extraction time, sample volume, ascorbic acid concentration and palladium amount in the drop were fully optimized. The limits of detection (LOD) (3σ criterion) of the proposed method for As, Sb, Bi, Pb, Sn and Hg were 0.2, 0.04, 0.01, 0.07, 0.09 and 0.8 μg/L, respectively. Enrichment factors of 9, 85, 138, 130, 37 and 72 for As, Sb, Bi, Pb, Sn and Hg, respectively, were achieved in 210 s. The relative standard deviations (N = 5) ranged from 4 to 8%. The proposed HS-SDME-ETV-ICP-MS method has been applied for the determination of As, Sb, Bi, Pb, Sn and Hg in NWRI TM-28.3 certified reference material.

  18. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  19. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  20. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  1. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  2. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  3. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Science.gov (United States)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  4. Determination of Cd, Hg, Pb and Se in sediments slurries by isotopic dilution calibration ICP-MS after chemical vapor generation using an on-line system or retention in an electrothermal vaporizer treated with iridium

    International Nuclear Information System (INIS)

    Vieira, Mariana Antunes; Ribeiro, Anderson Schwingel; Dias, Lucia Felicidade; Curtius, Adilson Jose

    2005-01-01

    A method for the determination of Cd, Hg, Pb and Se in sediments reference materials by slurry sampling chemical vapor generation (CVG) using isotopic dilution (ID) calibration and detection by inductively coupled plasma mass spectrometry (ICP-MS) is proposed. Two different systems were used for the investigation: an on-line flow injection system (FI-CVG-ICP-MS) and an off-line system with in situ trapping electrothermal vaporization (CVG-ETV-ICP-MS). About 100 mg of the reference material, ground to a particle size ≤50 μm, was mixed with acid solutions (aqua regia, HF and HCl) in an ultrasonic bath. The enriched isotopes 111 Cd, 198 Hg, 206 Pb and 77 Se were then added to the slurry in an adequate amount in order to produce an altered isotopic ratio close to 1. For the on-line system, a standing time for the slurry of 12 h before measurement was required, while for the batch system, no standing time is needed to obtain accurate results. The conditions for the formation of the analyte vapor were optimized for the evaluated systems. The following altered isotope ratios were measured: 111 Cd/ 114 Cd, 198 Hg/ 199 Hg, 206 Pb/ 208 Pb e 77 Se/ 82 Se. The obtained detection limits in the on-line system, in μg g -1 , were: Cd: 0.15; Hg: 0.09; Pb: 6.0 and Se: 0.03. Similar detection limits were obtained with the system that uses the ETV: 0.21 for Hg, 6.0 for Pb and 0.06 μg g -1 for Se. No signal for Cd was obtained in this system. One estuarine, two marine and two river certified sediments were analyzed to check the accuracy. The obtained values by both systems were generally in agreement with the certified concentrations, according to the t-test for a confidence level of 95%, demonstrating that isotope equilibration was attained in the slurries submitted to a chemical vapor generation procedure and detection by ICP-MS. The relative standard deviations were lower than 10%, adequate for slurry analysis. The almost quantitative analytes extractions to the aqueous phase

  5. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  6. Method and apparatus for suppressing electron generation in a vapor source for isotope separation

    International Nuclear Information System (INIS)

    Janes, G.S.

    1979-01-01

    A system for applying accelerating forces to ionized particles of a vapor in a manner to suppress the flow of electron current from the vapor source. The accelerating forces are applied as an electric field in a configuration orthogonal to a magnetic field. The electric field is applied between one or more anodes in the plasma and one or more cathodes operated as electron emitting surfaces. The circuit for applying the electric field floats the cathodes with respect to the vapor source, thereby removing the vapor source from the circuit of electron flow through the plasma and suppressing the flow of electrons from the vapor source. The potential of other conducting structures contacting the plasma is controlled at or permitted to seek a level which further suppresses the flow of electron currents from the vapor source. Reducing the flow of electrons from the vapor source is particularly useful where the vapor is ionized with isotopic selectivity because it avoids superenergization of the vapor by the electron current

  7. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  8. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  9. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  10. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  11. Infrared Signature Masking by Air Plasma Radiation

    Science.gov (United States)

    Kruger, Charles H.; Laux, C. O.

    2001-01-01

    This report summarizes the results obtained during a research program on the infrared radiation of air plasmas conducted in the High Temperature Gasdynamics Laboratory at Stanford University under the direction of Professor Charles H. Kruger, with Dr. Christophe O. Laux as Associate Investigator. The goal of this research was to investigate the masking of infrared signatures by the air plasma formed behind the bow shock of high velocity missiles. To this end, spectral measurements and modeling were made of the radiation emitted between 2.4 and 5.5 micrometers by an atmospheric pressure air plasma in chemical and thermal equilibrium at a temperature of approximately 3000 K. The objective was to examine the spectral emission of air species including nitric oxide, atomic oxygen and nitrogen lines, molecular and atomic continua, as well as secondary species such as water vapor or carbon dioxide. The cold air stream injected in the plasma torch contained approximately 330 parts per million of CO2, which is the natural CO2 concentration in atmospheric air at room temperatures, and a small amount of water vapor with an estimated mole fraction of 3.8x10(exp -4).

  12. On interaction of large dust grains with fusion plasma

    International Nuclear Information System (INIS)

    Krasheninnikov, S. I.; Smirnov, R. D.

    2009-01-01

    So far the models used to study dust grain-plasma interactions in fusion plasmas neglect the effects of dust material vapor, which is always present around dust in rather hot and dense edge plasma environment in fusion devices. However, when the vapor density and/or the amount of ionized vapor atoms become large enough, they can alter the grain-plasma interactions. Somewhat similar processes occur during pellet injection in fusion plasma. In this brief communication the applicability limits of the models ignoring vapor effects in grain-plasma interactions are obtained.

  13. Simultaneous electrothermal vaporization and nebulizer sample introduction system for inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Arnquist, Isaac J.; Kreschollek, Thomas E.; Holcombe, James A.

    2011-01-01

    The novel analytical application of the combination of an inline electrothermal vaporization (ETV) and nebulization source for inductively coupled plasma mass spectrometry (ICP-MS) has been studied. Wet plasma conditions are sustained during ETV introduction by 200 mL/min gas flow through the nebulizer, which is merged with the ETV transport line at the torch. The use of a wet plasma with ETV introduction avoided the need to change power settings and torch positions that normally accompany a change from wet to dry plasma operating conditions. This inline-ETV source is shown to have good detection limits for a variety of elements in both HNO 3 and HCl matrices. Using the inline-ETV source, improved limits of detection (LOD) were obtained for elements typically suppressed by polyatomic interferences using a nebulizer. Specifically, improved LODs for 51 V and 53 Cr suffering from Cl interferences ( 51 ClO + and 53 ClO + respectively) in a 1% HCl matrix were obtained using the inline-ETV source. LODs were improved by factors of 65 and 22 for 51 V and 53 Cr, respectively, using the inline-ETV source compared to a conventional concentric glass nebulizer. For elements without polyatomic interferences, LODs from the inline-ETV were comparable to conventional dry plasma ETV-ICP time-of-flight mass spectrometry results. Lastly, the inline-ETV source offers a simple means of changing from nebulizer introduction to inline-ETV introduction without extinguishing the plasma. This permits, for example, the use of the time-resolved ETV-ICP-MS signals to distinguish between an analyte ion and polyatomic isobar.

  14. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  15. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  16. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  17. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  18. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  19. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  20. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    Science.gov (United States)

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  1. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  2. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  3. Static gas-liquid interfacial direct current discharge plasmas using ionic liquid cathode

    International Nuclear Information System (INIS)

    Kaneko, T.; Baba, K.; Hatakeyama, R.

    2009-01-01

    Due to the unique properties of ionic liquids such as their extremely low vapor pressure and high heat capacity, we have succeeded in creating the static and stable gas (plasmas)-liquid (ionic liquids) interfacial field using a direct current discharge under a low gas pressure condition. It is clarified that the ionic liquid works as a nonmetal liquid electrode, and furthermore, a secondary electron emission coefficient of the ionic liquid is larger than that of conventional metal electrodes. The plasma potential structure of the gas-liquid interfacial region, and resultant interactions between the plasma and the ionic liquid are revealed by changing a polarity of the electrode in the ionic liquid. By utilizing the ionic liquid as a cathode electrode, the positive ions in the plasma region are found to be irradiated to the ionic liquid. This ion irradiation causes physical and chemical reactions at the gas-liquid interfacial region without the vaporization of the ionic liquid.

  4. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  5. Applying chemical engineering concepts to non-thermal plasma reactors

    Science.gov (United States)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  6. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  7. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  8. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  9. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  10. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  11. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  12. Mercury determination in non- and biodegradable materials by cold vapor capacitively coupled plasma microtorch atomic emission spectrometry

    International Nuclear Information System (INIS)

    Frentiu, Tiberiu; Mihaltan, Alin I.; Ponta, Michaela; Darvasi, Eugen; Frentiu, Maria; Cordos, Emil

    2011-01-01

    Highlights: → Use of a miniaturized analytical system with microtorch plasma for Hg determination. → Determination of Hg in non- and biodegradable materials using cold vapor generation. → Figures of merit and advantages of the miniaturized system for Hg determination. - Abstract: A new analytical system consisting of a low power capacitively coupled plasma microtorch (20 W, 13.56 MHz, 150 ml min -1 Ar) and a microspectrometer was investigated for the Hg determination in non- and biodegradable materials by cold-vapor generation, using SnCl 2 reductant, and atomic emission spectrometry. The investigated miniaturized system was used for Hg determination in recyclable plastics from electronic equipments and biodegradable materials (shopping bags of 98% biodegradable polyethylene and corn starch) with the advantages of easy operation and low analysis costs. Samples were mineralized in HNO 3 -H 2 SO 4 mixture in a high-pressure microwave system. The detection limits of 0.05 ng ml -1 or 0.08 μg g -1 in solid sample were compared with those reported for other analytical systems. The method precision was 1.5-9.4% for Hg levels of 1.37-13.9 mg kg -1 , while recovery in two polyethylene certified reference materials in the range 98.7 ± 4.5% (95% confidence level).

  13. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  14. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  15. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Manaa, C., E-mail: chadlia.el.manaa@gmail.com [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Lejeune, M. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Kouki, F. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Durand-Drouhin, O. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Bouchriha, H. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); and others

    2014-06-02

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method.

  16. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    International Nuclear Information System (INIS)

    Manaa, C.; Lejeune, M.; Kouki, F.; Durand-Drouhin, O.; Bouchriha, H.

    2014-01-01

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method

  17. Plasma deposition of antimicrobial coating on organic polymer

    Science.gov (United States)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  18. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  19. Recent advances towards a lithium vapor box divertor

    Directory of Open Access Journals (Sweden)

    R.J. Goldston

    2017-08-01

    Full Text Available Fusion power plants are likely to require near complete detachment of the divertor plasma from the divertor target plates, in order to have both acceptable heat flux at the target to avoid prompt damage and also acceptable plasma temperature at the target surface, to minimize long-term erosion. However hydrogenic and impurity puffing experiments show that detached operation leads easily to x-point MARFEs, impure plasmas, degradation in confinement, and lower helium pressure at the exhaust. The concept of the Lithium Vapor Box Divertor is to use local evaporation and strong differential pumping through condensation to localize low-Z gas-phase material that absorbs the plasma heat flux and so achieve detachment while avoiding these difficulties. The vapor localization has been confirmed using preliminary Navier–Stokes calculations. We use ADAS calculations of εcool, the plasma energy lost per injected lithium atom, to estimate the lithium vapor pressure, and so temperature, required for detachment, taking into account power balance. We also develop a simple model of detachment to evaluate the required upstream density, based on further taking into account dynamic pressure balance. A remarkable general result is found, not just for lithium-vapor-induced detachment, that the upstream density divided by the Greenwald-limit density scales as nup/nGW ∝ (P5/8/B3/8 Tdet1/2/(εcool+γTdet, with no explicit size scaling. Tdet is the temperature just before strong pressure loss, assumed to be ∼ ½ of the ionization potential of the dominant recycling species, and γ is the sheath heat transmission factor.

  20. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  1. Plasma disruption modeling and simulation

    International Nuclear Information System (INIS)

    Hassanein, A.

    1994-01-01

    Disruptions in tokamak reactors are considered a limiting factor to successful operation and reliable design. The behavior of plasma-facing components during a disruption is critical to the overall integrity of the reactor. Erosion of plasma facing-material (PFM) surfaces due to thermal energy dump during the disruption can severely limit the lifetime of these components and thus diminish the economic feasibility of the reactor. A comprehensive understanding of the interplay of various physical processes during a disruption is essential for determining component lifetime and potentially improving the performance of such components. There are three principal stages in modeling the behavior of PFM during a disruption. Initially, the incident plasma particles will deposit their energy directly on the PFM surface, heating it to a very high temperature where ablation occurs. Models for plasma-material interactions have been developed and used to predict material thermal evolution during the disruption. Within a few microseconds after the start of the disruption, enough material is vaporized to intercept most of the incoming plasma particles. Models for plasma-vapor interactions are necessary to predict vapor cloud expansion and hydrodynamics. Continuous heating of the vapor cloud above the material surface by the incident plasma particles will excite, ionize, and cause vapor atoms to emit thermal radiation. Accurate models for radiation transport in the vapor are essential for calculating the net radiated flux to the material surface which determines the final erosion thickness and consequently component lifetime. A comprehensive model that takes into account various stages of plasma-material interaction has been developed and used to predict erosion rates during reactor disruption, as well during induced disruption in laboratory experiments

  2. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  3. Plasma deposition of Au-SiO2 multilayers for surface plasmon resonance based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Sanden, van de M.C.M.; Creatore, M.; Kondruweit, S.; Szyszka, B.; Pütz, J.

    2010-01-01

    Nanocomposite thin films with metallic nanoparticles embedded in a dielectric material show attractive plasmonic properties due to dielectric and quantum confinement effects. In this work. the expanding thermal plasma chemical vapor deposition in combination with radjo frequency magnetron sputtering

  4. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  5. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  6. Fundamental limits on gas-phase chemical reduction of NOx in a plasma

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsiao, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States)

    1997-12-31

    In the plasma, the electrons do not react directly with the NOx molecules. The electrons collide mainly with the background gas molecules like N{sub 2}, O{sub 2} and H{sub 2}O. Electron impact on these molecules result partly in dissociation reactions that produce reactive species like N, O and OH. The NOx in the engine exhaust gas initially consist mostly of NO. The ground state nitrogen atom, N, is the only species that could lead to the chemical reduction of NO to N{sub 2}. The O radical oxidizes NO to NO{sub 2} leaving the same amount of NOx. The OH radical converts NO{sub 2} to nitric acid. Acid products in the plasma can easily get adsorbed on surfaces in the plasma reactor and in the pipes. When undetected, the absence of these oxidation products can often be mistaken for chemical reduction of NOx. In this paper the authors will examine the gas-phase chemical reduction of NOx. They will show that under the best conditions, the plasma can chemically reduce 1.6 grams of NOx per brake-horsepower-hour [g(NOx)/bhp-hr] when 5% of the engine output energy is delivered to the plasma.

  7. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  8. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  9. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  10. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  11. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  12. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  13. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  14. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  15. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  16. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  17. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  18. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  19. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  20. The current of a particle along a microtubule in microscopic plasma

    International Nuclear Information System (INIS)

    Li Wei; Chen Junfang; Wang Teng; Lai Xiuqiong

    2008-01-01

    Transport of a particle along the axis of a microtubule in a plasma-enhanced chemical vapor deposition (PECVD) system is investigated. The current, respectively, as a function of the temperature, the magnetic field and the external force is obtained. The value and direction of the current may be controlled by changing the above parameters

  1. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  3. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  4. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    International Nuclear Information System (INIS)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-01-01

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH_2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P_R_F), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P_R_F. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P_R_F excepted for the SH-PPF. These results have been cross

  5. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Energy Technology Data Exchange (ETDEWEB)

    Cossement, Damien, E-mail: damien.cossement@materianova.be [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Renaux, Fabian [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Thiry, Damien; Ligot, Sylvie [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium); Francq, Rémy; Snyders, Rony [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium)

    2015-11-15

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH{sub 2}-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P{sub RF}), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P{sub RF}. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P{sub RF} excepted for the SH-PPF. These results have

  6. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  7. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  8. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  9. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  10. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  11. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  12. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  13. The Synergistic Effect between Electrical and Chemical Factors in Plasma Gene/Molecule-Transfection

    Science.gov (United States)

    Jinno, Masafumi

    2016-09-01

    This study has been done to know what kind of factors in plasma and processes on cells promote plasma gene/molecule transfection. We have discovered a new plasma source using a microcapillary electrode which enables high transfection efficiency and high cell survivability simultaneously. However, the mechanism of the transfection by plasma was not clear. To clarify the transfection mechanisms by micro plasma, we focused on the effects of electrical (current, charge, field, etc.) and chemical (radicals, RONS, etc.) factors generated by the micro plasma and evaluated the contribution weight of three groups of the effects and processes, i.e. electrical, chemical and biochemical ones. At first, the necessity of the electrical factors was estimated by the laser produced plasma (LPP). Mouse L-929 fibroblast cell was cultured on a 96-well plate or 12-well micro slide chamber. Plasmids pCX-EGFP in Tris-EDTA buffer was dropped on the cells and they were exposed to the capillary discharge plasma (CDP) or the LPP. In the case of the CDP, the plasma was generated between the tip of the capillary electrode and the cells so that both electrical and chemical factors were supplied to the cells. In this setup, about 20% of average transfection efficiency was obtained. In the case of the LPP, the plasma was generated apart from the cells so that electrical factors were not supplied to the cells. In this setup, no transfection was observed. These results show that the electrical factors are necessary for the plasma gene transfection. Next, the necessity of the chemical factors was estimated the effect of catalase to remove H2O2 in CDP. The transfection efficiency decreased to 0.4 by scavenging H2O2 with catalase. However, only the solution of H2O2 caused no gene transfection in cells. These results shows that H2O2 is important species to cause gene/molecule transfection but still needs a synergistic effect with electrical or other chemical factors. This work was partly supported by

  14. Mercury determination in non- and biodegradable materials by cold vapor capacitively coupled plasma microtorch atomic emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Frentiu, Tiberiu, E-mail: ftibi@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Mihaltan, Alin I., E-mail: alinblaj2005@yahoo.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania); Ponta, Michaela, E-mail: mponta@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Darvasi, Eugen, E-mail: edarvasi@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Frentiu, Maria, E-mail: frentiu.maria@yahoo.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania); Cordos, Emil, E-mail: emilcordos@gmail.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania)

    2011-10-15

    Highlights: {yields} Use of a miniaturized analytical system with microtorch plasma for Hg determination. {yields} Determination of Hg in non- and biodegradable materials using cold vapor generation. {yields} Figures of merit and advantages of the miniaturized system for Hg determination. - Abstract: A new analytical system consisting of a low power capacitively coupled plasma microtorch (20 W, 13.56 MHz, 150 ml min{sup -1} Ar) and a microspectrometer was investigated for the Hg determination in non- and biodegradable materials by cold-vapor generation, using SnCl{sub 2} reductant, and atomic emission spectrometry. The investigated miniaturized system was used for Hg determination in recyclable plastics from electronic equipments and biodegradable materials (shopping bags of 98% biodegradable polyethylene and corn starch) with the advantages of easy operation and low analysis costs. Samples were mineralized in HNO{sub 3}-H{sub 2}SO{sub 4} mixture in a high-pressure microwave system. The detection limits of 0.05 ng ml{sup -1} or 0.08 {mu}g g{sup -1} in solid sample were compared with those reported for other analytical systems. The method precision was 1.5-9.4% for Hg levels of 1.37-13.9 mg kg{sup -1}, while recovery in two polyethylene certified reference materials in the range 98.7 {+-} 4.5% (95% confidence level).

  15. Tissue ablation after 120W greenlight laser vaporization and bipolar plasma vaporization of the prostate: a comparison using transrectal three-dimensional ultrasound volumetry

    Science.gov (United States)

    Kranzbühler, Benedikt; Gross, Oliver; Fankhauser, Christian D.; Hefermehl, Lukas J.; Poyet, Cédric; Largo, Remo; Müntener, Michael; Seifert, Hans-Helge; Zimmermann, Matthias; Sulser, Tullio; Müller, Alexander; Hermanns, Thomas

    2012-02-01

    Introduction and objectives: Greenlight laser vaporization (LV) of the prostate is characterized by simultaneous vaporization and coagulation of prostatic tissue resulting in tissue ablation together with excellent hemostasis during the procedure. It has been reported that bipolar plasma vaporization (BPV) of the prostate might be an alternative for LV. So far, it has not been shown that BPV is as effective as LV in terms of tissue ablation or hemostasis. We performed transrectal three-dimensional ultrasound investigations to compare the efficiency of tissue ablation between LV and BPV. Methods: Between 11.2009 and 5.2011, 50 patients underwent pure BPV in our institution. These patients were matched with regard to the pre-operative prostate volume to 50 LV patients from our existing 3D-volumetry-database. Transrectal 3D ultrasound and planimetric volumetry of the prostate were performed pre-operatively, after catheter removal, 6 weeks and 6 months. Results: Median pre-operative prostate volume was not significantly different between the two groups (45.3ml vs. 45.4ml; p=1.0). After catheter removal, median absolute volume reduction (BPV 12.4ml, LV 6.55ml) as well as relative volume reduction (27.8% vs. 16.4%) were significantly higher in the BPV group (p<0.001). After six weeks (42.9% vs. 33.3%) and six months (47.2% vs. 39.7%), relative volume reduction remained significantly higher in the BPV group (p<0.001). Absolute volume reduction was non-significantly higher in the BPV group after six weeks (18.4ml, 13.8ml; p=0.051) and six months (20.8ml, 18ml; p=0.3). Clinical outcome parameters improved significantly in both groups without relevant differences between the groups. Conclusions: Both vaporization techniques result in efficient tissue ablation with initial prostatic swelling. BPV seems to be superior due to a higher relative volume reduction. This difference had no clinical impact after a follow-up of 6M.

  16. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  17. Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji; Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi; Momose, Hikaru

    2010-01-01

    Major challenges associated with 193 nm lithography using an ArF photoresist are low plasma resistance and roughness formation in the ArF photoresist during plasma processes. We have previously found decisive factors affecting the plasma resistance and roughness formation in an ArF photoresist: plasma resistance is determined by UV/VUV radiation, and roughness formation is dominated by chemical reactions. In this study, based on our findings on the interaction between plasma radiation species and ArF photoresist polymers, we proposed an ArF photoresist with a chemical reaction inhibitor, which can trap reactive species from the plasma, and characterized the performances of the resultant ArF photoresist through neutral beam experiments. Hindered amine light stabilizers, i.e. 4-hydroxy-2,2,6,6-tetramethyl-1-piperidinyloxy (HO-TEMPO), were used as the chemical reaction inhibitor. Etching rates of the ArF photoresist films were not dependent on the HO-TEMPO content in the irradiations without chemical reactions or under UV/VUV radiation. However, in the irradiation with chemical reactions, the etching rates of the ArF photoresist films decreased as the HO-TEMPO content increased. In addition, the surface roughness decreased with the increase in the additive amount of chemical reaction inhibitor. According to FTIR analysis, a chemical reaction inhibitor can inhibit the chemical reactions in ArF photoresist films through plasma radicals. These results indicate that a chemical reaction inhibitor is effective against chemical reactions, resulting in improved plasma resistance and less roughness in an ArF photoresist. These results also support our suggested mechanism of plasma resistance and roughness formation in an ArF photoresist.

  18. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  19. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  20. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  1. Chemical analysis of refractories by plasma spectrometry

    International Nuclear Information System (INIS)

    Coutinho, C.A.

    1990-01-01

    X-ray spectrometry has been, since the last two or three decades, the traditional procedure for the chemical analysis of refractories, due to its high degree of accuracy and speed to produce analytical results. An interesting alternative to X-ray fluorescence is provided by the Inductively Coupled Plasma Spectrometry technique, for those laboratories where wet chemistry facilities are already available or process control is not required at high speed, or investiment costs have to be low. This paper presents results obtained by plasma spectroscopy for the analysis of silico - aluminous refractories, showing calibration curves, precion and detection limits. Considerations and comparisons with X-ray fluorescence are also made. (author) [pt

  2. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  3. Nonradioactive Environmental Emissions Chemical Source Term for the Double-Shell Tank (DST) Vapor Space During Waste Retrieval Operations

    International Nuclear Information System (INIS)

    MAY, T.H.

    2000-01-01

    A nonradioactive chemical vapor space source term for tanks on the Phase 1 and the extended Phase 1 delivery, storage, and disposal mission was determined. Operations modeled included mixer pump operation and DST waste transfers. Concentrations of ammonia, specific volatile organic compounds, and quantitative volumes of aerosols were estimated

  4. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  5. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  6. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  7. Global Modeling of Uranium Molecular Species Formation Using Laser-Ablated Plasmas

    Science.gov (United States)

    Curreli, Davide; Finko, Mikhail; Azer, Magdi; Armstrong, Mike; Crowhurst, Jonathan; Radousky, Harry; Rose, Timothy; Stavrou, Elissaios; Weisz, David; Zaug, Joseph

    2016-10-01

    Uranium is chemically fractionated from other refractory elements in post-detonation nuclear debris but the mechanism is poorly understood. Fractionation alters the chemistry of the nuclear debris so that it no longer reflects the chemistry of the source weapon. The conditions of a condensing fireball can be simulated by a low-temperature plasma formed by vaporizing a uranium sample via laser heating. We have developed a global plasma kinetic model in order to model the chemical evolution of U/UOx species within an ablated plasma plume. The model allows to track the time evolution of the density and energy of an uranium plasma plume moving through an oxygen atmosphere of given fugacity, as well as other relevant quantities such as average electron and gas temperature. Comparison of model predictions with absorption spectroscopy of uranium-ablated plasmas provide preliminary insights on the key chemical species and evolution pathways involved during the fractionation process. This project was sponsored by the DoD, Defense Threat Reduction Agency, Grant HDTRA1-16-1-0020. This work was performed in part under the auspices of the U.S. DoE by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

  8. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    Science.gov (United States)

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  9. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  10. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  11. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  12. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  13. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  14. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  15. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  16. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  17. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    Science.gov (United States)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  18. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  19. Observation of Zn vacancies in ZnO grown by chemical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, F.; Saarinen, K. [Laboratory of Physics, Helsinki University of Technology, P.O. Box 1100, 02015 TKK (Finland); Grasza, K.; Mycielski, A. [Institute of Physics, Polish Academy of Sciences, Lotnikow 32/46, 02-668 Warsaw (Poland)

    2006-03-15

    We have used positron annihilation spectroscopy to study the vacancy defects in ZnO crystals grown by both the conventional and contactless chemical vapor transport (CVT and CCVT). Our results show that Zn vacancies or Zn vacancy related defects are present in as-grown ZnO, irrespective of the growth method. Zn vacancies are observed in CVT-grown undoped ZnO and (Zn,Mn)O. The Zn vacancies present in undoped CCVT-ZnO are the dominant negatively charged point defect in the material. Doping the material with As introduces also Zn vacancy-related defect complexes with larger open volume. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  1. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  2. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  3. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1999-01-01

    Damage to plasma-facing components (PFCs) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called high energy interaction with general heterogeneous target systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed. (orig.)

  4. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.

    1998-01-01

    Damage to plasma-facing components (PFCS) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor-cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed

  5. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  6. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  7. Mixed plasma-facing materials research at INEEL

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Pawelko, R.J.

    2001-01-01

    Mixed-materials research at the Idaho National Engineering and Environmental Laboratory (INEEL) has focused on Be-C and W-C systems. The purpose of this work was to investigate hydrogen isotope retention in these systems. Plasma-mixed material layers using carbon coated Be and W specimens that were heat-treated and tungsten carbide specimens prepared by chemical vapor deposition (CVD) were simulated. Hydrogen isotope retention was investigated by means of thermal desorption spectroscopy (TDS) measurements on deuterium implanted samples

  8. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  9. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  10. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  11. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  12. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  13. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  14. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  15. Typical parameters of the plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Gundermann, S.; Jacobs, H.; Miethke, F.; Rutsher, A.; Wagner, H.E.

    1996-01-01

    The substance of physical similarity principles is contained in parameters which govern the comparison of different realizations of a model device. Because similarity parameters for non-isothermal plasma chemical reactors are unknown to a great extent, an analysis of relevant equations is given together with some experimental results. Modelling of the reactor and experimental results for the ozone synthesis are presented

  16. Note: A single-chamber tool for plasma activation and surface functionalization in microfabrication

    Energy Technology Data Exchange (ETDEWEB)

    Bowman, Adam J.; Scherrer, Joseph R.; Reiserer, Ronald S., E-mail: ron.reiserer@vanderbilt.edu [Vanderbilt Institute for Integrative Biosystems Research and Education and Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States)

    2015-06-15

    We present a simple apparatus for improved surface modification of polydimethylsiloxane (PDMS) microfluidic devices. A single treatment chamber for plasma activation and chemical/physical vapor deposition steps minimizes the time-dependent degradation of surface activation that is inherent in multi-chamber techniques. Contamination and deposition irregularities are also minimized by conducting plasma activation and treatment phases in the same vacuum environment. An inductively coupled plasma driver allows for interchangeable treatment chambers. Atomic force microscopy confirms that silane deposition on PDMS gives much better surface quality than standard deposition methods, which yield a higher local roughness and pronounced irregularities in the surface.

  17. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    Science.gov (United States)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  18. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  19. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  20. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.