WorldWideScience

Sample records for plasma assisted chemical

  1. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  2. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  3. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  4. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  5. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  6. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  7. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  8. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  9. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  10. Plasma-assisted partial oxidation of methane at low temperatures: numerical analysis of gas-phase chemical mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Goujard, Valentin; Nozaki, Tomohiro; Yuzawa, Shuhei; Okazaki, Ken [Department of Mechanical and Control Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro, 1528552, Tokyo (Japan); Agiral, Anil, E-mail: tnozaki@mech.titech.ac.jp [Mesoscale Chemical Systems, MESA Institute for Nanotechnology, Faculty of Science and Technology, University of Twente, PO Box 217, 7500 AE, Enschede (Netherlands)

    2011-07-13

    Methane partial oxidation was investigated using a plasma microreactor. The experiments were performed at 5 and 300 deg. C. Microreactor configuration allows an efficient evacuation of the heat generated by methane partial oxidation and dielectric barrier discharges, allowing at the same time a better temperature control. At 5 deg. C, liquid condensation of low vapour pressure compounds, such as formaldehyde and methanol, occurs. {sup 1}H-NMR analysis allowed us to demonstrate significant CH{sub 3}OOH formation during plasma-assisted partial oxidation of methane. Conversion and product selectivity were discussed for both temperatures. In the second part of this work, a numerical simulation was performed and a gas-phase chemical mechanism was proposed and discussed. From the comparison between the experimental results and the simulation it was found that CH{sub 3}OO{center_dot} formation has a determinant role in oxygenated compound production, since its fast formation disfavoured radical recombination. At 5 deg. C the oxidation leads mainly towards oxygenated compound formation, and plasma dissociation was the major phenomenon responsible for CH{sub 4} conversion. At 300 deg. C, higher CH{sub 4} conversion resulted from oxidative reactions induced by {center_dot}OH radicals with a chemistry predominantly oxidative, producing CO, H{sub 2}, CO{sub 2} and H{sub 2}O.

  11. Modeling of Plasma Assisted Combustion

    Science.gov (United States)

    Akashi, Haruaki

    2012-10-01

    Recently, many experimental study of plasma-assisted combustion has been done. However, numerous complex reactions in combustion of hydrocarbons are preventing from theoritical study for clarifying inside the plasma-assisted combustion, and the effect of plasma-assist is still not understood. Shinohara and Sasaki [1,2] have reported that the shortening of flame length by irradiating microwave without increase of gas temperature. And they also reported that the same phenomena would occur when applying dielectric barrier discharges to the flame using simple hydrocarbon, methane. It is suggested that these phenomena may result by the electron heating. To clarify this phenomena, electron behavior under microwave and DBD was examined. For the first step of DBD plasma-assisted combustion simulation, electron Monte Carlo simulation in methane, oxygen and argon mixture gas(0.05:0.14:0.81) [2] has been done. Electron swarm parameters are sampled and electron energy distribution function (EEDF)s are also determined. In the combustion, gas temperature is higher(>1700K), so reduced electric field E/N becomes relatively high(>10V/cm/Torr). The electrons are accelerated to around 14 eV. This result agree with the optical emission from argon obtained by the experiment of reference [2]. Dissociation frequency of methane and oxygens are obtained in high. This might be one of the effect of plasma-assist. And it is suggested that the electrons should be high enough to dissociate methane, but plasma is not needed.[4pt] [1] K. Shinohara et al, J. Phys. D:Appl. Phys., 42, 182008 (1-7) (2009).[0pt] [2] K. Sasaki, 64th Annual Gaseous Electronic Conference, 56, 15 CT3.00001(2011).

  12. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  13. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  14. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  15. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  16. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  17. Atmospheric pressure plasma assisted calcination by the preparation of TiO2 fibers in submicron scale

    Science.gov (United States)

    Medvecká, Veronika; Kováčik, Dušan; Zahoranová, Anna; Černák, Mirko

    2018-01-01

    Atmospheric pressure plasma assisted calcination by the preparation of TiO2 submicron fibers as a low-temperature alternative to the conventional thermal annealing was studied. A special type of dielectric barrier discharge was used for plasma treatment of hybrid titanium butoxide/polyvinylpyrrolidone (Ti(Bu)/PVP) fibers prepared by forcespinning to decompose and oxidize the base polymer and precursor. The obtained fibers were characterized by changes in chemical bonds on the surface using Fourier Transform Infrared Spectroscopy (FTIR), chemical composition by using Energy-Dispersive X-Ray Spectroscopy (EDX), X-ray Photoelectron Spectroscopy (XPS). The morphology of fibers was investigated by Scanning Electron Microscopy (SEM). A significant decrease of organic components was reached by short plasma exposure times less than 1 h. The obtained fibers exhibit a high surface porosity without degradation of the fibrous structure. The results obtained indicate that atmospheric pressure plasma assisted calcination can be a viable low-temperature, energy- and time-saving alternative or pre-treatment method for the conventional high-temperature thermal calcination.

  18. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  19. Investigating the Plasma-Assisted and Thermal Catalytic Dry Methane Reforming for Syngas Production: Process Design, Simulation and Evaluation

    Directory of Open Access Journals (Sweden)

    Evangelos Delikonstantis

    2017-09-01

    Full Text Available The growing surplus of green electricity generated by renewable energy technologies has fueled research towards chemical industry electrification. By adapting power-to-chemical concepts, such as plasma-assisted processes, cheap resources could be converted into fuels and base chemicals. However, the feasibility of those electrified processes at large scale has not been investigated yet. Thus, the current work strives to compare, for first time in the literature, plasma-assisted production of syngas, from CH4 and CO2 (dry methane reforming, with thermal catalytic dry methane reforming. Specifically, both processes are conceptually designed to deliver syngas suitable for methanol synthesis (H2/CO ≥ 2 in mole. The processes are simulated in the Aspen Plus process simulator where different process steps are investigated. Heat integration and equipment cost estimation are performed for the most promising process flow diagrams. Collectively, plasma-assisted dry methane reforming integrated with combined steam/CO2 methane reforming is an effective way to deliver syngas for methanol production. It is more sustainable than combined thermal catalytic dry methane reforming with steam methane reforming, which has also been proposed for syngas production of H2/CO ≥ 2; in the former process, 40% more CO2 is captured, while 38% less H2O is consumed per mol of syngas. Furthermore, the plasma-assisted process is less complex than the thermal catalytic one; it requires higher amount of utilities, but comparable capital investment.

  20. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  1. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  2. Plasma ``anti-assistance'' and ``self-assistance'' to high power impulse magnetron sputtering

    Science.gov (United States)

    Anders, André; Yushkov, Georgy Yu.

    2009-04-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering.

  3. Plasma 'anti-assistance' and 'self-assistance' to high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Yushkov, Georgy Yu.

    2009-01-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering

  4. TOPICAL REVIEW: Plasma assisted ignition and combustion

    Science.gov (United States)

    Starikovskaia, S. M.

    2006-08-01

    In recent decades particular interest in applications of nonequilibrium plasma for the problems of plasma-assisted ignition and plasma-assisted combustion has been observed. A great amount of experimental data has been accumulated during this period which provided the grounds for using low temperature plasma of nonequilibrium gas discharges for a number of applications at conditions of high speed flows and also at conditions similar to automotive engines. The paper is aimed at reviewing the data obtained and discusses their treatment. Basic possibilities of low temperature plasma to ignite gas mixtures are evaluated and historical references highlighting pioneering works in the area are presented. The first part of the review discusses plasmas applied to plasma-assisted ignition and combustion. The paper pays special attention to experimental and theoretical analysis of some plasma parameters, such as reduced electric field, electron density and energy branching for different gas discharges. Streamers, pulsed nanosecond discharges, dielectric barrier discharges, radio frequency discharges and atmospheric pressure glow discharges are considered. The second part depicts applications of discharges to reduce the ignition delay time of combustible mixtures, to ignite transonic and supersonic flows, to intensify ignition and to sustain combustion of lean mixtures. The results obtained by different authors are cited, and ways of numerical modelling are discussed. Finally, the paper draws some conclusions on the main achievements and prospects of future investigations in the field.

  5. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  6. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  7. Plasma catalysis for nitrogen fixation reactions

    NARCIS (Netherlands)

    Patil, B.S.; Wang, Q.; Hessel, V.; Lang, J.; Stankiewicz, A.; Stefanidis, G.

    2016-01-01

    The preferences for localized chemicals production and changing scenarios of renewable electricity cost gives a renewed boost to plasma-assisted valuable chemicals production. Especially, plasma-assisted nitrogen fixation for fertilizer production has the potential to largely change the energy

  8. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  9. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  10. Investigation of flame structure in plasma-assisted turbulent premixed methane-air flame

    Science.gov (United States)

    Hualei, ZHANG; Liming, HE; Jinlu, YU; Wentao, QI; Gaocheng, CHEN

    2018-02-01

    The mechanism of plasma-assisted combustion at increasing discharge voltage is investigated in detail at two distinctive system schemes (pretreatment of reactants and direct in situ discharge). OH-planar laser-induced fluorescence (PLIF) technique is used to diagnose the turbulent structure methane-air flame, and the experimental apparatus consists of dump burner, plasma-generating system, gas supply system and OH-PLIF system. Results have shown that the effect of pretreatment of reactants on flame can be categorized into three regimes: regime I for voltage lower than 6.6 kV; regime II for voltage between 6.6 and 11.1 kV; and regime III for voltage between 11.1 and 12.5 kV. In regime I, aerodynamic effect and slower oxidation of higher hydrocarbons generated around the inner electrode tip plays a dominate role, while in regime III, the temperature rising effect will probably superimpose on the chemical effect and amplify it. For wire-cylinder dielectric barrier discharge reactor with spatially uneven electric field, the amount of radicals and hydrocarbons are decreased monotonically in radial direction which affects the flame shape. With regard to in situ plasma discharge in flames, the discharge pattern changes from streamer type to glow type. Compared with the case of reactants pretreatment, the flame propagates further in the upstream direction. In the discharge region, the OH intensity is highest for in situ plasma assisted combustion, indicating that the plasma energy is coupled into flame reaction zone.

  11. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. Emission characteristics of kerosene-air spray combustion with plasma assistance

    Directory of Open Access Journals (Sweden)

    Xingjian Liu

    2015-09-01

    Full Text Available A plasma assisted combustion system for combustion of kerosene-air mixtures was developed to study emission levels of O2, CO2, CO, and NOx. The emission measurement was conducted by Testo 350-Pro Flue Gas Analyzer. The effect of duty ratio, feedstock gas flow rate and applied voltage on emission performance has been analyzed. The results show that O2 and CO emissions reduce with an increase of applied voltage, while CO2 and NOx emissions increase. Besides, when duty ratio or feedstock gas flow rate decreases, the same emission results would appear. The emission spectrum of the air plasma of plasma assisted combustion actuator was also registered to analyze the kinetic enhancement effect of plasma, and the generation of ozone was believed to be the main factor that plasma makes a difference in our experiment. These results are valuable for the future optimization of kerosene-fueled aircraft engine when using plasma assisted combustion devices to exert emission control.

  14. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  15. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  16. Plasma-assisted heterogeneous catalysis for NOx reduction in lean-burn engine exhaust

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsaio, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States); Wan, C.Z.; Rice, G.W.; Voss, K.E. [Engelhard Corp., Iselin, NJ (United States)

    1997-12-31

    This paper discusses the combination of a plasma with a catalyst to improve the reduction of NO{sub x} under lean-burn conditions. The authors have been investigating the effects of a plasma on the NO{sub x} reduction activity and temperature operating window of various catalytic materials. One of the goals is to develop a fundamental understanding of the interaction between the gas-phase plasma chemistry and the heterogeneous chemistry on the catalyst surface. The authors have observed that plasma assisted heterogeneous catalysis can facilitate NO{sub x} reduction under conditions that normally make it difficult for either the plasma or the catalyst to function by itself. By systematically varying the plasma electrode and catalyst configuration, they have been able to elucidate the process by which the plasma chemistry affects the chemical reduction of NO{sub x} on the catalyst surface. They have discovered that the main effect of the plasma is to induce the gas-phase oxidation of NO to NO{sub 21}. The reduction of NO{sub x} to N{sub 2} is then accomplished by heterogeneous reaction of O with activated hydrocarbons on the catalyst surface. The use of a plasma opens the opportunity for a new class of catalysts that are potentially more durable, more active, more selective and more sulfur-tolerant compared to conventional lean-NO{sub x} catalysts.

  17. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    Science.gov (United States)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  18. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  19. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  20. DBD plasma assisted combustion for 1D flat flame

    NARCIS (Netherlands)

    Elkholy, A.H.E.

    2015-01-01

    The potential use of non-equilibrium plasma for ignition and combustion control has garnered increasing interest due to the possibility of plasma-assisted approaches for ignition and flame stabilization. During the past decade, significant progress has been made toward understanding the mechanisms

  1. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  2. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  3. Plasma assisted combustion of parafin mixture

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Chernyak, V.Ya.; Martysh, E.V.; Lisitchenko, T.E.; Vergun, O.Yu.; Orlovska, S.G.

    2013-01-01

    In this work the results of solid paraffin combustion with the aid of the plasma of transverse and rotational gliding arc studies are represented. The question of the additional activation of paraffin based solid fuels is examined. The mixture of n-paraffin and stearin in the solid state as the model of the solid paraffin based fuel is used. The plasma assisted combustion of this model is experimentally investigated. The voltage-current characteristics of discharge at the different regimes are measured. The population temperatures of excited rotational levels are determined. The flame temperature during the combustion of solid paraffin containing mixture is calculated

  4. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  5. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  6. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  7. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  8. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  9. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  10. Evaluation of mechanism of cold atmospheric pressure plasma assisted polymerization of acrylic acid on low density polyethylene (LDPE) film surfaces: Influence of various gaseous plasma pretreatment

    Science.gov (United States)

    Ramkumar, M. C.; Pandiyaraj, K. Navaneetha; Arun Kumar, A.; Padmanabhan, P. V. A.; Uday Kumar, S.; Gopinath, P.; Bendavid, A.; Cools, P.; De Geyter, N.; Morent, R.; Deshmukh, R. R.

    2018-05-01

    Owing to its exceptional physiochemical properties, low density poly ethylene (LDPE) has wide range of tissue engineering applications. Conversely, its inadequate surface properties make LDPE an ineffectual candidate for cell compatible applications. Consequently, plasma-assisted polymerization with a selected precursor is a good choice for enhancing its biocompatibility. The present investigation studies the efficiency of plasma polymerization of acrylic acid (AAC) on various gaseous plasma pretreated LDPE films by cold atmospheric pressure plasma, to enhance its cytocompatibility. The change in chemical composition and surface topography of various gaseous plasma pretreated and acrylic deposited LDPE films has been assessed by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The changes in hydrophilic nature of surface modified LDPE films were studied by contact angle (CA) analysis. Cytocompatibility of the AAC/LDPE films was also studied in vitro, using RIN-5F cells. The results acquired by the XPS and AFM analysis clearly proved that cold atmospheric pressure (CAP) plasma assisted polymerization of AAC enhances various surface properties including carboxylic acid functional group density and increased surface roughness on various gaseous plasma treated AAC/LDPE film surfaces. Moreover, contact angle analysis clearly showed that the plasma polymerized samples were hydrophilic in nature. In vitro cytocompatibility analysis undoubtedly validates that the AAC polymerized various plasma pretreated LDPE films surfaces stimulate cell distribution and proliferation compared to pristine LDPE films. Similarly, cytotoxicity analysis indicates that the AAC deposited various gaseous plasma pretreated LDPE film can be considered as non-toxic as well as stimulating cell viability significantly. The cytocompatible properties of AAC polymerized Ar + O2 plasma pretreated LDPE films were found to be more pronounced compared to the other plasma pretreated

  11. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  12. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  13. Improved ERO modelling for spectroscopy of physically and chemically assisted eroded beryllium from the JET-ILW

    Directory of Open Access Journals (Sweden)

    D. Borodin

    2016-12-01

    Full Text Available Physical and chemical assisted physical sputtering were characterised by the BeI and BeII line and BeD band emission in the observation chord measuring the sightline integrated emission in front of the inner beryllium limiter at the torus midplane. The 3D local transport and plasma-surface interaction Monte-Carlo modelling (ERO code [18] is a key for the interpretation of the observations in the vicinity of the shaped solid Be limiter. The plasma parameter variation (density scan in limiter regime has provided a useful material for the simulation benchmark. The improved background plasma parameters input, the new analytical expression for particle tracking in the sheath region and implementation of the BeD release into ERO has helped to clarify some deviations between modelling and experiments encountered in the previous studies [4,5]. Reproducing the observations provides additional confidence in our ‘ERO-min’ fit for the physical sputtering yields for the plasma-wetted areas based on simulated data.

  14. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Strangeness Production in a Chemically Equilibrating Quark-Gluon Plasma

    Institute of Scientific and Technical Information of China (English)

    HE Ze-Jun; LONG Jia-Li; MA Yu-Gang; MA Guo-Liang

    2004-01-01

    @@ We study the strangeness of a chemically equilibrating quark-gluon plasma at finite baryon density based on the and will accelerate with the change of the initial system from a chemically non-equilibrated to an equilibrated system. We also find that the calculated strangeness is very different from the one in the thermodynamic equilibrium system. This study may be helpful to understand the formation of quark-gluon plasma via a chemically non-equilibrated evolution framework.

  16. Examining the Potential of Plasma-Assisted Pretreated Wheat Straw for Enzyme Production by Trichoderma reesei

    DEFF Research Database (Denmark)

    Rodríguez Gómez, Divanery; Lehmann, Linda Olkjær; Schultz-Jensen, Nadja

    2012-01-01

    Plasma-assisted pretreated wheat straw was investigated for cellulase and xylanase production by Trichoderma reesei fermentation. Fermentations were conducted with media containing washed and unwashed plasma-assisted pretreated wheat straw as carbon source which was sterilized by autoclavation....... To account for any effects of autoclavation, a comparison was made with unsterilized media containing antibiotics. It was found that unsterilized washed plasma-assisted pretreated wheat straw (which contained antibiotics) was best suited for the production of xylanases (110 IU ml(-1)) and cellulases (0...... other nonrefined feedstocks suggests that plasma pretreated wheat straw is a promising and suitable substrate for cellulase and hemicellulase production....

  17. Plasma-assisted catalytic ionization using porous nickel plate

    International Nuclear Information System (INIS)

    Oohara, W.; Maeda, T.; Higuchi, T.

    2011-01-01

    Hydrogen atomic pair ions, i.e., H + and H - ions, are produced by plasma-assisted catalytic ionization using a porous nickel plate. Positive ions in a hydrogen plasma generated by dc arc discharge are irradiated to the porous plate, and pair ions are produced from the back of the irradiation plane. It becomes clear that the production quantity of pair ions mainly depends on the irradiation current of positive ions and the irradiation energy affects the production efficiency of H - ions.

  18. Large-aperture plasma-assisted deposition of inertial confinement fusion laser coatings.

    Science.gov (United States)

    Oliver, James B; Kupinski, Pete; Rigatti, Amy L; Schmid, Ansgar W; Lambropoulos, John C; Papernov, Semyon; Kozlov, Alexei; Spaulding, John; Sadowski, Daniel; Chrzan, Z Roman; Hand, Robert D; Gibson, Desmond R; Brinkley, Ian; Placido, Frank

    2011-03-20

    Plasma-assisted electron-beam evaporation leads to changes in the crystallinity, density, and stresses of thin films. A dual-source plasma system provides stress control of large-aperture, high-fluence coatings used in vacuum for substrates 1m in aperture.

  19. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  20. Kinetic Study of Nonequilibrium Plasma-Assisted Methane Steam Reforming

    Directory of Open Access Journals (Sweden)

    Hongtao Zheng

    2014-01-01

    Full Text Available To develop a detailed reaction mechanism for plasma-assisted methane steam reforming, a comprehensive numerical and experimental study of effect laws on methane conversion and products yield is performed at different steam to methane molar ratio (S/C, residence time s, and reaction temperatures. A CHEMKIN-PRO software with sensitivity analysis module and path flux analysis module was used for simulations. A set of comparisons show that the developed reaction mechanism can accurately predict methane conversion and the trend of products yield in different operating conditions. Using the developed reaction mechanism in plasma-assisted kinetic model, the reaction path flux analysis was carried out. The result shows that CH3 recombination is the limiting reaction for CO production and O is the critical species for CO production. Adding 40 wt.% Ni/SiO2 in discharge region has significantly promoted the yield of H2, CO, or CO2 in dielectric packed bed (DPB reactor. Plasma catalytic hybrid reforming experiment verifies the reaction path flux analysis tentatively.

  1. A Plasma-Assisted Route to the Rapid Preparation of Transition-Metal Phosphides for Energy Conversion and Storage

    KAUST Repository

    Liang, Hanfeng

    2017-06-06

    Transition-metal phosphides (TMPs) are important materials that have been widely used in catalysis, supercapacitors, batteries, sensors, light-emitting diodes, and magnets. The physical and chemical structure of a metal phosphide varies with the method of preparation as the electronic, catalytic, and magnetic properties of the metal phosphides strongly depend on their synthesis routes. Commonly practiced processes such as solid-state synthesis and ball milling have proven to be reliable routes to prepare TMPs but they generally require high temperature and long reaction time. Here, a recently developed plasma-assisted conversion route for the preparation of TMPs is reviewed, along with their applications in energy conversion and storage, including water oxidation electrocatalysis, sodium-ion batteries, and supercapacitors. The plasma-assisted synthetic route should open up a new avenue to prepare TMPs with tailored structure and morphology for various applications. In fact, the process may be further extended to the synthesis of a wide range of transition-metal compounds such as borides and fluorides at low temperature and in a rapid manner.

  2. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  3. Plasma-assisted ignition and combustion: nanosecond discharges and development of kinetic mechanisms

    Science.gov (United States)

    Starikovskaia, S. M.

    2014-09-01

    This review covers the results obtained in the period 2006-2014 in the field of plasma-assisted combustion, and in particular the results on ignition and combustion triggered or sustained by pulsed nanosecond discharges in different geometries. Some benefits of pulsed high voltage discharges for kinetic study and for applications are demonstrated. The necessity of and the possibility of building a particular kinetic mechanism of plasma-assisted ignition and combustion are discussed. The most sensitive regions of parameters for plasma-combustion kinetic mechanisms are selected. A map of the pressure and temperature parameters (P-T diagram) is suggested, to unify the available data on ignition delay times, ignition lengths and densities of intermediate species reported by different authors.

  4. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    Science.gov (United States)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  5. Commercialization of Plasma-Assisted Technologies: The Indian Experience

    Science.gov (United States)

    John, P. I.

    The paper describes an initiative by the Institute for Plasma Research (IPR), India in establishing links with the Indian industry for developing and commercialising advanced plasma-based industrial technologies. This has culminated in the creation of a self-financing technology development, incubation, demonstration and delivery facility. A business plan for converting the knowledge base to commercially viable technologies conceived technology as a product and the industry as the market and addressed issues like resistance to new technologies, the key role of entrepreneur, thrust areas and the necessity of technology incubation and delivery. Success of this strategy is discussed in a few case studies. We conclude by identifying the cost, environmental, strategic and techno-economic aspects, which would be the prime drivers for plasma-assisted manufacturing technology in India.

  6. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  7. Chemically assisted laser ablation ICP mass spectrometry.

    Science.gov (United States)

    Hirata, Takafumi

    2003-01-15

    A new laser ablation technique combined with a chemical evaporation reaction has been developed for elemental ratio analysis of solid samples using an inductively coupled plasma mass spectrometer (ICPMS). Using a chemically assisted laser ablation (CIA) technique developed in this study, analytical repeatability of the elemental ratio measurement was successively improved. To evaluate the reliability of the CLA-ICPMS technique, Pb/U isotopic ratios were determined for zircon samples that have previously been analyzed by other techniques. Conventional laser ablation for Pb/U shows a serious elemental fractionation during ablation mainly due to the large difference in elemental volatility between Pb and U. In the case of Pb/U ratio measurement, a Freon R-134a gas (1,1,1,2-tetrafluoroethane) was introduced into the laser cell as a fluorination reactant. The Freon gas introduced into the laser cell reacts with the ablated sample U, and refractory U compounds are converted to a volatile U fluoride compound (UF6) under the high-temperature condition at the ablation site. This avoids the redeposition of U around the ablation pits. Although not all the U is reacted with Freon, formation of volatile UF compounds improves the transmission efficiency of U. Typical precision of the 206Pb/238U ratio measurement is 3-5% (2sigma) for NIST SRM 610 and Nancy 91500 zircon standard, and the U-Pb age data obtained here show good agreement within analytical uncertainties with the previously reported values. Since the observed Pb/U ratio for solid samples is relatively insensitive to laser power and ablation time, optimization of ablation conditions or acquisition parameters no longer needs to be performed on a sample-to-sample basis.

  8. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    Science.gov (United States)

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  9. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  10. Towards large-scale plasma-assisted synthesis of nanowires

    Science.gov (United States)

    Cvelbar, U.

    2011-05-01

    Large quantities of nanomaterials, e.g. nanowires (NWs), are needed to overcome the high market price of nanomaterials and make nanotechnology widely available for general public use and applications to numerous devices. Therefore, there is an enormous need for new methods or routes for synthesis of those nanostructures. Here plasma technologies for synthesis of NWs, nanotubes, nanoparticles or other nanostructures might play a key role in the near future. This paper presents a three-dimensional problem of large-scale synthesis connected with the time, quantity and quality of nanostructures. Herein, four different plasma methods for NW synthesis are presented in contrast to other methods, e.g. thermal processes, chemical vapour deposition or wet chemical processes. The pros and cons are discussed in detail for the case of two metal oxides: iron oxide and zinc oxide NWs, which are important for many applications.

  11. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  12. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  14. Characteristics of the Arcing Plasma Formation Effect in Spark-Assisted Chemical Engraving of Glass, Based on Machine Vision

    OpenAIRE

    Chao-Ching Ho; Dung-Sheng Wu

    2018-01-01

    Spark-assisted chemical engraving (SACE) is a non-traditional machining technology that is used to machine electrically non-conducting materials including glass, ceramics, and quartz. The processing accuracy, machining efficiency, and reproducibility are the key factors in the SACE process. In the present study, a machine vision method is applied to monitor and estimate the status of a SACE-drilled hole in quartz glass. During the machining of quartz glass, the spring-fed tool electrode was p...

  15. Plasma assisted combustion : Interaction of a flat flame with a nanosecond dielectric barrier discharge plasma

    NARCIS (Netherlands)

    Elkholy, A.H.E.; van Oijen, J.A.; de Goey, L.P.H.

    2016-01-01

    Using of non-equilibrium Plasma-assisted for ignition, combustion and high speed flow applications are rapidly developing in the last decades due to its ability to produce a large amount of radicals and excited species. Which has a great potential in flame stabilization and emission control.

  16. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  17. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  18. Atmospheric pressure plasma-assisted femtosecond laser engraving of aluminium

    Science.gov (United States)

    Gerhard, Christoph; Gimpel, Thomas; Tasche, Daniel; Koch née Hoffmeister, Jennifer; Brückner, Stephan; Flachenecker, Günter; Wieneke, Stephan; Schade, Wolfgang; Viöl, Wolfgang

    2018-05-01

    In this contribution, we report on the impact of direct dielectric barrier discharge argon plasma at atmospheric pressure on femtosecond laser engraving of aluminium. It is shown that the assisting plasma strongly affects the surface geometry and formation of spikes of both laser-engraved single lines and patterns of adjacent lines with an appropriate overlap. Further, it was observed that the overall ablation depth is significantly increased in case of large-scale patterning whereas no notable differences in ablation depth are found for single lines. Several possible mechanisms and underlying effects of this behaviour are suggested. The increase in ablation depth is supposed to be due to a plasma-induced removal of debris particles from the cutting point via charging and oxidation as supported by EDX analysis of the re-solidified debris. Furthermore, the impact of a higher degree of surface wrinkling as well as direct interactions of plasma species with the aluminium surface on the ablation process are discussed.

  19. Plasma-nitriding assisted micro-texturing into stainless steel molds

    Directory of Open Access Journals (Sweden)

    Aizawa Tatsuhiko

    2015-01-01

    Full Text Available Micro-texturing has grown up to be one of the most promising procedures. This related application required for large-area, fine micro-texturing onto the stainless steel mold materials. A new method other than laser-machining, micro-milling or micro-EDM was awaited for further advancement of this micro-texturing. In the present paper, a plasma nitriding assisted micro-texturing was developed to make various kinds of micro-patterns onto the martensitic stainless steels. First, original patterns were printed onto the surface of substrate by using the ink-jet printer. Then, the masked substrate was subjected to high density plasma nitriding; the un-masked surfaces were nitrided to have higher hardness. This nitrided substrate was further treated by sand-blasting to selectively dig the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel substrate was fabricated as a mold to duplicate these micro-patterns onto the work materials. The spatial resolution and depth profile controllability of this plasma nitriding assisted micro-texturing was investigated for variety of initial micro-patterns. The original size and dimension of initial micro-patterns were precisely compared with the three dimensional geometry of micro-textures after blasting treatment. The plastic cover case for smart cellular phones was employed to demonstrate how useful this processing is in practice.

  20. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  1. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  2. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  3. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  4. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    Science.gov (United States)

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  5. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  6. Applying chemical engineering concepts to non-thermal plasma reactors

    Science.gov (United States)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  7. Measurement of heavy metals by means of a plasma-assisted method

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.; Oikari, R.

    1995-01-01

    The plasma-assisted measuring device for on-line measurement of alkali metal concentrations in pressurised processes, which has been developed in the Laboratory, will be further developed to provide for simultaneous measurement of heavy metal concentrations. (author)

  8. Kinetic mechanism of molecular energy transfer and chemical reactions in low-temperature air-fuel plasmas.

    Science.gov (United States)

    Adamovich, Igor V; Li, Ting; Lempert, Walter R

    2015-08-13

    This work describes the kinetic mechanism of coupled molecular energy transfer and chemical reactions in low-temperature air, H2-air and hydrocarbon-air plasmas sustained by nanosecond pulse discharges (single-pulse or repetitive pulse burst). The model incorporates electron impact processes, state-specific N(2) vibrational energy transfer, reactions of excited electronic species of N(2), O(2), N and O, and 'conventional' chemical reactions (Konnov mechanism). Effects of diffusion and conduction heat transfer, energy coupled to the cathode layer and gasdynamic compression/expansion are incorporated as quasi-zero-dimensional corrections. The model is exercised using a combination of freeware (Bolsig+) and commercial software (ChemKin-Pro). The model predictions are validated using time-resolved measurements of temperature and N(2) vibrational level populations in nanosecond pulse discharges in air in plane-to-plane and sphere-to-sphere geometry; temperature and OH number density after nanosecond pulse burst discharges in lean H(2)-air, CH(4)-air and C(2)H(4)-air mixtures; and temperature after the nanosecond pulse discharge burst during plasma-assisted ignition of lean H2-mixtures, showing good agreement with the data. The model predictions for OH number density in lean C(3)H(8)-air mixtures differ from the experimental results, over-predicting its absolute value and failing to predict transient OH rise and decay after the discharge burst. The agreement with the data for C(3)H(8)-air is improved considerably if a different conventional hydrocarbon chemistry reaction set (LLNL methane-n-butane flame mechanism) is used. The results of mechanism validation demonstrate its applicability for analysis of plasma chemical oxidation and ignition of low-temperature H(2)-air, CH(4)-air and C(2)H(4)-air mixtures using nanosecond pulse discharges. Kinetic modelling of low-temperature plasma excited propane-air mixtures demonstrates the need for development of a more accurate

  9. Plasma Assisted Ignition and Combustion at Low Initial Gas Temperatures: Development of Kinetic Mechanism

    Science.gov (United States)

    2016-10-05

    R and Pouvesle J M 2009 Experimental study of a compact nanosecond plasma gun Plasma Processes and Polymers 6 795—802 [11] Heinlin J, Morfill G...radially symmetrical geometry. The thickness of the plasma layer in the direction perpendicular to the dielectric plane is about 1 mm. The central coaxial ...Positive and negative polarity discharge at elevated pres- sures Discharge in coaxial geometry has been developed for plasma assisted ignition at high

  10. Ion-assisted functional monolayer coating of nanorod arrays in hydrogen plasmas

    International Nuclear Information System (INIS)

    Tam, E.; Levchenko, I.; Ostrikov, K.; Keidar, M.; Xu, S.

    2007-01-01

    Uniformity of postprocessing of large-area, dense nanostructure arrays is currently one of the greatest challenges in nanoscience and nanofabrication. One of the major issues is to achieve a high level of control in specie fluxes to specific surface areas of the nanostructures. As suggested by the numerical experiments in this work, this goal can be achieved by manipulating microscopic ion fluxes by varying the plasma sheath and nanorod array parameters. The dynamics of ion-assisted deposition of functional monolayer coatings onto two-dimensional carbon nanorod arrays in a hydrogen plasma is simulated by using a multiscale hybrid numerical simulation. The numerical results show evidence of a strong correlation between the aspect ratios and nanopattern positioning of the nanorods, plasma sheath width, and densities and distributions of microscopic ion fluxes. When the spacing between the nanorods and/or their aspect ratios are larger, and/or the plasma sheath is wider, the density of microscopic ion current flowing to each of the individual nanorods increases, thus reducing the time required to apply a functional monolayer coating down to 11 s for a 7-μm-wide sheath, and to 5 s for a 50-μm-wide sheath. The computed monolayer coating development time is consistent with previous experimental reports on plasma-assisted functionalization of related carbon nanostructures [B. N. Khare et al., Appl. Phys. Lett. 81, 5237 (2002)]. The results are generic in that they can be applied to a broader range of plasma-based processes and nanostructures, and contribute to the development of deterministic strategies of postprocessing and functionalization of various nanoarrays for nanoelectronic, biomedical, and other emerging applications

  11. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  12. Fundamental limits on gas-phase chemical reduction of NOx in a plasma

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsiao, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States)

    1997-12-31

    In the plasma, the electrons do not react directly with the NOx molecules. The electrons collide mainly with the background gas molecules like N{sub 2}, O{sub 2} and H{sub 2}O. Electron impact on these molecules result partly in dissociation reactions that produce reactive species like N, O and OH. The NOx in the engine exhaust gas initially consist mostly of NO. The ground state nitrogen atom, N, is the only species that could lead to the chemical reduction of NO to N{sub 2}. The O radical oxidizes NO to NO{sub 2} leaving the same amount of NOx. The OH radical converts NO{sub 2} to nitric acid. Acid products in the plasma can easily get adsorbed on surfaces in the plasma reactor and in the pipes. When undetected, the absence of these oxidation products can often be mistaken for chemical reduction of NOx. In this paper the authors will examine the gas-phase chemical reduction of NOx. They will show that under the best conditions, the plasma can chemically reduce 1.6 grams of NOx per brake-horsepower-hour [g(NOx)/bhp-hr] when 5% of the engine output energy is delivered to the plasma.

  13. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  14. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  15. Review on advanced of solar assisted chemical heat pump dryer for agriculture produce

    International Nuclear Information System (INIS)

    Fadhel, M.I.; Sopian, K.; Daud, W.R.W.; Alghoul, M.A.

    2011-01-01

    Over the past three decades there has been nearly exponential growth in drying R and D on a global scale. Improving of the drying operation to save energy, improve product quality as well as reduce environmental effect remained as the main objectives of any development of drying system. A solar assisted chemical heat pump dryer is a new solar drying system, which have contributed to better cost-effectiveness and better quality dried products as well as saving energy. A solar collector is adapted to provide thermal energy in a reactor so a chemical reaction can take place. This reduces the dependency of the drying technology on fossil energy for heating. In this paper a review on advanced of solar assisted chemical heat pump dryer is presented (the system model and the results from experimental studies on the system performance are discussed). The review of heat pump dryers and solar assisted heat pump dryer is presented. Description of chemical heat pump types and the overview of chemical heat pump dryer are discussed. The combination of chemical heat pump and solar technology gives extra efficiency in utilizing energy. (author)

  16. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  17. The Synergistic Effect between Electrical and Chemical Factors in Plasma Gene/Molecule-Transfection

    Science.gov (United States)

    Jinno, Masafumi

    2016-09-01

    This study has been done to know what kind of factors in plasma and processes on cells promote plasma gene/molecule transfection. We have discovered a new plasma source using a microcapillary electrode which enables high transfection efficiency and high cell survivability simultaneously. However, the mechanism of the transfection by plasma was not clear. To clarify the transfection mechanisms by micro plasma, we focused on the effects of electrical (current, charge, field, etc.) and chemical (radicals, RONS, etc.) factors generated by the micro plasma and evaluated the contribution weight of three groups of the effects and processes, i.e. electrical, chemical and biochemical ones. At first, the necessity of the electrical factors was estimated by the laser produced plasma (LPP). Mouse L-929 fibroblast cell was cultured on a 96-well plate or 12-well micro slide chamber. Plasmids pCX-EGFP in Tris-EDTA buffer was dropped on the cells and they were exposed to the capillary discharge plasma (CDP) or the LPP. In the case of the CDP, the plasma was generated between the tip of the capillary electrode and the cells so that both electrical and chemical factors were supplied to the cells. In this setup, about 20% of average transfection efficiency was obtained. In the case of the LPP, the plasma was generated apart from the cells so that electrical factors were not supplied to the cells. In this setup, no transfection was observed. These results show that the electrical factors are necessary for the plasma gene transfection. Next, the necessity of the chemical factors was estimated the effect of catalase to remove H2O2 in CDP. The transfection efficiency decreased to 0.4 by scavenging H2O2 with catalase. However, only the solution of H2O2 caused no gene transfection in cells. These results shows that H2O2 is important species to cause gene/molecule transfection but still needs a synergistic effect with electrical or other chemical factors. This work was partly supported by

  18. Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji; Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi; Momose, Hikaru

    2010-01-01

    Major challenges associated with 193 nm lithography using an ArF photoresist are low plasma resistance and roughness formation in the ArF photoresist during plasma processes. We have previously found decisive factors affecting the plasma resistance and roughness formation in an ArF photoresist: plasma resistance is determined by UV/VUV radiation, and roughness formation is dominated by chemical reactions. In this study, based on our findings on the interaction between plasma radiation species and ArF photoresist polymers, we proposed an ArF photoresist with a chemical reaction inhibitor, which can trap reactive species from the plasma, and characterized the performances of the resultant ArF photoresist through neutral beam experiments. Hindered amine light stabilizers, i.e. 4-hydroxy-2,2,6,6-tetramethyl-1-piperidinyloxy (HO-TEMPO), were used as the chemical reaction inhibitor. Etching rates of the ArF photoresist films were not dependent on the HO-TEMPO content in the irradiations without chemical reactions or under UV/VUV radiation. However, in the irradiation with chemical reactions, the etching rates of the ArF photoresist films decreased as the HO-TEMPO content increased. In addition, the surface roughness decreased with the increase in the additive amount of chemical reaction inhibitor. According to FTIR analysis, a chemical reaction inhibitor can inhibit the chemical reactions in ArF photoresist films through plasma radicals. These results indicate that a chemical reaction inhibitor is effective against chemical reactions, resulting in improved plasma resistance and less roughness in an ArF photoresist. These results also support our suggested mechanism of plasma resistance and roughness formation in an ArF photoresist.

  19. Chemical analysis of refractories by plasma spectrometry

    International Nuclear Information System (INIS)

    Coutinho, C.A.

    1990-01-01

    X-ray spectrometry has been, since the last two or three decades, the traditional procedure for the chemical analysis of refractories, due to its high degree of accuracy and speed to produce analytical results. An interesting alternative to X-ray fluorescence is provided by the Inductively Coupled Plasma Spectrometry technique, for those laboratories where wet chemistry facilities are already available or process control is not required at high speed, or investiment costs have to be low. This paper presents results obtained by plasma spectroscopy for the analysis of silico - aluminous refractories, showing calibration curves, precion and detection limits. Considerations and comparisons with X-ray fluorescence are also made. (author) [pt

  20. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  1. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  2. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    International Nuclear Information System (INIS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Ao, Jin-Ping; Zhu, Huichao

    2016-01-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl 4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10 −9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs. (paper)

  3. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  4. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  5. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  6. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  7. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  8. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  9. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  10. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  11. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  12. Typical parameters of the plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Gundermann, S.; Jacobs, H.; Miethke, F.; Rutsher, A.; Wagner, H.E.

    1996-01-01

    The substance of physical similarity principles is contained in parameters which govern the comparison of different realizations of a model device. Because similarity parameters for non-isothermal plasma chemical reactors are unknown to a great extent, an analysis of relevant equations is given together with some experimental results. Modelling of the reactor and experimental results for the ozone synthesis are presented

  13. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  14. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    Science.gov (United States)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  15. Plasma-Assisted Synthesis of NiCoP for Efficient Overall Water Splitting

    KAUST Repository

    Liang, Hanfeng; Gandi, Appala; Anjum, Dalaver H.; Wang, Xianbin; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2016-01-01

    be further enhanced by substitution with extrinsic metals, though very little work has been conducted in this area. Here we present for the first time a novel PH plasma-assisted approach to convert NiCo hydroxides into ternary NiCoP. The obtained Ni

  16. Start-up assist by magnetized plasma flow injection in TPE-RX reversed-field pinch

    Energy Technology Data Exchange (ETDEWEB)

    Asai, T. [College of Science and Technology, Nihon University, 1-8 Kanda-Surugadai, Chiyoda-ku, Tokyo 101-8308 (Japan)]. E-mail: asai@phys.cst.nihon-u.ac.jp; Nagata, M. [Graduate School of Engineering, University of Hyogo, Himeji (Japan); Koguchi, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Hirano, Y. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Sakakita, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Yambe, K. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Kiyama, S. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan)

    2006-11-15

    A reversed-field pinch (RFP) start-up assisted by a magnetized plasma flow injection was demonstrated for the first time on a TPE-RX machine. This sequence of experiments aimed to establish a new method of ionization, gas-fill and helicity injection in the start-up phase of an RFP. In this start-up method, magnetized and well-ionized plasma is formed by a magnetized coaxial plasma gun and injected into the torus chamber as an initial pre-ionized plasma for RFP formation. In the initial experiments, attenuated density pump-out and comparatively slow decay of the toroidal flux and plasma current were observed as evidence of its being an effective start-up method.

  17. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  18. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  19. Model of inductive plasma production assisted by radio-frequency wave in tokamaks

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Hanada, Kazuaki; Sato, Kohnosuke

    2007-01-01

    For initial plasma production, an induction electric field generated by applying voltage to a poloidal field (PF) coil system is used to produce a Townsend avalanche breakdown. When the avalanche margins are small, as for the International Thermonuclear Experimental Reactor (ITER) in which the induction electric field is about 0.3 V/m, the assistance of radio-frequency waves (RF) is provided to reduce the induction electric field required for reliable breakdown. However, the conditions of RF-assisted breakdown are not clear. Here, the effects of both RF and induction electric field on the RF-assisted breakdown are evaluated considering the electron loss. When traveling loss is the dominant loss, a simple model of an extended Townsend avalanche is proposed. In this model, the induction electric field required for RF-assisted breakdown can be decreased to half that required for induction breakdown. (author)

  20. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  1. A two-temperature chemical non-equilibrium modeling of DC arc plasma

    International Nuclear Information System (INIS)

    Qian Haiyang; Wu Bin

    2011-01-01

    To a better understanding of non-equilibrium characteristics of DC arc plasma,a two-dimensional axisymmetric two-temperature chemical non-equilibrium (2T-NCE) model is applied for direct current arc argon plasma generator with water-cooled constrictor at atmospheric pressure. The results show that the electron temperature and heavy particle temperature has a relationship under different working parameters, indicating that DC arc plasma has a strong non-equilibrium characteristic, and the variation is obvious. (authors)

  2. Plasma-Assisted Chemistry in High-Speed Flow

    International Nuclear Information System (INIS)

    Leonov, Sergey B.; Yarantsev, Dmitry A.; Napartovich, Anatoly P.; Kochetov, Igor V.

    2007-01-01

    Fundamental problems related to the high-speed combustion are analyzed. The result of plasma-chemical modeling is presented as a motivation of experimental activity. Numerical simulations of the effect of uniform non-equilibrium discharge on the premixed hydrogen and ethylene-air mixture in supersonic flow demonstrate an advantage of such a technique over a heating. Experimental results on multi-electrode non-uniform discharge maintenance behind wallstep and in cavity of supersonic flow are presented. The model test on hydrogen and ethylene ignition is demonstrated at direct fuel injection to low-temperature high-speed airflow

  3. Characteristics of nanosized zirconia prepared by plasma and chemical technique

    International Nuclear Information System (INIS)

    Kuznetsova, L.; Grabis, J.; Heidemane, G.

    2003-01-01

    The studied preparation method of zirconia using the plasma technique, azeotropic distillation and glycine routes ensure obtaining of nano sized powders with close average particle size but different crystallite size and phase composition. The sinterability of nano sized zirconia particles prepared by plasma technique or wet-chemical methods is similar and depends on the green density of pressed powders, improvement of with can be achieved by using of granulated precursors. (authors)

  4. Azo dye decolorization assisted by chemical and biogenic sulfide

    Energy Technology Data Exchange (ETDEWEB)

    Prato-Garcia, Dorian [Laboratory for Research on Advanced Processes for Water Treatment, Unidad Académica Juriquilla, Instituto de Ingeniería, Universidad Nacional Autónoma de México, Blvd. Juriquilla 3001, Querétaro 76230 (Mexico); Cervantes, Francisco J. [División de Ciencias Ambientales, Instituto Potosino de Investigación Científica y Tecnológica, Camino a la Presa de San José 2055, San Luis Potosí 78216 (Mexico); Buitrón, Germán, E-mail: gbuitronm@ii.unam.mx [Laboratory for Research on Advanced Processes for Water Treatment, Unidad Académica Juriquilla, Instituto de Ingeniería, Universidad Nacional Autónoma de México, Blvd. Juriquilla 3001, Querétaro 76230 (Mexico)

    2013-04-15

    Highlights: ► Azo dyes were reduced efficiently by chemical and biogenic sulfide. ► Biogenic sulfide was more efficient than chemical sulfide. ► There was no competition between dyes and sulfate for reducing equivalents. ► Aromatic amines barely affected the sulfate-reducing process. -- Abstract: The effectiveness of chemical and biogenic sulfide in decolorizing three sulfonated azo dyes and the robustness of a sulfate-reducing process for simultaneous decolorization and sulfate removal were evaluated. The results demonstrated that decolorization of azo dyes assisted by chemical sulfide and anthraquinone-2,6-disulfonate (AQDS) was effective. In the absence of AQDS, biogenic sulfide was more efficient than chemical sulfide for decolorizing the azo dyes. The performance of sulfate-reducing bacteria in attached-growth sequencing batch reactors suggested the absence of competition between the studied azo dyes and the sulfate-reducing process for the reducing equivalents. Additionally, the presence of chemical reduction by-products had an almost negligible effect on the sulfate removal rate, which was nearly constant (94%) after azo dye injection.

  5. Video-assisted thoracoscopic PlasmaJet ablation for malignant pleural mesothelioma.

    Science.gov (United States)

    Perikleous, Periklis; Asadi, Nizar; Anikin, Vladimir

    2018-01-01

    The role of surgery in malignant pleural mesothelioma (MPM) remains debatable; nonetheless the relative advantages of different surgical approaches are frequently reassessed and reconsidered. While extensive operations and longer recovery periods can be justified for a group of carefully selected patients, many will present at an advanced stage of their disease or with associated co-morbidities which will exclude them from selection criteria for radical treatment. For these patients, minimally invasive video-assisted procedures may be considered, for purposes of cytoreduction and/or symptomatic relief. Even though there is currently not enough clinical evidence to suggest an improvement in overall survival with limited debulking procedures, it has been suggested that they can improve quality of life over drainage and pleurodesis alone. We consider video-assisted PlasmaJet ablation to potentially have a role in mesothelioma surgery, as it may be used for effective cytoreduction while minimising the risk for complications often associated with extensive pleurectomy procedures, and we report on the use of the PlasmaJet Surgical System in our centre for surgical management of a patient with MPM. After demonstrating safety and absence of major adverse events with this approach, we feel justified in offering the procedure to more of our patients as we aim to collect additional data.

  6. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  7. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  8. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    Science.gov (United States)

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  9. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  10. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  11. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    Science.gov (United States)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  12. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  13. Quasi-equilibria and plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Miethke, F.; Rutscher, A.; Wagner, H.E.

    2000-01-01

    With regard to the output of stable products the mode of operation of non-isothermal plasma chemical reactors shows physical and chemical well defined states, which represent limiting cases and may be interpreted as quasi-equilibrium states. The occurrence and the characteristics of these states, meanwhile more than once observed and described, are demonstrated by an instructive model reaction. Within the frame of the so-called Macroscopic Kinetics a central parameter is dominating the reactor operation. This result may be generalized and is linked up to the application of similarity principles for the reactor operation. After the general formulation of such principles, starting from the balance equations of particles and energy, a dimensionless similarity parameter is formulated, characterizing the composition of the effluent gas of the reactor. The applicability of this parameter is demonstrated by experimental examples. (Authors)

  14. Plasma flame for mass purification of contaminated air with chemical and biological warfare agents

    International Nuclear Information System (INIS)

    Uhm, Han S.; Shin, Dong H.; Hong, Yong C.

    2006-01-01

    An elimination of airborne simulated chemical and biological warfare agents was carried out by making use of a plasma flame made of atmospheric plasma and a fuel-burning flame, which can purify the interior air of a large volume in isolated spaces such as buildings, public transportation systems, and military vehicles. The plasma flame generator consists of a microwave plasma torch connected in series to a fuel injector and a reaction chamber. For example, a reaction chamber, with the dimensions of a 22 cm diameter and 30 cm length, purifies an airflow rate of 5000 lpm contaminated with toluene (the simulated chemical agent) and soot from a diesel engine (the simulated aerosol for biological agents). Large volumes of purification by the plasma flame will free mankind from the threat of airborne warfare agents. The plasma flame may also effectively purify air that is contaminated with volatile organic compounds, in addition to eliminating soot from diesel engines as an environmental application

  15. Diffusion in plasma: The Hall effect, compositional waves, and chemical spots

    Energy Technology Data Exchange (ETDEWEB)

    Urpin, V., E-mail: Vadim.urpin@uv.es [Ioffe Institute of Physics and Technology (Russian Federation)

    2017-03-15

    Diffusion caused by a combined influence of the electric current and Hall effect is considered, and it is argued that such diffusion can form inhomogeneities of a chemical composition in plasma. The considered mechanism can be responsible for the formation of element spots in laboratory and astrophysical plasmas. This current-driven diffusion can be accompanied by propagation of a particular type of waves in which the impurity number density oscillates alone. These compositional waves exist if the magnetic pressure in plasma is much greater than the gas pressure.

  16. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  17. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  18. Investigation of growth, coverage and effectiveness of plasma assisted nano-films of fluorocarbon

    International Nuclear Information System (INIS)

    Joshi, Pratik P.; Pulikollu, Rajasekhar; Higgins, Steven R.; Hu Xiaoming; Mukhopadhyay, S.M.

    2006-01-01

    Plasma-assisted functional films have significant potential in various engineering applications. They can be tailored to impart desired properties by bonding specific molecular groups to the substrate surface. The aim of this investigation was to develop a fundamental understanding of the atomic level growth, coverage and functional effectiveness of plasma nano-films on flat surfaces and to explore their application-potential for complex and uneven shaped nano-materials. In this paper, results on plasma-assisted nano-scale fluorocarbon films, which are known for imparting inertness or hydrophobicity to the surface, will be discussed. The film deposition was studied as a function of time on flat single crystal surfaces of silicon, sapphire and graphite, using microwave plasma. X-ray photoelectron spectroscopy (XPS) was used for detailed study of composition and chemistry of the substrate and coating atoms, at all stages of deposition. Atomic force microscopy (AFM) was performed in parallel to study the coverage and growth morphology of these films at each stage. Combined XPS and AFM results indicated complete coverage of all the substrates at the nanometer scale. It was also shown that these films grew in a layer-by-layer fashion. The nano-films were also applied to complex and uneven shaped nano-structured and porous materials, such as microcellular porous foam and nano fibers. It was seen that these nano-films can be a viable approach for effective surface modification of complex or uneven shaped nano-materials

  19. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  20. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  1. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  2. Plasma pro-atrial natriuretic peptide to estimate fluid balance during open and robot-assisted esophagectomy

    DEFF Research Database (Denmark)

    Strandby, Rune Broni; Ambrus, Rikard; Secher, Niels H

    2017-01-01

    BACKGROUND: It remains debated how much fluid should be administered during surgery. The atrial natriuretic peptide precursor proANP is released by atrial distension and deviations in plasma proANP are reported associated with perioperative fluid balance. We hypothesized that plasma proANP would...... decrease when the central blood volume is compromised during the abdominal part of robot-assisted hybrid (RE) esophagectomy and that a positive fluid balance would be required to maintain plasma proANP. METHODS: Patients undergoing RE (n = 25) or open (OE; n = 25) esophagectomy for gastroesophageal cancer...

  3. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  4. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  5. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  6. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  7. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  8. An evaluation of microwave-assisted fusion and microwave-assisted acid digestion methods for determining elemental impurities in carbon nanostructures using inductively coupled plasma optical emission spectrometry

    KAUST Repository

    Patole, Shashikant P.

    2015-10-21

    It is common for as-prepared carbon nanotube (CNT) and graphene samples to contain remnants of the transition metals used to catalyze their growth; contamination may also leave other trace elemental impurities in the samples. Although a full quantification of impurities in as-prepared samples of carbon nanostructures is difficult, particularly when trace elements are intercalated or encapsulated within a protective layer of graphitic carbon, reliable information is essential for reasons such as quantifying the adulteration of physico-chemical properties of the materials and for evaluating environmental issues. Here, we introduce a microwave-based fusion method to degrade single- and double-walled CNTs and graphene nanoplatelets into a fusion flux thereby thoroughly leaching all metallic impurities. Subsequent dissolution of the fusion product in diluted hydrochloric and nitric acid allowed us to identify their trace elemental impurities using inductively coupled plasma optical emission spectrometry. Comparisons of the results from the proposed microwave-assisted fusion method against those of a more classical microwave-assisted acid digestion approach suggest complementarity between the two that ultimately could lead to a more reliable and less costly determination of trace elemental impurities in carbon nanostructured materials. Graphical abstract A method for the complete digestion of carbon nanostructures has been demonstrated. Photographs (on the left side) show zirconium crucibles containing SWCNTs with flux of Na2CO3 and K2CO3, before and after microwave fusion; (on the right side) the appearance of the final solutions containing dissolved samples, from microwave-assisted fusion and microwave-assisted acid digestion. These solutions were used for determining the trace elemental impurities by ICP‒OES.

  9. Radioimmunoassay and chemical ionization/mass spectrometry compared for plasma cortisol determination

    International Nuclear Information System (INIS)

    Lindberg, C.; Johnson, S.; Hedner, P.; Gustafsson, A.

    1982-01-01

    A method is described for determination of cortisol in plasma and urine, based on chemical ionization/mass spectrometry with deuterium-labeled cortisol as the internal standard. The within-run precision (CV) was 2.5-5.7%, the between-run precision 4.6%. Results by this method were compared with those by a radioimmunological method (RIANEN Cortisol, New England Nuclear) for 395 plasma samples. The latter method gave significantly higher (approx. 25%) cortisol values

  10. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  11. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  12. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  13. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  14. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  15. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  18. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  19. Study on microwave assisted process in chemical extraction

    International Nuclear Information System (INIS)

    Amer Ali; Rosli Mohd Yunus; Ramlan Abd Aziz

    2001-01-01

    The microwave assisted process is a revolutionary method of extraction that reduces the extraction time to as little as a few seconds, with up to a ten-fold decrease in the use of solvents. The target material is immersed in solvent that is transparent to microwaves, so only the target material is heated, and because of the microwaves tend to heat the inside of the material quickly, the target chemical are expelled in a few seconds. benefits from this process include significant reductions in the amount of energy required and substantial reductions in the cost and dispose of hazardous solvents. A thorough review has been displayed on: using the microwave in extraction, applications of microwave in industry, process flow diagram, mechanism of the process and comparison between microwave process and other extraction techniques (soxhlet, steam distillation and supercritical fluid). This review attempts to summarize the studies about microwave assisted process as a very promising technique. (Author)

  20. Chemical reactions inside the plasma chamber of the SEAFP reactor plant models

    International Nuclear Information System (INIS)

    Gay, J.M.; Ebert, E.; Mazille, F.

    1995-01-01

    Loss of coolant or loss of vacuum accidents may lead to chemical reactions between the protecting materials of the plasma facing components and air or water. A production of energy, reaction products and hydrogen may be induced. The paper defines the operating conditions and chemical reactions and presents the main results from the underlying studies. (orig.)

  1. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  2. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  3. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  4. Chemical-assisted phytoremediation of CD-PAHs contaminated soils using Solanum nigrum L.

    Science.gov (United States)

    Yang, Chuanjie; Zhou, Qixing; Wei, Shuhe; Hu, Yahu; Bao, Yanyu

    2011-09-01

    A well-characterized cadmium (Cd) hyperaccumulating plant Solanum nigrum was grown in Cd and polycyclic aromatic hydrocarbons (PAHs) co-contaminated soil that was repeatedly amended with chemicals, including EDTA, cysteine (CY), salicylic acid (Sa), and Tween 80 (TW80), to test individual and combined treatment effects on phytoremediation of Cd-PAHs contaminated soils. Plant growth was negatively affected by exogenous chemicals except for EDTA. S. nigrum could accumulate Cd in tissues without assistant chemicals, while there was no visible effect on the degradation of PAHs. Cysteine had significant effects on phytoextraction of Cd and the highest metal extraction ratio (1.27%) was observed in 0.9 mmol/kg CY treatment. Both salicylic acid and Tween 80 had stimulative effects on the degradation of PAHs and there was the maximal degradation rate (52.6%) of total PAHs while 0.9 mmol/kg Sa was applied. Furthermore, the combined treatment T(0.1EDTA+0.9CY+0.5TW80) and T(0.5EDTA+0.9CY+03Sa) could not only increase the accumulation of Cd in plant tissues, but also promote the degradation of PAHs. These results indicated that S. nigrum might be effective in phytoextracting Cd and enhancing the biodegradation of PAHs in the co-contaminated soils with assistant chemicals.

  5. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, O.; Zablotskyy, V.; Chrupina, O.; Lunova, M.; Jirsa, M.; Dejneka, A.; Kubinová, Šárka

    2017-01-01

    Roč. 7, apr (2017), s. 600 ISSN 2045-2322 R&D Projects: GA MŠk(CZ) LO1309 Institutional support: RVO:68378041 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: FP - Other Medical Disciplines OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  6. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  7. Plasma-chemical simulation of negative corona near the inception voltage

    Science.gov (United States)

    Pontiga, Francisco; Duran-Olivencia, Francisco J.; Castellanos, Antonio

    2013-09-01

    The spatiotemporal development of Trichel pulses in oxygen between a spherical electrode and a grounded plane has been simulated using a fluid approximation that incorporates the plasma chemistry of the electrical discharge. Elementary plasma processes, such as ionization, electron attachment, electron detachment, recombination between ions and chemical reactions between neutral species, are all included in a chemical model consisting of 55 reactions between 8 different species (electrons, O2+,O2-,O3-,O-, O2, O, O3). Secondary emission at the cathode by the impact of positive ions and photons is also considered. The spatial distribution of species is computed in three dimensions (2D-axysimmetrical) by solving Poisson's equation for the electric field and the continuity equations for the species, with the inclusion of the chemical gain/loss rate due to the particle interaction. The results of the simulation reveal the interplay between the different negative ions during the development of every Trichel pulse, and the rate of production of atomic oxygen and ozone by the corona discharge. This work was supported by the Consejeria de Innovacion, Ciencia y Empresa (Junta de Andalucia) and by the Ministerio de Ciencia e Innovacion, Spain, within the European Regional Development Fund contracts FQM-4983 and FIS2011-25161.

  8. Microwave-assisted versus conventional decomposition procedures applied to a ceramic potsherd standard reference material by inductively coupled plasma atomic emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Papadopoulou, D.N.; Zachariadis, G.A.; Anthemidis, A.N.; Tsirliganis, N.C.; Stratis, J.A

    2004-03-03

    Inductively coupled plasma atomic emission spectrometry (ICP-AES) is a powerful, sensitive analytical technique with numerous applications in chemical characterization including that of ancient pottery, mainly due to its multi-element character, and the relatively short time required for the analysis. A critical step in characterization studies of ancient pottery is the selection of a suitable decomposition procedure for the ceramic matrix. The current work presents the results of a comparative study of six decomposition procedures applied on a standard ceramic potsherd reference material, SARM 69. The investigated decomposition procedures included three microwave-assisted decomposition procedures, one wet decomposition (WD) procedure by conventional heating, one combined microwave-assisted and conventional heating WD procedure, and one fusion procedure. Chemical analysis was carried out by ICP-AES. Five major (Si, Al, Fe, Ca, Mg), three minor (Mn, Ba, Ti) and two trace (Cu, Co) elements were determined and compared with their certified values. Quantitation was performed at two different spectral lines for each element and multi-element matrix-matched calibration standards were used. The recovery values for the six decomposition procedures ranged between 75 and 110% with a few notable exceptions. Data were processed statistically in order to evaluate the investigated decomposition procedures in terms of recovery, accuracy and precision, and eventually select the most appropriate one for ancient pottery analysis.

  9. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  10. Synergistic effects of non-thermal plasma-assisted catalyst and ultrasound on toluene removal.

    Science.gov (United States)

    Sun, Yongli; Zhou, Libo; Zhang, Luhong; Sui, Hong

    2012-01-01

    A wire-mesh catalyst coated by La0.8Sr0.2MnO3 was combined with a dielectric barrier discharge (DBD) reactor for toluene removal at atmospheric pressure. It was found that toluene removal efficiency and carbon dioxide selectivity were enhanced in the catalytic packed-bed reactor. In addition, ozone and nitrogen monoxide from the gas effluent byproducts decreased. This is the first time that ultrasound combined with plasma has been used for toluene removal. A synergistic effect on toluene removal was observed in the plasma-assisted ultrasound system. At the same time, the system increased toluene conversion and reduced ozone emission.

  11. Real time monitoring of accelerated chemical reactions by ultrasonication-assisted spray ionization mass spectrometry.

    Science.gov (United States)

    Lin, Shu-Hsuan; Lo, Ta-Ju; Kuo, Fang-Yin; Chen, Yu-Chie

    2014-01-01

    Ultrasonication has been used to accelerate chemical reactions. It would be ideal if ultrasonication-assisted chemical reactions could be monitored by suitable detection tools such as mass spectrometry in real time. It would be helpful to clarify reaction intermediates/products and to have a better understanding of reaction mechanism. In this work, we developed a system for ultrasonication-assisted spray ionization mass spectrometry (UASI-MS) with an ~1.7 MHz ultrasonic transducer to monitor chemical reactions in real time. We demonstrated that simply depositing a sample solution on the MHz-based ultrasonic transducer, which was placed in front of the orifice of a mass spectrometer, the analyte signals can be readily detected by the mass spectrometer. Singly and multiply charged ions from small and large molecules, respectively, can be observed in the UASI mass spectra. Furthermore, the ultrasonic transducer used in the UASI setup accelerates the chemical reactions while being monitored via UASI-MS. The feasibility of using this approach for real-time acceleration/monitoring of chemical reactions was demonstrated. The reactions of Girard T reagent and hydroxylamine with steroids were used as the model reactions. Upon the deposition of reactant solutions on the ultrasonic transducer, the intermediate/product ions are readily generated and instantaneously monitored using MS within 1 s. Additionally, we also showed the possibility of using this reactive UASI-MS approach to assist the confirmation of trace steroids from complex urine samples by monitoring the generation of the product ions. Copyright © 2014 John Wiley & Sons, Ltd.

  12. Physical-morphological and chemical changes leading to an increase in adhesion between plasma treated polyester fibres and a rubber matrix

    International Nuclear Information System (INIS)

    Krump, H.; Hudec, I.; Jasso, M.; Dayss, E.; Luyt, A.S.

    2006-01-01

    The effects of plasma treatment, used to increase adhesion strength between poly(ethylene terephtalate) (PET) fibres and a rubber matrix, were investigated and compared. Morphological changes as a result of atmospheric plasma treatment were observed using scanning electron microscopy (SEM) and atomic force microscopy (AFM). Wettability analysis using a surface energy evaluation system (SEE system) suggested that the plasma treated fibre was more wetting towards a polar liquid. When treated, these fibres showed a new lamellar crystallization, as shown by a new melting peak using differential scanning calorimetry (DSC). X-ray photoelectron spectroscopy (XPS) has been used to study the chemical effect of inert (argon), active and reactive (nitrogen and oxygen) microwave-plasma treatments of a PET surface. Reactive oxygen plasma treatment by a de-convolution method shows new chemical species that drastically alter the chemical reactivity of the PET surface. These studies have also shown that the surface population of chemical species formed after microwave-plasma treatment is dependent on the plasma gas. All these changes cause better adhesion strength of the PET fibres to the rubber matrix

  13. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  14. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  15. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  16. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  17. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  18. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  19. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, Oleg; Zablotskyy, Vitaliy A.; Churpita, Olexandr; Lunova, M.; Jirsa, M.; Dejneka, Alexandr; Kubinová, Šárka

    2017-01-01

    Roč. 7, č. 1 (2017), s. 1-17, č. článku 600. ISSN 2045-2322 Grant - others:AV ČR(CZ) Fellowship J. E. Purkyně Institutional support: RVO:68378271 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: BO - Biophysics OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  20. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  1. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  2. Abatement of VOCs with Alternate Adsorption and Plasma-Assisted Regeneration: A Review

    Directory of Open Access Journals (Sweden)

    Sharmin Sultana

    2015-04-01

    Full Text Available Energy consumption is an important concern for the removal of volatile organic compounds (VOCs from waste air with non-thermal plasma (NTP. Although the combination of NTP with heterogeneous catalysis has shown to reduce the formation of unwanted by-products and improve the energy efficiency of the process, further optimization of these hybrid systems is still necessary to evolve to a competitive air purification technology. A newly developed innovative technique, i.e., the cyclic operation of VOC adsorption and NTP-assisted regeneration has attracted growing interest of researchers due to the optimized energy consumption and cost-effectiveness. This paper reviews this new technique for the abatement of VOCs as well as for regeneration of adsorbents. In the first part, a comparison of the energy consumption between sequential and continuous treatment is given. Next, studies dealing with adsorption followed by NTP oxidation are reviewed. Particular attention is paid to the adsorption mechanisms and the regeneration of catalysts with in-plasma and post-plasma processes. Finally, the influence of critical process parameters on the adsorption and regeneration steps is summarized.

  3. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Ram Kumar, M.C.; Arun Kumar, A.; Padmanabhan, P.V.A.; Deshmukh, R.R.; Bah, M.; Ismat Shah, S.; Su, Pi-Guey; Halleluyah, M.; Halim, A.S.

    2016-01-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  4. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    Energy Technology Data Exchange (ETDEWEB)

    Navaneetha Pandiyaraj, K., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Ram Kumar, M.C.; Arun Kumar, A. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Padmanabhan, P.V.A. [PSN College of Engineering and Technology, Tirunelveli 627 152 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Bah, M.; Ismat Shah, S. [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Halleluyah, M.; Halim, A.S. [School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2016-05-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  5. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  6. The influence of surface microstructure and chemical composition on corrosion behaviour in fuel-grade bio-ethanol of low-alloy steel modified by plasma nitro-carburizing and post-oxidizing

    Science.gov (United States)

    Boniatti, Rosiana; Bandeira, Aline L.; Crespi, Ângela E.; Aguzzoli, Cesar; Baumvol, Israel J. R.; Figueroa, Carlos A.

    2013-09-01

    The interaction of bio-ethanol on steel surfaces modified by plasma-assisted diffusion technologies is studied for the first time. The influence of surface microstructure and chemical composition on corrosion behaviour of AISI 4140 low-alloy steel in fuel-grade bio-ethanol was investigated. The steel surfaces were modified by plasma nitro-carburizing followed plasma oxidizing. X-ray diffraction, scanning electron microscopy, optical microscopy, X-ray dispersive spectroscopy, and glow-discharge optical emission spectroscopy were used to characterize the modified surface before and after immersion tests in bio-ethanol up to 77 days. The main corrosion mechanism is pit formation. The pit density and pit size were measured in order to quantify the corrosion resistance which was found to depend more strongly on microstructure and morphology of the oxide layer than on its thickness. The best corrosion protection was observed for samples post-oxidized at 480 °C and 90 min.

  7. The influence of surface microstructure and chemical composition on corrosion behaviour in fuel-grade bio-ethanol of low-alloy steel modified by plasma nitro-carburizing and post-oxidizing

    International Nuclear Information System (INIS)

    Boniatti, Rosiana; Bandeira, Aline L.; Crespi, Ângela E.; Aguzzoli, Cesar; Baumvol, Israel J.R.; Figueroa, Carlos A.

    2013-01-01

    The interaction of bio-ethanol on steel surfaces modified by plasma-assisted diffusion technologies is studied for the first time. The influence of surface microstructure and chemical composition on corrosion behaviour of AISI 4140 low-alloy steel in fuel-grade bio-ethanol was investigated. The steel surfaces were modified by plasma nitro-carburizing followed plasma oxidizing. X-ray diffraction, scanning electron microscopy, optical microscopy, X-ray dispersive spectroscopy, and glow-discharge optical emission spectroscopy were used to characterize the modified surface before and after immersion tests in bio-ethanol up to 77 days. The main corrosion mechanism is pit formation. The pit density and pit size were measured in order to quantify the corrosion resistance which was found to depend more strongly on microstructure and morphology of the oxide layer than on its thickness. The best corrosion protection was observed for samples post-oxidized at 480 °C and 90 min.

  8. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  9. Manipulator for plasma-assisted machining of components made of materials with low machinability

    International Nuclear Information System (INIS)

    Lyaoshchukov, M.M.; Agadzhanyan, R.A.

    1984-01-01

    The All-Union Scientific-Research and Technological Institute of Pump Engineering developed, and the ''Uralgidromash'' Production Association has adopted, a manipulator with remote control for the plasma-assisted machining (PAM) of components made of materials with low machinability. The manipulator is distinguished by its universal design and can be used for machining both external and internal surfaces of the bodies of revolution and also end faces and various curvilinear surfaces

  10. Fast screening of analytes for chemical reactions by reactive low-temperature plasma ionization mass spectrometry.

    Science.gov (United States)

    Zhang, Wei; Huang, Guangming

    2015-11-15

    Approaches for analyte screening have been used to aid in the fine-tuning of chemical reactions. Herein, we present a simple and straightforward analyte screening method for chemical reactions via reactive low-temperature plasma ionization mass spectrometry (reactive LTP-MS). Solution-phase reagents deposited on sample substrates were desorbed into the vapor phase by action of the LTP and by thermal desorption. Treated with LTP, both reagents reacted through a vapor phase ion/molecule reaction to generate the product. Finally, protonated reagents and products were identified by LTP-MS. Reaction products from imine formation reaction, Eschweiler-Clarke methylation and the Eberlin reaction were detected via reactive LTP-MS. Products from the imine formation reaction with reagents substituted with different functional groups (26 out of 28 trials) were successfully screened in a time of 30 s each. Besides, two short-lived reactive intermediates of Eschweiler-Clarke methylation were also detected. LTP in this study serves both as an ambient ionization source for analyte identification (including reagents, intermediates and products) and as a means to produce reagent ions to assist gas-phase ion/molecule reactions. The present reactive LTP-MS method enables fast screening for several analytes from several chemical reactions, which possesses good reagent compatibility and the potential to perform high-throughput analyte screening. In addition, with the detection of various reactive intermediates (intermediates I and II of Eschweiler-Clarke methylation), the present method would also contribute to revealing and elucidating reaction mechanisms. Copyright © 2015 John Wiley & Sons, Ltd.

  11. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  12. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  13. An ultrasound-assisted digestion method for the determination of toxic element concentrations in ash samples by inductively coupled plasma optical emission spectrometry

    International Nuclear Information System (INIS)

    Ilander, Aki; Vaeisaenen, Ari

    2007-01-01

    A method of ultrasound-assisted digestion followed by inductively coupled plasma optical emission spectrometry (ICP-OES) used for the determination of toxic element concentrations (arsenic, barium, cobalt, copper, lead, nickel, strontium, vanadium and zinc) in ash samples was developed. All the measurements were performed in robust plasma conditions which were tested by measuring the Mg(II) 280.270 nm/Mg(I) 285.213 nm line intensity ratios. The highest line intensity ratios were observed when a nebulizer gas flow of 0.6 L min -1 , auxiliary gas flow of 0.2 L min -1 and plasma power of 1400 W were used for radially viewed plasma. The analysis of SRM 1633b showed that the ultrasound-assisted method developed is highly comparable with the microwave digestion method standardized by the United States Environmental Protection Agency (EPA-3052). The ultrasound-assisted digestion with a digestion solution of aqua regia and hydrofluoric acid (HF) resulted in recovery rates of over 81%. One exception is arsenic which resulted in recoveries of about 60% only; however, it could be digested with good recovery (>90%) using a digestion solution of 5 mL of water and 5 mL of aqua regia. The major advantage of the ultrasound-assisted digestion over microwave digestion is the high treatment rate (30 samples simultaneously with a sonication time of 18 min)

  14. Hybrid plasma system for magnetron deposition of coatings with ion assistance

    International Nuclear Information System (INIS)

    Vavilin, K V; Kralkina, E A; Nekludova, P A; Petrov, A. K; Nikonov, A M; Pavlov, V B; Airapetov, A A; Odinokov, V V; Pavlov, G Ya; Sologub, V A

    2016-01-01

    The results of the study of the plasma hybrid system based on the combined magnetron discharge and high-frequency inductive discharge located in the external magnetic field is presented. Magnetron discharge provides the generation of atoms and ions of the target materials while the flow of accelerated ions used for the ion assistance is provided by the RF inductive discharge. An external magnetic field is used to optimize the power input to the discharge, to increase the ion current density in the realm of substrate and to enhance the area of uniform plasma. The joint operation of magnetron and RF inductive discharge leads to a substantial increase (not equal to the sum of the parameters obtained under separate operation of two hybrid system channels) of the ion current density and intensity of sputtered material spectral lines radiation. Optimal mode of the hybrid plasma system operation provides uniform ion current density on the diameter of at least 150mm at 0.7PA argon pressure. The optimal values of the magnetic fields in the region of the substrate location lie in the range 2-8 mTl, while in the region of the RF input power unit lie in the range 0.5-25 mTl. (paper)

  15. Sensing signatures mediated by chemical structure of molecular solids in laser-induced plasmas.

    Science.gov (United States)

    Serrano, Jorge; Moros, Javier; Laserna, J Javier

    2015-03-03

    Laser ablation of organic compounds has been investigated for almost 30 years now, either in the framework of pulse laser deposition for the assembling of new materials or in the context of chemical sensing. Various monitoring techniques such as atomic and molecular fluorescence, time-of-flight mass spectrometry, and optical emission spectroscopy have been used for plasma diagnostics in an attempt to understand the spectral signature and potential origin of gas-phase ions and fragments from organic plasmas. Photochemical and photophysical processes occurring within these systems are generally much more complex than those suggested by observation of optical emission features. Together with laser ablation parameters, the structural and chemical-physical properties of molecules seem to be closely tied to the observed phenomena. The present manuscript, for the first time, discusses the role of molecular structure in the optical emission of organic plasmas. Factors altering the electronic distribution within the organic molecule have been found to have a direct impact on its ensuing optical emissions. The electron structure of an organic molecule, resulting from the presence, nature, and position of its atoms, governs the breakage of the molecule and, as a result, determines the extent of atomization and fragmentation that has proved to directly impact the emissions of CN radicals and C2 dimers. Particular properties of the molecule respond more positively depending on the laser irradiation wavelength, thereby redirecting the ablation process through photochemical or photothermal decomposition pathways. It is of paramount significance for chemical identification purposes how, despite the large energy stored and dissipated by the plasma and the considerable number of transient species formed, the emissions observed never lose sight of the original molecule.

  16. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  17. Formation of Apatite Coatings on an Artificial Ligament Using a Plasma- and Precursor-Assisted Biomimetic Process

    Directory of Open Access Journals (Sweden)

    Ayako Oyane

    2013-09-01

    Full Text Available A plasma- and precursor-assisted biomimetic process utilizing plasma and alternate dipping treatments was applied to a Leed-Keio artificial ligament to produce a thin coating of apatite in a supersaturated calcium phosphate solution. Following plasma surface modification, the specimen was alternately dipped in calcium and phosphate ion solutions three times (alternate dipping treatment to create a precoating containing amorphous calcium phosphate (ACP which is an apatite precursor. To grow an apatite layer on the ACP precoating, the ACP-precoated specimen was immersed for 24 h in a simulated body fluid with ion concentrations approximately equal to those in human blood plasma. The plasma surface modification was necessary to create an adequate apatite coating and to improve the coating adhesion depending on the plasma power density. The apatite coating prepared using the optimized conditions formed a thin-film that covered the entire surface of the artificial ligament. The resulting apatite-coated artificial ligament should exhibit improved osseointegration within the bone tunnel and possesses great potential for use in ligament reconstructions.

  18. Controllable synthesizing DLC nano structures as a super hydrophobic layer on cotton fabric using a low-cost ethanol electrospray-assisted atmospheric plasma jet

    Science.gov (United States)

    Sohbatzadeh, F.; Eshghabadi, M.; Mohsenpour, T.

    2018-06-01

    The surface modification of cotton samples was carried out using a liquid (ethanol) electrospray-assisted atmospheric pressure plasma jet. X-ray photoelectron spectroscopy (XPS) and Raman analysis confirmed the successful deposition of diamond like carbon (DLC) nano structures on the cotton surface. The super hydrophobic state of the samples was probed by contact angle measurements. The water repellency of the layers was tuned by controlling the voltage applied to the electrospray electrode. An investigation of the morphological and chemical structures of the samples by field emission scanning microscopy, atomic force microscopy (AFM) and XPS indicated that the physical shape, distribution and amorphization of the DLC structures were successfully adjusted and improved by applying a voltage to the electrospray electrode. Finally wash durability of the best sample was tested for 35 cycles. In this work, the use of a well-developed atmospheric pressure plasma jet for DLC nano structures deposition can enable a promising environmentally friendly and low-cost approach for modifying cotton fabrics for super water-repellent fabric applications.

  19. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  20. Chemical Evolution of Strongly Interacting Quark-Gluon Plasma

    International Nuclear Information System (INIS)

    Pan, Ying-Hua; Zhang, Wei-Ning

    2014-01-01

    At very initial stage of relativistic heavy ion collisions a wave of quark-gluon matter is produced from the break-up of the strong color electric field and then thermalizes at a short time scale (~1 fm/c). However, the quark-gluon plasma (QGP) system is far out of chemical equilibrium, especially for the heavy quarks which are supposed to reach chemical equilibrium much late. In this paper a continuing quark production picture for strongly interacting QGP system is derived, using the quark number susceptibilities and the equation of state; both of them are from the results calculated by the Wuppertal-Budapest lattice QCD collaboration. We find that the densities of light quarks increase by 75% from the temperature T=400 MeV to T=150 MeV, while the density of strange quark annihilates by 18% in the temperature region. We also offer a discussion on how this late production of quarks affects the final charge-charge correlations

  1. Strangeness chemical equilibration in a quark-gluon plasma

    International Nuclear Information System (INIS)

    Letessier, Jean; Rafelski, Johann

    2007-01-01

    We study, in the dynamically evolving quark-gluon plasma (QGP) fireball formed in relativistic heavy ion collisions at the BNL Relativistic Heavy Ion Collider (RHIC) and CERN Large Hadron Collider (LHC), the growth of strangeness yield toward and beyond the chemical equilibrium. We account for the contribution of the direct strangeness production and evaluate the thermal-QCD strangeness production mechanisms. The specific yield of strangeness per entropy, s/S, is the primary target variable. We explore the effect of collision impact parameter, i.e., fireball size, on kinetic strangeness chemical equilibration in QGP. Insights gained in studying the RHIC data with regard to the dynamics of the fireball are applied to the study of strangeness production at the LHC. We use these results and consider the strange hadron relative particle yields at RHIC and LHC in a systematic fashion. We consider both the dependence on s/S and the direct dependence on the participant number

  2. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  3. Modeling of electron behaviors under microwave electric field in methane and air pre-mixture gas plasma assisted combustion

    Science.gov (United States)

    Akashi, Haruaki; Sasaki, K.; Yoshinaga, T.

    2011-10-01

    Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found that the simulated emission from 2nd PBS agrees with the experimental result. Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found

  4. Study of sterilization-treatment in pure and N- doped carbon thin films synthesized by inductively coupled plasma assisted pulsed-DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Javid, Amjed [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Textile Processing, National Textile University, Faisalabad 37610 (Pakistan); Kumar, Manish, E-mail: manishk@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Jeon Geon, E-mail: hanjg@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2017-01-15

    Highlights: • Pure and N-doped nanocrystallie carbon films are synthesized by ICP assisted pulsed DC plasma process. • ICP power induces the increase in average graphitic crystallite size from 4.86 nm to 6.42 nm. • Beneficial role of ICP source assistance to achieve high sputtering throughput (deposition rate ∼55 nm/min). • Post-sterilization electron-transport study shows N-doped carbon films having promising stability. - Abstract: Electrically-conductive nanocrystalline carbon films, having non-toxic and non-immunogenic characteristics, are promising candidates for reusable medical devices. Here, the pure and N- doped nanocrystalline carbon films are deposited by the assistance of inductively coupled plasma (ICP) in an unbalanced facing target pulsed-DC magnetron sputtering process. Through the optical emission spectroscopy study, the role of ICP assistance and N-doping on the reactive components/radicals during the synthesis is presented. The N-doping enhances the three fold bonding configurations by increasing the ionization and energies of the plasma species. Whereas, the ICP addition increases the plasma density to control the deposition rate and film structure. As a result, sputtering-throughput (deposition rate: 31–55 nm/min), electrical resistivity (4–72 Ωcm) and water contact angle (45.12°–54°) are significantly tailored. Electric transport study across the surface microchannel confirms the superiority of N-doped carbon films for sterilization stability over the undoped carbon films.

  5. Improvement of laser keyhole formation with the assistance of arc plasma in the hybrid welding process of magnesium alloy

    Science.gov (United States)

    Liu, Liming; Hao, Xinfeng

    2009-11-01

    In the previous work, low-power laser/arc hybrid welding technique is used to weld magnesium alloy and high-quality weld joints are obtained. In order to make clear the interactions between low-power laser pulse and arc plasma, the effect of arc plasma on laser pulse is studied in this article. The result shows that the penetration of low-power laser welding with the assistance of TIG arc is more than two times deeper than that of laser welding alone and laser welding transforms from thermal-conduction mode to keyhole mode. The plasma behaviors and spectra during the welding process are studied, and the transition mechanism of laser-welding mode is analyzed in detail. It is also found that with the assistance of arc plasma, the threshold value of average power density to form keyhole welding for YAG laser is only 3.3×10 4 W/cm 2, and the average peak power density is 2.6×10 5 W/cm 2 in the present experiment. Moreover, the distribution of energy density during laser pulse is modulated to improve the formation and stability of laser keyholes.

  6. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  7. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  8. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  9. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  10. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  11. Observation of non-chemical equilibrium effect on Ar-CO2-H2 thermal plasma model by changing pressure

    International Nuclear Information System (INIS)

    Al-Mamun, Sharif Abdullah; Tanaka, Yasunori; Uesugi, Yoshihiko

    2009-01-01

    The authors developed a two-dimensional one-temperature chemical non-equilibrium (1T-NCE) model of Ar-CO 2 -H 2 inductively coupled thermal plasmas (ICTP) to investigate the effect of pressure variation. The basic concept of one-temperature model is the assumption and treatment of the same energy conservation equation for electrons and heavy particles. The energy conservation equations consider reaction heat effects and energy transfer among the species produced as well as enthalpy flow resulting from diffusion. Assuming twenty two (22) different particles in this model and by solving mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from hundred and ninety eight (198) chemical reactions, chemical non-equilibrium effects were taken into account. Transport and thermodynamic properties of Ar-CO 2 -H 2 thermal plasmas were self-consistently calculated using the first-order approximation of the Chapman-Enskog method. Finally results obtained at atmospheric pressure (760 Torr) and at reduced pressure (500, 300 Torr) were compared with results from one-temperature chemical equilibrium (1T-CE) model. And of course, this comparison supported discussion of chemical non-equilibrium effects in the inductively coupled thermal plasmas (ICTP).

  12. Plasma-Assisted ALD TiN/Al2O3 stacks for MIMIM Trench Capacitor Applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Voogt, F.C.; Besling, W.F.A.; Lamy, Y.; Roozeboom, F.; Sanden, van de M.C.M.; Kessels, W.M.M.; Gendt, de S.

    2009-01-01

    In this paper we report on the overall plasma-assisted ALD processes of Al2O3 and TiN conducted in a single reactor chamber and at a single temperature (340 oC). The individual Al2O3 and TiN films in the stack were consecutively deposited in such a way that they were separated by purge intervals

  13. Interaction of Plasma Discharges with a Flame: Experimental and Numerical Study

    International Nuclear Information System (INIS)

    Vincent-Randonnier, Axel; Teixeira, David

    2010-01-01

    This paper presents experimental results and numerical simulations of methane/air non-premixed flame under plasma assistance. Without plasma assistance, the flame blows off at a 28-30 m·s -1 bulk velocity (power around 3 kW). When the discharge is on, the flame can be maintained up to a bulk velocity of 53 m·s -1 (power around 6 kW), corresponding to +90% gain in power with only a few watt of plasma power. The plasma discharges present short duration current pulses (between 100 ns and 200 ns) and occur non-monotonically (delay between two pulses from 6x10 -5 s to 0.1 s). The probability density function of this occurrence is significantly influenced by the mass flow rate or the absence of flame, revealing the strong coupling of the plasma with hydrodynamic and combustion. For the numerical section of this work, we simulated the flame using a Computational Fluid Dynamics code based on Direct Numerical Simulation (direct solving of Navier-Stokes equations), and investigated the thermal and/or chemical effects of discharges on the flame stability.

  14. Inactivation of Escherichia coli on blueberries using cold plasma with chemical augmentation inside a partial vacuum

    Science.gov (United States)

    Justification: The mechanism by which cold plasma inactivates pathogens is through the production of free reactive chemical species. Unfortunately, the most reactive chemical species have the shortest half-life. In a vacuum their half-life is believed to be prolonged. Additionally, these reactive sp...

  15. Photon-assisted Beam Probes for Low Temperature Plasmas and Installation of Neutral Beam Probe in Helimak

    Science.gov (United States)

    Garcia de Gorordo, Alvaro; Hallock, Gary A.; Kandadai, Nirmala

    2008-11-01

    The Heavy Ion Beam Probe (HIBP) diagnostic has successfully measured the electric potential in a number of major plasma devices in the fusion community. In contrast to a Langmuir probe, the HIBP measures the exact electric potential rather than the floating potential. It is also has the advantage of being a very nonperturbing diagnostic. We propose a new photon-assisted beam probe technique that would extend the HIBP type of diagnostics into the low temperature plasma regime. We expect this method to probe plasmas colder than 10 eV. The novelty of the proposed diagnostic is a VUV laser that ionizes the probing particle. Excimer lasers produce the pulsed VUV radiation needed. The lasers on the market don't have a short enough wavelength too ionize any ion directly and so we calculate the population density of excited states in a NLTE plasma. These new photo-ionization techniques can take an instantaneous one-dimensional potential measurement of a plasma and are ideal for nonmagnitized plasmas where continuous time resolution is not required. Also the status of the Neutral Beam Probe installation on the Helimak experiment will be presented.

  16. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  17. Informing the Human Plasma Protein Binding of Environmental Chemicals by Machine Learning in the Pharmaceutical Space: Applicability Domain and Limits of Predictability

    Science.gov (United States)

    The free fraction of a xenobiotic in plasma (Fub) is an important determinant of chemical adsorption, distribution, metabolism, elimination, and toxicity, yet experimental plasma protein binding data is scarce for environmentally relevant chemicals. The presented work explores th...

  18. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  19. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  20. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  1. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  2. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  3. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  4. The kinetics of nonequilibrium chain plasma-chemical oxidation in heterogeneous media

    International Nuclear Information System (INIS)

    Deminskii, M.A.; Potapkin, B.V.; Rusanov, V.D.

    1994-01-01

    The kinetics of oxidation of low-impurity components in air mixtures under heterogeneous conditions was studied. The principal kinetic features of the process were determined on the basis of theoretical analysis of plasma-chemical oxidation in heterogeneous media. The analysis also showed that low concentrations of impurities in liquid aerosol particles can be efficiently oxidized via a chain process induced by reactive species formed in the gas

  5. Characteristics of the Arcing Plasma Formation Effect in Spark-Assisted Chemical Engraving of Glass, Based on Machine Vision.

    Science.gov (United States)

    Ho, Chao-Ching; Wu, Dung-Sheng

    2018-03-22

    Spark-assisted chemical engraving (SACE) is a non-traditional machining technology that is used to machine electrically non-conducting materials including glass, ceramics, and quartz. The processing accuracy, machining efficiency, and reproducibility are the key factors in the SACE process. In the present study, a machine vision method is applied to monitor and estimate the status of a SACE-drilled hole in quartz glass. During the machining of quartz glass, the spring-fed tool electrode was pre-pressured on the quartz glass surface to feed the electrode that was in contact with the machining surface of the quartz glass. In situ image acquisition and analysis of the SACE drilling processes were used to analyze the captured image of the state of the spark discharge at the tip and sidewall of the electrode. The results indicated an association between the accumulative size of the SACE-induced spark area and deepness of the hole. The results indicated that the evaluated depths of the SACE-machined holes were a proportional function of the accumulative spark size with a high degree of correlation. The study proposes an innovative computer vision-based method to estimate the deepness and status of SACE-drilled holes in real time.

  6. Characteristics of the Arcing Plasma Formation Effect in Spark-Assisted Chemical Engraving of Glass, Based on Machine Vision

    Directory of Open Access Journals (Sweden)

    Chao-Ching Ho

    2018-03-01

    Full Text Available Spark-assisted chemical engraving (SACE is a non-traditional machining technology that is used to machine electrically non-conducting materials including glass, ceramics, and quartz. The processing accuracy, machining efficiency, and reproducibility are the key factors in the SACE process. In the present study, a machine vision method is applied to monitor and estimate the status of a SACE-drilled hole in quartz glass. During the machining of quartz glass, the spring-fed tool electrode was pre-pressured on the quartz glass surface to feed the electrode that was in contact with the machining surface of the quartz glass. In situ image acquisition and analysis of the SACE drilling processes were used to analyze the captured image of the state of the spark discharge at the tip and sidewall of the electrode. The results indicated an association between the accumulative size of the SACE-induced spark area and deepness of the hole. The results indicated that the evaluated depths of the SACE-machined holes were a proportional function of the accumulative spark size with a high degree of correlation. The study proposes an innovative computer vision-based method to estimate the deepness and status of SACE-drilled holes in real time.

  7. Characteristics of Atmospheric Pressure Rotating Gliding Arc Plasmas

    Science.gov (United States)

    Zhang, Hao; Zhu, Fengsen; Tu, Xin; Bo, Zheng; Cen, Kefa; Li, Xiaodong

    2016-05-01

    In this work, a novel direct current (DC) atmospheric pressure rotating gliding arc (RGA) plasma reactor has been developed for plasma-assisted chemical reactions. The influence of the gas composition and the gas flow rate on the arc dynamic behaviour and the formation of reactive species in the N2 and air gliding arc plasmas has been investigated by means of electrical signals, high speed photography, and optical emission spectroscopic diagnostics. Compared to conventional gliding arc reactors with knife-shaped electrodes which generally require a high flow rate (e.g., 10-20 L/min) to maintain a long arc length and reasonable plasma discharge zone, in this RGA system, a lower gas flow rate (e.g., 2 L/min) can also generate a larger effective plasma reaction zone with a longer arc length for chemical reactions. Two different motion patterns can be clearly observed in the N2 and air RGA plasmas. The time-resolved arc voltage signals show that three different arc dynamic modes, the arc restrike mode, takeover mode, and combined modes, can be clearly identified in the RGA plasmas. The occurrence of different motion and arc dynamic modes is strongly dependent on the composition of the working gas and gas flow rate. supported by National Natural Science Foundation of China (No. 51576174), the Specialized Research Fund for the Doctoral Program of Higher Education of China (No. 20120101110099) and the Fundamental Research Funds for the Central Universities (No. 2015FZA4011)

  8. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  9. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  10. Dwell time considerations for large area cold plasma decontamination

    Science.gov (United States)

    Konesky, Gregory

    2009-05-01

    Atmospheric discharge cold plasmas have been shown to be effective in the reduction of pathogenic bacteria and spores and in the decontamination of simulated chemical warfare agents, without the generation of toxic or harmful by-products. Cold plasmas may also be useful in assisting cleanup of radiological "dirty bombs." For practical applications in realistic scenarios, the plasma applicator must have both a large area of coverage, and a reasonably short dwell time. However, the literature contains a wide range of reported dwell times, from a few seconds to several minutes, needed to achieve a given level of reduction. This is largely due to different experimental conditions, and especially, different methods of generating the decontaminating plasma. We consider these different approaches and attempt to draw equivalencies among them, and use this to develop requirements for a practical, field-deployable plasma decontamination system. A plasma applicator with 12 square inches area and integral high voltage, high frequency generator is described.

  11. Program of technical assistance to the Organization for the Prohibition of Chemical Weapons - lessons learned from the U.S. program of technical assistance to IAEA safeguards. Final report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-06-01

    The Defense Nuclear Agency is sponsoring a technical study of the requirements of a vehicle to meet the OPCW`s future needs for enhanced chemical weapons verification capabilities. This report provides information about the proven mechanisms by which the U.S. provided both short- and long-term assistance to the IAEA to enhance its verification capabilities. Much of the technical assistance has generic application to international organizations verifying compliance with disarmament treaties or conventions. In addition, some of the equipment developed by the U.S. under the existing arrangements can be applied in the verification of other disarmament treaties or conventions. U.S. technical assistance to IAEA safeguards outside of the IAEA`s regular budget proved to be necessary. The U.S. technical assistance was successful in improving the effectiveness of IAEA safeguards for its most urgent responsibilities and in providing the technical elements for increased IAEA {open_quotes}readiness{close_quotes} for the postponed responsibilities deemed important for U.S. policy objectives. Much of the technical assistance was directed to generic subjects and helped to achieve a system of international verification. It is expected that the capabilities of the Organization for the Prohibition of Chemical Weapons (OPCW) to verify a state`s compliance with the {open_quotes}Chemical Weapons Convention{close_quotes} will require improvements. This report presents 18 important lessons learned from the experience of the IAEA and the U.S. Program of Technical Assistance to IAEA Safeguards (POTAS), organized into three tiers. Each lesson is presented in the report in the context of the difficulty, need and history in which the lesson was learned. Only the most important points are recapitulated in this executive summary.

  12. Colour marking of transparent materials by laser-induced plasma-assisted ablation (LIPAA)

    International Nuclear Information System (INIS)

    Hanada, Yasutaka; Sugioka, Koji; Miyamoto, Iwao; Midorikawa, Katsumi

    2007-01-01

    We demonstrate colour marking of a transparent material using laser-induced plasma-assisted ablation (LIPAA) system. After the LIPAA process, metal thin film is deposited on the surface of the ablated groove. This feature is applied to RGB (red, green and blue) colour marking by using specific metal targets. The metal targets, for instance, are Pb 3 O 4 for red, Cr 2 O 3 for green and [Cu(C 32 H 15 ClN 8 )] for blue colour marking. Additionally, adhesion of the metal thin film deposited on the processed groove by various experimental conditions is investigated

  13. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. A parametric study of the microwave plasma-assisted combustion of premixed ethylene/air mixtures

    Science.gov (United States)

    Fuh, Che A.; Wu, Wei; Wang, Chuji

    2017-11-01

    A parametric study of microwave argon plasma assisted combustion (PAC) of premixed ethylene/air mixtures was carried out using visual imaging, optical emission spectroscopy and cavity ringdown spectroscopy as diagnostic tools. The parameters investigated included the plasma feed gas flow rate, the plasma power, the fuel equivalence ratio and the total flow rate of the fuel/air mixture. The combustion enhancement effects were characterized by the minimum ignition power, the flame length and the fuel efficiency of the combustor. It was found that: (1) increasing the plasma feed gas flow rate resulted in a decrease in the flame length, an increase in the minimum ignition power for near stoichiometric fuel equivalence ratios and a corresponding decrease in the minimum ignition power for ultra-lean and rich fuel equivalence ratios; (2) at a constant plasma power, increasing the total flow rate of the ethylene/air mixture from 1.0 slm to 1.5 slm resulted in an increase in the flame length and a reduction in the fuel efficiency; (3) increasing the plasma power resulted in a slight increase in flame length as well as improved fuel efficiency with fewer C2(d) and CH(A) radicals present downstream of the flame; (4) increasing the fuel equivalence ratio caused an increase in flame length but at a reduced fuel efficiency when plasma power was kept constant; and (5) the ground state OH(X) number density was on the order of 1015 molecules/cm3 and was observed to drop downstream along the propagation axis of the flame at all parameters investigated. Results suggest that each of the parameters independently influences the PAC processes.

  15. Aerosol Vacuum-Assisted Plasma Ionization (Aero-VaPI) Coupled to Ion Mobility-Mass Spectrometry

    Science.gov (United States)

    Blair, Sandra L.; Ng, Nga L.; Zambrzycki, Stephen C.; Li, Anyin; Fernández, Facundo M.

    2018-02-01

    In this communication, we report on the real-time analysis of organic aerosol particles by Vacuum-assisted Plasma Ionization-Mass Spectrometry (Aero-VaPI-MS) using a home-built VaPI ion source coupled to a Synapt G2-S HDMS ion mobility-mass spectrometry (IM-MS) system. Standards of organic molecules of interest in prebiotic chemistry were used to generate aerosols. Monocaprin and decanoic acid aerosol particles were successfully detected in both the positive and negative ion modes, respectively. A complex aerosol mixture of different sizes of polymers of L-malic acid was also examined through ion mobility (IM) separations, resulting in the detection of polymers of up to eight monomeric units. This noncommercial plasma ion source is proposed as a low cost alternative to other plasma ionization platforms used for aerosol analysis, and a higher-performance alternative to more traditional aerosol mass spectrometers. VaPI provides robust online ionization of organics in aerosols without extensive ion activation, with the coupling to IM-MS providing higher peak capacity and excellent mass accuracy. [Figure not available: see fulltext.

  16. Systematic studies of covalent functionalization of carbon nanotubes via argon plasma-assisted UV grafting

    International Nuclear Information System (INIS)

    Yan, Y H; Cui, J; Chan-Park, M B; Wang, X; Wu, Q Y

    2007-01-01

    Single-walled carbon nanotubes (SWNTs) with 1-vinylimidazole species covalently attached to their sidewalls and end caps have been prepared by ultraviolet (UV) irradiation in 1-vinylimidazole monomer. This process can be greatly assisted by argon (Ar) plasma pretreatment, which generates more defect sites at the tube ends and sidewalls acting as the active sites for the subsequent UV grafting of 1-vinylimidazole molecules. The effects of total deposited energy of Ar plasma treatment, either by change of treatment time or discharge power, on the functionalization degree and structural morphology of the resulting nanotubes are systematically studied. By control of the Ar plasma treatment time within 5 min at the discharge power of 200 W, no visible chopping of the functionalized SWNTs was observed. Under this advised optimum processing condition, the functionalization degree, estimated by x-ray photoelectron spectroscopy (XPS) measurement, is as high as around 26 wt% 1-vinylimidazole molecules grafted onto the nanotubes. This method may be extended to other reactive vinyl monomers and offers another diverse way of covalent functionalization of SWNTs

  17. Plasma-assisted synthesis of monodispersed and robust Ruthenium ultrafine nanocatalysts for organosilane oxidation and oxygen evolution reactions

    NARCIS (Netherlands)

    Gnanakumar, E.S.; Ng, W.; Filiz, B.C.; Rothenberg, G.; Wang, S.; Xu, H.; Pastor-Pérez, L.; Pastor-Blas, M.M.; Sepúlveda-Escribano, A.; Yan, N.; Shiju, N.R.

    2017-01-01

    We report a facile and general approach for preparing ultrafine ruthenium nanocatalysts by using a plasma-assisted synthesis at <100 °C. The resulting Ru nanoparticles are monodispersed (typical size 2 nm) and remain that way upon loading onto carbon and TiO2 supports. This gives robust catalysts

  18. Theoretical modeling of the plasma-assisted catalytic growth and field emission properties of graphene sheet

    International Nuclear Information System (INIS)

    Sharma, Suresh C.; Gupta, Neha

    2015-01-01

    A theoretical modeling for the catalyst-assisted growth of graphene sheet in the presence of plasma has been investigated. It is observed that the plasma parameters can strongly affect the growth and field emission properties of graphene sheet. The model developed accounts for the charging rate of the graphene sheet; number density of electrons, ions, and neutral atoms; various elementary processes on the surface of the catalyst nanoparticle; surface diffusion and accretion of ions; and formation of carbon-clusters and large graphene islands. In our investigation, it is found that the thickness of the graphene sheet decreases with the plasma parameters, number density of hydrogen ions and RF power, and consequently, the field emission of electrons from the graphene sheet surface increases. The time evolution of the height of graphene sheet with ion density and sticking coefficient of carbon species has also been examined. Some of our theoretical results are in compliance with the experimental observations

  19. Plasma assisted NO{sub x} reduction in existing coal combustors. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Yao, S.C.; Russell, T.

    1991-12-31

    The feasibility of NO{sub x} reduction using plasma injection has been investigated. Both numerical and experimental methods were used in the development of this new NO{sub x}reduction technique. The numerical analysis was used to investigate various flow mechanisms in order to provide fundamental support in the development of this new NO{sub x} control technique. The calculations using this approach can give the information of the particle trajectories and distributions which are important for the design of the in-flame plasma injection configuration. The group model also established the necessary ground for further complete modeling of the whole process including the chemical kinetics. Numerical calculations were also performed for a turbulent gas flow field with variable properties. The results provided fundamental understanding of mixing effects encountered in the experiments at Pittsburgh Energy and Technology Center. A small scale experiment facility was designed and constructed at the heterogeneous combustion laboratory at Carnegie Mellon University. A series of tests were conducted in this setup to investigate the potential of the ammonia plasma injection for NO{sub x} reduction and parametric effects of this process. The experimental results are very promising. About 86% NO{sub x} reduction was achieved using ammonia radicals produced by argon plasma within the present test range. The total percentage of NO{sub x} reduction increases when ammonia flowrate, argon flow rate and initial NO concentration increase and when plasma power and the amount of excess air in the combustor decrease. A combined transport and reaction model was postulated for understanding the mechanism of NO{sub x} reduction using the plasma injection.

  20. Composition and partition functions of partially ionized hydrogen plasma in Non-Local Thermal Equilibrium (Non-LThE) and Non-Local Chemical Equilibrium (Non-LChE)

    International Nuclear Information System (INIS)

    Chen Kuan; Eddy, T.L.

    1993-01-01

    A GTME (Generalized MultiThermodynamic Equilibrium) plasma model is developed for plasmas in both Non-LThE (Non-Local Thermal Equilibrium) and Non-LChE (Non-Local Chemical Equilibrium). The model uses multitemperatures for thermal nonequilibrium and non-zero chemical affinities as a measure of the deviation from chemical equilibrium. The plasma is treated as an ideal gas with the Debye-Hueckel approximation employed for pressure correction. The proration method is used when the cutoff energy level is between two discrete levels. The composition and internal partition functions of a hydrogen plasma are presented for electron temperatures ranging from 5000 to 35000 K and pressures from 0.1 to 1000 kPa. Number densities of 7 different species of hydrogen plasma and internal partition functions of different energy modes (rotational, vibrational, and electronic excitation) are computed for three affinity values. The results differ from other plasma properties in that they 1) are not based on equilibrium properties; and 2) are expressed as a function of different energy distribution parameters (temperatures) within each energy mode of each species as appropriate. The computed number densities and partition functions are applicable to calculating the thermodynamic, transport, and radiation properties of a hydrogen plasma not in thermal and chemical equilibria. The nonequilibrium plasma model and plasma compositions presented in this paper are very useful to the diagnosis of high-speed and/or low-pressure plasma flows in which the assumptions of local thermal and chemical equilibrium are invalid. (orig.)

  1. Plasma basic concepts and nitrogen containing plasmas

    OpenAIRE

    Sanz Lluch, M. del Mar; Tanarro, Isabel

    2007-01-01

    Basic concepts related to plasmas are described as well as the typical characterization methods currently available. A brief overview about some plasma applications is given, but focusing on plasma used in material processing mainly devoted to the microelectronics industry. Finally, specific applications related to plasma-assisted MBE for nitrides and dilute nitrides are given, showing some interesting research works performed to that purpose, and giving the usual characterization techniques ...

  2. Plasma for Electrification of Chemical Industry: a Case Study on CO2 Reduction

    NARCIS (Netherlands)

    van Rooij, G. J.; Akse, H.; Bongers, W.; van de Sanden, M. C. M.

    2018-01-01

    Significantly increasing the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure

  3. 21 CFR 173.315 - Chemicals used in washing or to assist in the peeling of fruits and vegetables.

    Science.gov (United States)

    2010-04-01

    ... peeling of fruits and vegetables. 173.315 Section 173.315 Food and Drugs FOOD AND DRUG ADMINISTRATION... used in washing or to assist in the peeling of fruits and vegetables. Chemicals may be safely used to wash or to assist in the peeling of fruits and vegetables in accordance with the following conditions...

  4. Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, September 8-9, 2000

    International Nuclear Information System (INIS)

    Janev, R.K.; Schultz, D.R.

    2000-01-01

    A brief proceedings of the two-day Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, organized by the ORNL Controlled Fusion Atomic Data Center on September 8-9, 2000, is presented. The conclusions and recommendations of the workshop regarding the topics discussed and the collaboration of the U.S. fusion research and atomic physics communities are also summarized

  5. Mathematical modeling of quartz particle melting process in plasma-chemical reactor

    Energy Technology Data Exchange (ETDEWEB)

    Volokitin, Oleg, E-mail: volokitin-oleg@mail.ru; Volokitin, Gennady, E-mail: vgg-tomsk@mail.ru; Skripnikova, Nelli, E-mail: nks2003@mai.ru; Shekhovtsov, Valentin, E-mail: shehovcov2010@yandex.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Vlasov, Viktor, E-mail: rector@tsuab.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Ave., 634050, Tomsk (Russian Federation)

    2016-01-15

    Among silica-based materials vitreous silica has a special place. The paper presents the melting process of a quartz particle under conditions of low-temperature plasma. A mathematical model is designed for stages of melting in the experimental plasma-chemical reactor. As calculation data show, quartz particles having the radius of 0.21≤ r{sub p} ≤0.64 mm completely melt at W = 0.65 l/s particle feed rate depending on the Nusselt number, while 0.14≤ r{sub p} ≤0.44 mm particles melt at W = 1.4 l/s. Calculation data showed that 2 mm and 0.4 mm quartz particles completely melted during and 0.1 s respectively. Thus, phase transformations occurred in silicon dioxide play the important part in its heating up to the melting temperature.

  6. Determination of clebopride in plasma by capillary gas chromatography-negative-ion chemical ionization mass spectrometry.

    Science.gov (United States)

    Robinson, P R; Jones, M D; Maddock, J

    1988-11-18

    A procedure for the analysis of clebopride in plasma using capillary gas chromatography-negative-ion chemical ionization mass spectrometry has been developed. Employing an ethoxy analogue as internal standard, the two compounds were extracted from basified plasma using dichloromethane. Subsequent reaction with heptafluorobutyryl imidazole produced volatile monoheptafluorobutyryl derivatives whose ammonia negative-ion mass spectra proved ideal for selected-ion monitoring. The recovery of clebopride from plasma at 0.536 nmol/l was found to be 85.5 +/- 0.9% (n = 3) whilst measurement down to 0.268 nmol/l was possible with a coefficient of variation of 7.9%. Plasma levels of the compound are reported in two volunteers following ingestion of 1 mg of clebopride as the malate salt.

  7. Plasma assisted nitriding for micro-texturing onto martensitic stainless steels*

    Directory of Open Access Journals (Sweden)

    Katoh Takahisa

    2015-01-01

    Full Text Available Micro-texturing method has grown up to be one of the most promising procedures to form micro-lines, micro-dots and micro-grooves onto the mold-die materials and to duplicate these micro-patterns onto metallic or polymer sheets via stamping or injection molding. This related application requires for large-area, fine micro-texturing onto the martensitic stainless steel mold-die materials. A new method other than laser-machining, micro-milling or micro-EDM is awaited for further advancement of this micro-texturing. In the present paper, a new micro-texturing method is developed on the basis of the plasma assisted nitriding to transform the two-dimensionally designed micro-patterns to the three dimensional micro-textures in the martensitic stainless steels. First, original patterns are printed onto the surface of stainless steel molds by using the dispenser or the ink-jet printer. Then, the masked mold is subjected to high density plasma nitriding; the un-masked surfaces are nitrided to have higher hardness, 1400 Hv than the matrix hardness, 200 Hv of stainless steels. This nitrided mold is further treated by sand-blasting to selectively remove the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel mold is fabricated as a tool to duplicate these micro-patterns onto the plastic materials by the injection molding.

  8. Water-assisted growth of graphene-carbon nanotube hybrids in plasma

    Science.gov (United States)

    Tewari, Aarti; Ghosh, Santanu; Srivastava, Pankaj

    2018-04-01

    The enhanced growth of graphene-carbon nanotube (CNT) hybrids in a hydrocarbon and hydrogen plasma assisted by water is numerically formulated. The catalyst activity and agglomeration of catalyst particles are the rate determining factors in the growth of hybrids and their constituents, i.e., the CNT and graphene. The water vapor concentration is varied to investigate its effect on the growth process. The enhanced catalyst activity on account of oxidation by hydroxyl ions of water to impede the agglomeration of catalyst particles and the removal of amorphous carbon through etching by hydrogen ions of water are seen to be the main driving forces behind the many fold increase in the dimensions of constituent nanostructures and the hybrids with water vapor concentration. Importantly, beyond a certain specific water vapor concentration, the growth rates dropped due to active oxidation of the catalyst particle.

  9. ECRH-assisted plasma start-up with toroidally inclined launch: multi-machine comparison and perspectives for ITER

    International Nuclear Information System (INIS)

    Stober, J.; Hobirk, J.; Lunt, T.; Jackson, G.L.; Hyatt, A.W.; Luce, T.; Ascasibar, E.; Cappa, A.; Bae, Y.-S.; Joung, M.; Bucalossi, J.; Casper, T.; Gribov, Y.; Cho, M.-H.; Jeong, J.-H.; Namkung, W.; Park, S.-I.; Granucci, G.; Hanada, K.; Ide, S.

    2011-01-01

    Electron cyclotron resonance heating (ECRH)-assisted plasma breakdown is foreseen with full and half magnetic field in ITER. As reported earlier, the corresponding O1- and X2-schemes have been successfully used to assist pre-ionization and breakdown in present-day devices. This contribution reports on common experiments studying the effect of toroidal inclination of the ECR beam, which is ≥20 0 in ITER. All devices could demonstrate successful breakdown assistance for this case also, although in some experiments the necessary power was almost a factor of 2 higher compared with perpendicular launch. Differences between the devices with regard to the required power and vertical field are discussed and analysed. In contrast to most of these experiments, ITER will build up loop voltage prior to the formation of the field null due to the strong shielding by the vessel. Possible consequences of this difference are discussed.

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. Aqueous media treatment and decontamination of hazardous chemical and biological substances by contact plasma

    International Nuclear Information System (INIS)

    Pivovarov, A.; Kravchenko, A.; Kublanovsky, V.

    2009-01-01

    Usage of non-equilibrium contact plasma for processes of decontamination and neutralization in conditions of manifestation of chemical, biological and radiation terrorism takes on special significance due to portability of equipment and its mobility in places where toxic liquid media hazardous for people's health are located. Processes of decontamination of aqueous media, seminated with pathogenic microorganisms and viruses, treatment of water containing toxic heavy metals, cyanides, surface-active substances, and heavy radioactive elements, are investigated. Examples of activation processes in infected water and toxic aqueous solutions present convincing evidence of the way, how new quality technological approach for achievement of high enough degree of the said media treatment is used in each specific case. Among new properties of water activated as a result of action of non-equilibrium contact plasma, it is necessary to mention presence of cluster structure, confirmed by well-known spectral and physical-chemical methods, presence of peroxide compounds, active particles and radicals. Anti-microbial activity which is displayed under action of plasma in aqueous media (chemically pure water, drinking water, aqueous solutions of sodium chloride, potassium iodide, as well as other inorganic compounds) towards wide range of pathogenic and conventionally pathogenic microorganisms allows use them as reliable, accessible and low-cost preparations for increasing the degree of safety of food products. Combination of such processes with known methods of filtration and ultra-filtration gives an efficient and available complex capable of withstanding any threats, which may arise for population and living organisms. Present-day level of machine-building, electrical engineering, and electronics allows predict creation of industrial plasma installations, adapted to conditions of various terrorist threats, with minimized power consumption and optimized technological parameters

  12. Aqueous media treatment and decontamination of hazardous chemical and biological substances by contact plasma

    Energy Technology Data Exchange (ETDEWEB)

    Pivovarov, A; Kravchenko, A [Ukrainian State University of Chemical Engineering, Dnepropetrovsk (Ukraine); Kublanovsky, V [V. I. Vernadsky Institute of General and Inorganic Chemistry of National Academy of Science, Kiev (Ukraine)

    2009-07-01

    Usage of non-equilibrium contact plasma for processes of decontamination and neutralization in conditions of manifestation of chemical, biological and radiation terrorism takes on special significance due to portability of equipment and its mobility in places where toxic liquid media hazardous for people's health are located. Processes of decontamination of aqueous media, seminated with pathogenic microorganisms and viruses, treatment of water containing toxic heavy metals, cyanides, surface-active substances, and heavy radioactive elements, are investigated. Examples of activation processes in infected water and toxic aqueous solutions present convincing evidence of the way, how new quality technological approach for achievement of high enough degree of the said media treatment is used in each specific case. Among new properties of water activated as a result of action of non-equilibrium contact plasma, it is necessary to mention presence of cluster structure, confirmed by well-known spectral and physical-chemical methods, presence of peroxide compounds, active particles and radicals. Anti-microbial activity which is displayed under action of plasma in aqueous media (chemically pure water, drinking water, aqueous solutions of sodium chloride, potassium iodide, as well as other inorganic compounds) towards wide range of pathogenic and conventionally pathogenic microorganisms allows use them as reliable, accessible and low-cost preparations for increasing the degree of safety of food products. Combination of such processes with known methods of filtration and ultra-filtration gives an efficient and available complex capable of withstanding any threats, which may arise for population and living organisms. Present-day level of machine-building, electrical engineering, and electronics allows predict creation of industrial plasma installations, adapted to conditions of various terrorist threats, with minimized power consumption and optimized technological parameters

  13. Microwave-assisted Chemical Transformations

    Science.gov (United States)

    In recent years, there has been a considerable interest in developing sustainable chemistries utilizing green chemistry principles. Since the first published report in 1986 by Gedye and Giguere on microwave assisted synthesis in household microwave ovens, the use of microwaves as...

  14. Detection of lung cancer using plasma protein profiling by matrix-assisted laser desorption/ionization mass spectrometry.

    Science.gov (United States)

    Shevchenko, Valeriy E; Arnotskaya, Natalia E; Zaridze, David G

    2010-01-01

    There are no satisfactory plasma biomarkers which are available for the early detection and monitoring of lung cancer, one of the most frequent cancers worldwide. The aim of this study is to explore the application of matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-ToF MS) to plasma proteomic patterns to distinguish lung cancer patients from healthy individuals. The EDTA plasma samples have been pre-fractionated using magnetic bead kits functionalized with weak cation exchange coatings. We compiled MS protein profiles for 90 patients with squamous cell carcinomas (SCC) and compared them with profiles from 187 healthy controls. The MALDI-ToF spectra were analyzed statistically using ClinProTools bioinformatics software. Depending on the sample used, up to 441 peaks/spectrum could be detected in a mass range of 1000-20,000 Da; 33 of these proteins had statistically differential expression levels between SCC and control plasma (P 90%) in external validation test. These results suggest that plasma MALDI-ToF MS protein profiling can distinguish patients with SCC and also from healthy individuals with relatively high sensitivity and specificity and that MALDI- ToF MS is a potential tool for the screening of lung cancer.

  15. Chemical interaction and adhesion characteristics at the interface of metals (Cu, Ta) and low-k cyclohexane-based plasma polymer (CHexPP) films

    International Nuclear Information System (INIS)

    Kim, K.J.; Kim, K.S.; Lee, N.-E.; Choi, J.; Jung, D.

    2001-01-01

    Chemical interaction and adhesion characteristics between metals (Cu, Ta) and low-k plasma-treated cyclohexane-based plasma polymer (CHexPP) films were studied. In order to generate new functional groups that may contribute to the improvement of adhesion between metal and plasma polymer, we performed O 2 , N 2 , and H 2 /He mixture plasma treatment on the surfaces of CHexPP films. Chemical interactions at the interface between metals (Cu, Ta) and plasma-treated CHexPP films were analyzed by x-ray photoelectron spectroscopy. The effect of plasma treatment and thermal annealing on the adhesion characteristics was measured by a tape test and scratch test. The formation of new binding states on the surface of plasma-treated CHexPP films improved adhesion characteristics between metals and CHexPP films. Thermal annealing improves the adhesion property of Cu/CHexPP films, but degrades the adhesion property of Ta/CHexPP films

  16. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  17. Time-dependent two-temperature chemically non-equilibrium modelling of high-power Ar-N2 pulse-modulated inductively coupled plasmas at atmospheric pressure

    International Nuclear Information System (INIS)

    Tanaka, Yasunori

    2006-01-01

    A time-dependent, two-dimensional, two-temperature and chemical non-equilibrium model was developed for high-power Ar-N 2 pulse-modulated inductively coupled plasmas (PMICPs) at atmospheric pressure. The high-power PMICP is a new technique for sustaining high-power induction plasmas. It can control the plasma temperature and radical densities in the time domain. The PMICP promotes non-equilibrium effects by a sudden application of electric field, even in the high-power density plasmas. The developed model accounts separately for the time-dependent energy conservation equations of electrons and heavy particles. This model also considers reaction heat effects and energy transfer between electrons and heavy particles as well as enthalpy flow resulting from diffusion caused by the particle density gradient. Chemical non-equilibrium effects are also taken into account by solving time-dependent mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from 30 chemical reactions. Transport and thermodynamic properties of Ar-N 2 plasmas are calculated self-consistently using the first order approximation of the Chapman-Enskog method at each position and iteration using the local particle composition, heavy particle temperature and electron temperature. This model is useful to discuss time evolution in temperature, gas flow fields and distribution of chemical species

  18. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  19. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  20. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  1. Plasma flow reactor for steady state monitoring of physical and chemical processes at high temperatures.

    Science.gov (United States)

    Koroglu, Batikan; Mehl, Marco; Armstrong, Michael R; Crowhurst, Jonathan C; Weisz, David G; Zaug, Joseph M; Dai, Zurong; Radousky, Harry B; Chernov, Alex; Ramon, Erick; Stavrou, Elissaios; Knight, Kim; Fabris, Andrea L; Cappelli, Mark A; Rose, Timothy P

    2017-09-01

    We present the development of a steady state plasma flow reactor to investigate gas phase physical and chemical processes that occur at high temperature (1000 flow injector). We have modeled the system using computational fluid dynamics simulations that are bounded by measured temperatures. In situ line-of-sight optical emission and absorption spectroscopy have been used to determine the structures and concentrations of molecules formed during rapid cooling of reactants after they pass through the plasma. Emission spectroscopy also enables us to determine the temperatures at which these dynamic processes occur. A sample collection probe inserted from the open end of the reactor is used to collect condensed materials and analyze them ex situ using electron microscopy. The preliminary results of two separate investigations involving the condensation of metal oxides and chemical kinetics of high-temperature gas reactions are discussed.

  2. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  3. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  4. Temperature measurement of plasma-assisted flames: comparison between optical emission spectroscopy and 2-color laser induced fluorescence techniques

    KAUST Repository

    Lacoste, Deanna A.

    2015-03-30

    Accurate thermometry of highly reactive environments, such as plasma-assisted combustion, is challenging. With the help of conical laminar premixed methane-air flames, this study compares two thermometry techniques for the temperature determination in a combustion front enhanced by nanosecond repetitively pulsed (NRP) plasma discharges. Based on emission spectroscopic analysis, the results show that the rotational temperature of CH(A) gives a reasonable estimate for the adiabatic flame temperature, only for lean and stoichiometric conditions. The rotational temperature of N2(C) is found to significantly underestimate the flame temperature. The 2-color OH-PLIF technique gives correct values of the flame temperature.

  5. Temperature measurement of plasma-assisted flames: comparison between optical emission spectroscopy and 2-color laser induced fluorescence techniques

    KAUST Repository

    Lacoste, Deanna A.; Heitz, Sylvain A.; Moeck, Jonas P.

    2015-01-01

    Accurate thermometry of highly reactive environments, such as plasma-assisted combustion, is challenging. With the help of conical laminar premixed methane-air flames, this study compares two thermometry techniques for the temperature determination in a combustion front enhanced by nanosecond repetitively pulsed (NRP) plasma discharges. Based on emission spectroscopic analysis, the results show that the rotational temperature of CH(A) gives a reasonable estimate for the adiabatic flame temperature, only for lean and stoichiometric conditions. The rotational temperature of N2(C) is found to significantly underestimate the flame temperature. The 2-color OH-PLIF technique gives correct values of the flame temperature.

  6. Plasma for electrification of chemical industry : a case study on CO2 reduction

    NARCIS (Netherlands)

    Van Rooij, G.J.; Akse, H.N.; Bongers, W.A.; Van De Sanden, M.C.M.

    2018-01-01

    Significant growth of the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure

  7. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  8. Use of computer-assisted prediction of toxic effects of chemical substances

    International Nuclear Information System (INIS)

    Simon-Hettich, Brigitte; Rothfuss, Andreas; Steger-Hartmann, Thomas

    2006-01-01

    The current revision of the European policy for the evaluation of chemicals (REACH) has lead to a controversy with regard to the need of additional animal safety testing. To avoid increases in animal testing but also to save time and resources, alternative in silico or in vitro tests for the assessment of toxic effects of chemicals are advocated. The draft of the original document issued in 29th October 2003 by the European Commission foresees the use of alternative methods but does not give further specification on which methods should be used. Computer-assisted prediction models, so-called predictive tools, besides in vitro models, will likely play an essential role in the proposed repertoire of 'alternative methods'. The current discussion has urged the Advisory Committee of the German Toxicology Society to present its position on the use of predictive tools in toxicology. Acceptable prediction models already exist for those toxicological endpoints which are based on well-understood mechanism, such as mutagenicity and skin sensitization, whereas mechanistically more complex endpoints such as acute, chronic or organ toxicities currently cannot be satisfactorily predicted. A potential strategy to assess such complex toxicities will lie in their dissection into models for the different steps or pathways leading to the final endpoint. Integration of these models should result in a higher predictivity. Despite these limitations, computer-assisted prediction tools already today play a complementary role for the assessment of chemicals for which no data is available or for which toxicological testing is impractical due to the lack of availability of sufficient compounds for testing. Furthermore, predictive tools offer support in the screening and the subsequent prioritization of compound for further toxicological testing, as expected within the scope of the European REACH program. This program will also lead to the collection of high-quality data which will broaden the

  9. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Science.gov (United States)

    Moraczewski, Krzysztof; Rytlewski, Piotr; Malinowski, Rafał; Żenkiewicz, Marian

    2015-08-01

    The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm2 was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  10. The calculation of electron chemical potential and ion charge state and their influence on plasma conductivity in electrical explosion of metal wire

    International Nuclear Information System (INIS)

    Shi, Zongqian; Wang, Kun; Li, Yao; Shi, Yuanjie; Wu, Jian; Jia, Shenli

    2014-01-01

    The electron chemical potential and ion charge state (average ion charge and ion distribution) are important parameters in calculating plasma conductivity in electrical explosion of metal wire. In this paper, the calculating method of electron chemical potential and ion charge state is discussed at first. For the calculation of electron chemical potential, the ideal free electron gas model and Thomas-Fermi model are compared and analyzed in terms of the coupling constant of plasma. The Thomas-Fermi ionization model, which is used to calculate ion charge state, is compared with the method based on Saha equation. Furthermore, the influence of electron degenerated energy levels and ion excited states in Saha equation on the ion charge state is also analyzed. Then the influence of different calculating methods of electron chemical potential and ion charge state on plasma conductivity is discussed by applying them in the Lee-More conductivity model

  11. Chemical characterization of materials by inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Deb, S.B.; Nagar, B.K.; Saxena, M.K.; Ramakumar, K.L.

    2009-11-01

    An Inductively Coupled Plasma Mass Spectrometer was procured for trace elemental determination in diverse samples. Since its installation a number of analytical measurements have been carried out on different sample matrices. These include chemical quality control measurements of nuclear fuel and other materials such as uranium metal. Uranium peroxide, ADU, ThO 2 , UO 2 ; isotopic composition of B, Li; chemical characterization of simulated ThO 2 + 2%UO 2 fuel; sodium zirconium phosphate and trace metallic elements in zirconium; Antarctica rock samples and wet phosphoric acid. Necessary separation methodologies required for effective removal of matrix were indigenously developed. In addition, a rigorous analytical protocol, which includes various calibration methodologies such as mass calibration, response calibration, detector cross calibration and linearity check over the entire dynamic range of 109 required for quantitative determination of elements at trace and ultra trace level,, has been standardized. This report summarizes efforts of RACD that have been put in this direction for the application of ICP-MS for analytical measurements. (author)

  12. Study of the efficiency of chemically assisted enucleation method for handmade cloning in goat (Capra hircus).

    Science.gov (United States)

    Akshey, Y S; Malakar, D; De, A K; Jena, M K; Sahu, S; Dutta, R

    2011-08-01

    The present investigation was carried out to find an efficient chemically assisted procedure for enucleation of goat oocytes related to handmade cloning (HMC) technique. After 22-h in vitro maturation, oocytes were incubated with 0.5 μg/ml demecolcine for 2 h. Cumulus cells were removed by pipetting and vortexing in 0.5 mg/ml hyaluronidase, and zona pellucida were digested with pronase. Oocytes with extrusion cones were subjected to oriented bisection. One-third of the cytoplasm with the extrusion cone was removed with a micro blade. The remaining cytoplasts were used as recipients in HMC. Goat foetal fibroblasts were used as nuclear donors. The overall efficiency measured as the number of cytoplasts obtained per total number of oocytes used was significantly (p < 0.05) higher in chemically assisted handmade enucleation (CAHE) than oriented handmade enucleation without demecolcine (OHE) (80.02 ± 1.292% vs. 72.9 ± 1.00%, respectively, mean ± SEM). The reconstructed and activated embryos were cultured in embryo development medium (EDM) for 7 days. Fusion, cleavage and blastocyst development rate were 71.63 ± 1.95%, 92.94 ± 0.91% and 23.78 ± 3.33% (mean ± SEM), respectively which did not differ significantly from those achieved with random handmade enucleation and OHE. In conclusion, chemically assisted enucleation is a highly efficient and reliable enucleation method for goat HMC which eliminates the need of expensive equipment (inverted fluorescence microscope) and potentially harmful chromatin staining and ultraviolet (UV) irradiation for cytoplast selection. © 2010 Blackwell Verlag GmbH.

  13. Flow reactor studies of non-equilibrium plasma-assisted oxidation of n-alkanes.

    Science.gov (United States)

    Tsolas, Nicholas; Lee, Jong Guen; Yetter, Richard A

    2015-08-13

    The oxidation of n-alkanes (C1-C7) has been studied with and without the effects of a nanosecond, non-equilibrium plasma discharge at 1 atm pressure from 420 to 1250 K. Experiments have been performed under nearly isothermal conditions in a flow reactor, where reactive mixtures are diluted in Ar to minimize temperature changes from chemical reactions. Sample extraction performed at the exit of the reactor captures product and intermediate species and stores them in a multi-position valve for subsequent identification and quantification using gas chromatography. By fixing the flow rate in the reactor and varying the temperature, reactivity maps for the oxidation of fuels are achieved. Considering all the fuels studied, fuel consumption under the effects of the plasma is shown to have been enhanced significantly, particularly for the low-temperature regime (T<800 K). In fact, multiple transitions in the rates of fuel consumption are observed depending on fuel with the emergence of a negative-temperature-coefficient regime. For all fuels, the temperature for the transition into the high-temperature chemistry is lowered as a consequence of the plasma being able to increase the rate of fuel consumption. Using a phenomenological interpretation of the intermediate species formed, it can be shown that the active particles produced from the plasma enhance alkyl radical formation at all temperatures and enable low-temperature chain branching for fuels C3 and greater. The significance of this result demonstrates that the plasma provides an opportunity for low-temperature chain branching to occur at reduced pressures, which is typically observed at elevated pressures in thermal induced systems. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  14. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Drag force in strongly coupled, anisotropic plasma at finite chemical potential

    Energy Technology Data Exchange (ETDEWEB)

    Chakraborty, Somdeb; Haque, Najmul [Theory Division, Saha Institute of Nuclear Physics,1/AF Bidhannagar, Kolkata-700 064 (India)

    2014-12-30

    We employ methods of gauge/string duality to analyze the drag force on a heavy quark moving through a strongly coupled, anisotropic N=4,SU(N) super Yang-Mills plasma in the presence of a finite U(1) chemical potential. We present numerical results valid for any value of the anisotropy parameter and the U(1) charge density and arbitrary direction of the quark velocity with respect to the direction of anisotropy. In the small anisotropy limit we are also able to furnish analytical results.

  16. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  17. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  18. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  19. Investigation of detached recombining deuterium plasma and carbon chemical erosion in the toroidal divertor simulator NAGDIS-T

    International Nuclear Information System (INIS)

    Yada, K.; Matsui, N.; Ohno, N.; Kajita, S.; Takamura, S.; Takagi, M.

    2009-01-01

    Detached deuterium recombining plasma has been generated in the toroidal divertor simulator. The electron temperature (0.1-0.4 eV) and density (∼10 18 m -3 ) in the detached plasmas were evaluated with a spectroscopic method using a series of deuterium Balmer line emission from highly excited levels and the Stark broadening of D(2-12). We have investigated the role of volume plasma recombination through Electron-Ion Recombination (EIR) and Molecular Activated Recombination (MAR) processes. Moreover, the carbon erosion in the detached deuterium plasma has been studied with a weight loss method. It is found that deuterium neutrals generated by EIR process could have strong influence on the carbon chemical erosion.

  20. Difference in chemical reactions in bulk plasma and sheath regions during surface modification of graphene oxide film using capacitively coupled NH{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung-Youp; Kim, Chan; Kim, Hong Tak, E-mail: zam89blue@gmail.com [Department of Physics, Kyungpook National University, Daegu 702-701 (Korea, Republic of)

    2015-09-14

    Reduced graphene oxide (r-GO) films were obtained from capacitively coupled NH{sub 3} plasma treatment of spin-coated graphene oxide (GO) films at room temperature. Variations were evaluated according to the two plasma treatment regions: the bulk plasma region (R{sub bulk}) and the sheath region (R{sub sheath}). Reduction and nitridation of the GO films began as soon as the NH{sub 3} plasma was exposed to both regions. However, with the increase in treatment time, the reduction and nitridation reactions differed in each region. In the R{sub bulk}, NH{sub 3} plasma ions reacted chemically with oxygen functional groups on the GO films, which was highly effective for reduction and nitridation. While in the R{sub sheath}, physical reactions by ion bombardment were dominant because plasma ions were accelerated by the strong electrical field. The accelerated plasma ions reacted not only with the oxygen functional groups but also with the broken carbon chains, which caused the removal of the GO films by the formation of hydrocarbon gas species. These results showed that reduction and nitridation in the R{sub bulk} using capacitively coupled NH{sub 3} plasma were very effective for modifying the properties of r-GO films for application as transparent conductive films.

  1. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  2. Buffalo plasma fibronectin: a physico-chemical study.

    Science.gov (United States)

    Ahmed, N; Chandra, R; Raj, H G

    2001-12-01

    Plasma fibronectin (FN) of buffalo (Babulis babulis) was purified to apparent homogeneity, using gelatin-Sepharose and heparin-Sepharose affinity columns. It was found to have two subunits of molecular mass 246 kDa and 228 kDa, on SDS-gel. Its immunological cross-reactivity with anti-human plasma FN was confirmed by Western blotting. The amino acid composition was found to be similar to that of human and bovine plasma FNs. Buffalo plasma FN contained 2.23% neutral hexoses and 1.18% sialic acids. No titrable sulfhydryl group could be detected in the absence of denaturant. Reaction with DTNB indicated 3.4 sulfhydryl groups in the molecule, whereas BDC-OH titration gave a value of 3.8 -SH groups in buffalo plasma FN. Stoke's radius, intrinsic viscosity, diffusion coefficient and frictional ratio indicated that buffalo plasma FN did not have a compact globular conformation at physiological pH and ionic strength. Molecular dimensions (average length, 120 nm; molar mass to length ratio, 3950 nm(-1) and mean diameter, 2.4 nm) as revealed by rotary shadowing electron microscopy further supported the extended conformation of buffalo plasma FN. These results show that buffalo plasma FN has similar properties as that of human plasma FN.

  3. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    the magnet has also shown a better radial confinement with magnets exhibiting high length over diameter ratios. In addition, the numerical study corroborates the results of the experimental study, i.e. an ECR coupling region close to the equatorial plane of the magnet and not near the end of the coaxial microwave line. Finally, these results have been successfully applied to plasma assisted sputtering of targets allowing, in particular, their uniform erosion. (author)

  4. Bilayer–metal assisted chemical etching of silicon microwire arrays for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    R. W. Wu

    2016-02-01

    Full Text Available Silicon microwires with lateral dimension from 5 μm to 20 μm and depth as long as 20 μm are prepared by bilayer metal assisted chemical etching (MaCE. A bilayer metal configuration (Metal 1 / Metal 2 was applied to assist etching of Si where metal 1 acts as direct catalyst and metal 2 provides mechanical support. Different metal types were investigated to figure out the influence of metal catalyst on morphology of etched silicon. We find that silicon microwires with vertical side wall are produced when we use Ag/Au bilayer, while cone–like and porous microwires formed when Pt/Au is applied. The different micro-/nano-structures in as-etched silicon are demonstrated to be due to the discrepancy of work function of metal catalyst relative to Si. Further, we constructed a silicon microwire arrays solar cells in a radial p–n junction configurations in a screen printed aluminum paste p–doping process.

  5. An investigation into the effective surface passivation of quantum dots by a photo-assisted chemical method

    Directory of Open Access Journals (Sweden)

    So-Yeong Joo

    2018-01-01

    Full Text Available In this study, we have developed an effective amino passivation process for quantum dots (QDs at room temperature and have investigated a passivation mechanism using a photo-assisted chemical method. As a result of the reverse reaction of the H2O molecules, the etching kinetics of the photo-assisted chemical method increased upon increasing the 3-amino-1-propanol (APOL/H2O ratio of the etching solution. Photon-excited electron-hole pairs lead to strong bonding between the organic and surface atoms of the QDs, and results in an increase of the quantum yield (QY%. This passivation method is also applicable to CdSe/ZnSe core/shell structures of QDs, due to the passivation of mid-gap defects states at the interface. The QY% of the as-synthesized CdSe QDs is dramatically enhanced by the amino passivation from 37% to 75% and the QY% of the CdSe/ZnSe core/shell QDs is also improved by ∼28%.

  6. Development of a plasma assisted ITER level controlled heat source and observation of novel micro/nanostructures produced upon exposure of tungsten targets

    Energy Technology Data Exchange (ETDEWEB)

    Aomoa, N.; Sarmah, Trinayan; Sah, Puspalata [CIMPLE-PSI Laboratory, Centre of Plasma Physics-Institute for Plasma Research, Sonapur 782 402 Assam (India); Chaudhuri, P.; Khirwarker, S.; Ghosh, J. [Institute for Plasma Research, Gandhinagar 382428 Gujarat (India); Satpati, B. [Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700 064 (India); Kakati, M., E-mail: mayurkak@rediffmail.com [CIMPLE-PSI Laboratory, Centre of Plasma Physics-Institute for Plasma Research, Sonapur 782 402 Assam (India); De Temmerman, G. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046 Saint Paul Lez Durance, Cedex (France)

    2016-05-15

    Highlights: • Developed a plasma assisted ITER level high heat flux device for material testing. • The beam deposits over 10 MW/m{sup 2} flux uniformly over a remote material target. • Hopper micro-crystals were growing while exposing Plansee tungsten in the device. • CIMPLE-PSI being developed for exact reproduction of Tokomak Divertor conditions. - Abstract: This paper reports on the development of a simple, low-cost, segmented plasma torch assisted high-heat flux device for material testing, which can simulate the extreme heat flux expected in future fusion devices. Calorimetric measurements confirmed uniform heat deposition by the well collimated argon plasma beam over a target surface with power fluxes in excess of 10 MW/m{sup 2} during high current, high gas flow rate operations. To understand the outcome of possible melting of first wall material in an ITER like machine, an Plansee tungsten target was exposed in this device, which witnessed growth of micrometer level Hopper crystals and their aggregation to vertical grains in central exposed region. Increase in viscosity of the metal during high under-cooling is believed to have lead to the skeletal patterns, observed for the first time for tungsten here. Transmission electron microscopy confirmed that re-solidified grains on the target actually had crystalline substructures in the nanometer level. This laboratory is in the process of developing an exact linear Tokamak Divertor simulator, where a magnetized hydrogen/helium collimated plasma jet will be produced at higher vacuum, for plasma material interaction studies with direct relevance to modern plasma fusion machines.

  7. A quantitative assay of cortisol in human plasma by high performance liquid chromatography using a selective chemically bonded stationary phase

    NARCIS (Netherlands)

    van den Berg, J.H.M.; Mol, C.R.; Deelder, R.S.; Thijssen, J.H.H.

    1977-01-01

    The extraction and subsequent liquid chromatographic analysis of human plasma samples for cortisol is described. Extraction and chromatography are optimized, resulting in a recovery for cortisol of 96% and a detection limit of 1 microgram cortisol in 100 ml plasma. The application of two chemically

  8. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    International Nuclear Information System (INIS)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-01-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented

  9. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    Science.gov (United States)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-02-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.

  10. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  11. Experimental Study on the Plasma Purification for Diesel Engine Exhaust Gas

    Science.gov (United States)

    Chen, Jing; Zu, Kan; Wang, Mei

    2018-02-01

    It is known that the use of ternary catalysis is capable of significantly reducing the emission of pollutants from petrol vehicles. However, the disadvantages such as the temperature and other limitations make it unsuitable for diesel engines. The plasma-assisted catalyst technology has been applied in dealing with the diesel exhaust in the experiment in order to do further research on the effects of plasma in exhaust processing. The paper not only includes the experimental observation on the change of particle concentration after the operation of purification device, but also builds the kinetic model of chemical reactions to simulate the reactions of nitrogen oxides in plasma through using the software of Matlab, then compares the calculation results with experimental samples and finally gets some useful conclusions in practice.

  12. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  13. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  14. Chemical kinetics and relaxation of non-equilibrium air plasma generated by energetic photon and electron beams

    International Nuclear Information System (INIS)

    Maulois, Melissa; Ribière, Maxime; Eichwald, Olivier; Yousfi, Mohammed; Azaïs, Bruno

    2016-01-01

    The comprehension of electromagnetic perturbations of electronic devices, due to air plasma-induced electromagnetic field, requires a thorough study on air plasma. In the aim to understand the phenomena at the origin of the formation of non-equilibrium air plasma, we simulate, using a volume average chemical kinetics model (0D model), the time evolution of a non-equilibrium air plasma generated by an energetic X-ray flash. The simulation is undertaken in synthetic air (80% N_2 and 20% O_2) at ambient temperature and atmospheric pressure. When the X-ray flash crosses the gas, non-relativistic Compton electrons (low energy) and a relativistic Compton electron beam (high energy) are simultaneously generated and interact with the gas. The considered chemical kinetics scheme involves 26 influent species (electrons, positive ions, negative ions, and neutral atoms and molecules in their ground or metastable excited states) reacting following 164 selected reactions. The kinetics model describing the plasma chemistry was coupled to the conservation equation of the electron mean energy, in order to calculate at each time step of the non-equilibrium plasma evolution, the coefficients of reactions involving electrons while the energy of the heavy species (positive and negative ions and neutral atoms and molecules) is assumed remaining close to ambient temperature. It has been shown that it is the relativistic Compton electron beam directly created by the X-ray flash which is mainly responsible for the non-equilibrium plasma formation. Indeed, the low energy electrons (i.e., the non-relativistic ones) directly ejected from molecules by Compton collisions contribute to less than 1% on the creation of electrons in the plasma. In our simulation conditions, a non-equilibrium plasma with a low electron mean energy close to 1 eV and a concentration of charged species close to 10"1"3" cm"−"3 is formed a few nanoseconds after the peak of X-ray flash intensity. 200 ns after the

  15. Photon production in an expanding and chemically equilibrating gluon-enriched plasma

    International Nuclear Information System (INIS)

    Kaempfer, B.; Technische Univ. Dresden; Pavlenko, O.P.; AN Ukrainskoj SSR, Kiev

    1993-12-01

    Photon production in a longitudinally and transversely expanding gluon plasma with initially little quark admixture is considered. Chemical equilibration of quarks and gluons is followed by rate equations. The yields of hard photons with E ≥ 2 GeV are insensitive to chemical equilibration and depend mainly on the initial thermalized state. Medium-energy photons with E ∼ 1 GeV are more frequently produced in case of faster equilibration, despite of faster cooling. For an assumed fast equilibration we follow the evolution of matter through mixed and hadron phases. The transverse momentum kick, due to transverse expansion, of photons from hadron matter is shown to be reduced for an equation of state with reduced latent heat. The photon yield in the region E > 1 GeV from deconfined matter dominates for conditions, estimated to be achieved at RHIC, in case of a weakly first-order confinement transition. (orig.)

  16. Tailoring of the morphology and chemical composition of thin organosilane microwave plasma polymer layers on metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Grundmeier, G.; Thiemann, P.; Carpentier, J.; Shirtcliffe, N.; Stratmann, M

    2004-01-01

    The growth of thin microwave organosilicon plasma polymers on model zinc surfaces was investigated as a function of the film thickness and the oxygen partial pressure during film deposition. The evolution of the topology of the film was studied by atomic force microscopy (AFM). The nano- and micro-roughness was investigated at the inner and the outer surfaces of the plasma polymers. A special etching procedure was developed to reveal the underside of the plasma polymer and thereby its inner surface. Rough films contained voids at the interface, which reduced the polymer/metal contact area. The increase in oxygen partial pressure led to a smoother film growth with a perfect imitation of the substrate topography at the interface. The chemical structure of the films was determined by infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy (ToF-SIMS). ToF-SIMS at the outer and the inner surface of the plasma polymers showed that the density of methylsilyl groups increases in the outer surface layer of the plasma polymer and depends on the oxygen partial pressure. The chemical composition of the films could be altered to pure SiO{sub 2} without changing the morphology by using oxygen-plasma post-treatment. This was proved by means of IRRAS and AFM. Chemistry and topology of the films were correlated with the apparent water contact angle. It was found that a linear relationship exists between the nanoscopic roughness of the plasma polymer and the static contact angle of water. Superposition of a nanoscopic roughness of the metal surface and the nanoscopic roughness of methylsilyl-rich films led to ultra-hydrophobic films with water contact angles up to 160 deg.

  17. High-performance metabolic profiling of plasma from seven mammalian species for simultaneous environmental chemical surveillance and bioeffect monitoring.

    Science.gov (United States)

    Park, Youngja H; Lee, Kichun; Soltow, Quinlyn A; Strobel, Frederick H; Brigham, Kenneth L; Parker, Richard E; Wilson, Mark E; Sutliff, Roy L; Mansfield, Keith G; Wachtman, Lynn M; Ziegler, Thomas R; Jones, Dean P

    2012-05-16

    High-performance metabolic profiling (HPMP) by Fourier-transform mass spectrometry coupled to liquid chromatography gives relative quantification of thousands of chemicals in biologic samples but has had little development for use in toxicology research. In principle, the approach could be useful to detect complex metabolic response patterns to toxicologic exposures and to detect unusual abundances or patterns of potentially toxic chemicals. As an initial study to develop these possible uses, we applied HPMP and bioinformatics analysis to plasma of humans, rhesus macaques, marmosets, pigs, sheep, rats and mice to determine: (1) whether more chemicals are detected in humans living in a less controlled environment than captive species and (2) whether a subset of plasma chemicals with similar inter-species and intra-species variation could be identified for use in comparative toxicology. Results show that the number of chemicals detected was similar in humans (3221) and other species (range 2537-3373). Metabolite patterns were most similar within species and separated samples according to family and order. A total of 1485 chemicals were common to all species; 37% of these matched chemicals in human metabolomic databases and included chemicals in 137 out of 146 human metabolic pathways. Probability-based modularity clustering separated 644 chemicals, including many endogenous metabolites, with inter-species variation similar to intra-species variation. The remaining chemicals had greater inter-species variation and included environmental chemicals as well as GSH and methionine. Together, the data suggest that HPMP provides a platform that can be useful within human populations and controlled animal studies to simultaneously evaluate environmental exposures and biological responses to such exposures. Copyright © 2012 Elsevier Ireland Ltd. All rights reserved.

  18. Effects of the addition of blood plasma proteins on physico-chemical properties of emulsion-type pork sausage during cold storage.

    Science.gov (United States)

    Kim, Sungho; Jin, Sangkeun; Choi, Jungseok

    2017-10-01

    Most slaughter blood is discarded, resulting in problems related to costs for wastewater disposal and environmental pollution. However, animal blood contains various proteins such as albumin, globulin and globin and can be used as a natural emulsifier, stabiliser and colour additive. Thus, this study was carried out to investigate the effect of blood plasma proteins on the physico-chemical properties of emulsion-type pork sausages stored at 4°C over 5 weeks. The emulsion-type pork sausages with plasma powders had higher pH than the other treatments during week 5, and higher shear force than the control (P binder for the production of excellent meat products compared to other binders. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  19. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  20. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  1. Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists

    International Nuclear Information System (INIS)

    Pargon, E.; Menguelti, K.; Martin, M.; Bazin, A.; Joubert, O.; Chaix-Pluchery, O.; Sourd, C.; Derrough, S.; Lill, T.

    2009-01-01

    In this article, we have performed detailed investigations of the 193 nm photoresist transformations after exposure to the so-called HBr and Ar plasma cure treatments using various characterization techniques (x-ray photoelectron spectroscopy, Fourier transformed infrared, Raman analyses, and ellipsometry). By using windows with different cutoff wavelengths patched on the photoresist film, the role of the plasma vacuum ultraviolet (VUV) light on the resist modifications is clearly outlined and distinguished from the role of radicals and ions from the plasma. The analyses reveal that both plasma cure treatments induce severe surface and bulk chemical modifications of the resist films. The synergistic effects of low energetic ion bombardment and VUV plasma light lead to surface graphitization or cross-linking (on the order of 10 nm), while the plasma VUV light (110-210 nm) is clearly identified as being responsible for ester and lactone group removal from the resist bulk. As the resist modification depth depends strongly on the wavelength penetration into the material, it is found that HBr plasma cure that emits near 160-170 nm can chemically modify the photoresist through its entire thickness (240 nm), while the impact of Ar plasmas emitting near 100 nm is more limited. In the case of HBr cure treatment, Raman and ellipsometry analyses reveal the formation of sp 2 carbon atoms in the resist bulk, certainly thanks to hydrogen diffusion through the resist film assisted by the VUV plasma light.

  2. Cold plasma decontamination using flexible jet arrays

    Science.gov (United States)

    Konesky, Gregory

    2010-04-01

    Arrays of atmospheric discharge cold plasma jets have been used to decontaminate surfaces of a wide range of microorganisms quickly, yet not damage that surface. Its effectiveness in decomposing simulated chemical warfare agents has also been demonstrated, and may also find use in assisting in the cleanup of radiological weapons. Large area jet arrays, with short dwell times, are necessary for practical applications. Realistic situations will also require jet arrays that are flexible to adapt to contoured or irregular surfaces. Various large area jet array prototypes, both planar and flexible, are described, as is the application to atmospheric decontamination.

  3. Simulations of planar non-thermal plasma assisted ignition at atmospheric pressure

    KAUST Repository

    Casey, Tiernan A.

    2016-10-21

    The opportunity for ignition assistance by a pulsed applied voltage is investigated in a canonical one-dimensional configuration. An incipient ignition kernel, formed by localized energy deposition into a lean mixture of methane and air at atmospheric pressure, is subjected to sub-breakdown electric fields (E/N ≈ 100 Td) by a DC potential applied across the domain, resulting in non-thermal behavior of the plasma formed during the discharge. A two-fluid approach is employed to couple thermal neutrals and ions to the non-thermal electrons. A two-temperature plasma mechanism describing gas phase combustion, excitation of neutral species, and high-energy electron kinetics is employed to account for non-thermal effects. Charged species transported from the ignition zone drift rapidly through the domain, augmenting the magnitude of the electric field in the fresh gas during the pulse through a dynamic-electrode effect, which results in an increase in the energy of the electrons in the fresh mixture with increasing time. Enhanced fuel and oxidizer decomposition due to electron impact dissociation and interaction with excited neutrals generate a pool of radicals, mostly O and H, in the fresh gas ahead of the flame\\'s preheat zone. In the configuration considered, the effect of the nanosecond pulse is to increase the mass of fuel burned at equivalent times relative to the unsupported ignition through enhanced radical generation, resulting in an increased heat release rate in the immediate aftermath of the pulse.

  4. Plasma-assisted self-formation of nanotip arrays on the surface of Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zimin, Sergey P.; Mokrov, Dmitry A. [Yaroslavl State University (Russian Federation); Gorlachev, Egor S.; Amirov, Ildar I.; Naumov, Viktor V. [Institute of Physics and Technology, Russian Academy of Sciences, Yaroslavl (Russian Federation); Gremenok, Valery F. [Scientific-Practical Materials Research Center, NAS of Belarus, Minsk (Belarus); Bente, Klaus [Applied Mineralogy, University Tuebingen (Germany); Kim, Woo Y. [Fusion Research Center, Hoseo University, Asan-City (Korea, Republic of)

    2017-06-15

    In this paper, we report on the phenomenon of nanostructure self-formation on the surface of Cu(In,Ga)Se{sub 2} (CIGS) thin films during inductively coupled argon plasma treatment with its duration varied from 10 to 120 s. The initial films were grown on glass substrates using the selenization technique. During the CIGS film surface treatment in the high-density low-pressure radio-frequency inductively coupled argon plasma there took place a formation of arrays of uniform vertical nanostructures, which shape with increasing processing duration changed from nanocones to nanorods and back to nanocones. A model of the nanotip plasma-assisted self-formation associated with the implementation of micromasking and vapor-liquid-solid mechanisms involving metallic In-Ga (In-Ga-Cu) liquid alloy droplets is proposed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    Science.gov (United States)

    Herrmann, H. W.; Henins, I.; Park, J.; Selwyn, G. S.

    1999-05-01

    The atmospheric pressure plasma jet (APPJ) [A. Schütze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O2/H2O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O2*, He*) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products.

  6. Laser-pulsed Plasma Chemistry: Laser-initiated Plasma Oxidation Of Niobium

    OpenAIRE

    Marks R.F.; Pollak R.A.; Avouris Ph.; Lin C.T.; Thefaine Y.J.

    1983-01-01

    We report the first observation of the chemical modification of a solid surface exposed to an ambient gas plasma initiated by the interaction of laser radiation with the same surface. A new technique, which we designate laser-pulsed plasma chemistry (LPPC), is proposed for activating heterogeneous chemical reactions at solid surfaces in a gaseous ambient by means of a plasma initiated by laser radiation. Results for niobium metal in one atmosphere oxygen demonstrate single-pulse, self-limitin...

  7. Plasma-Assisted Co-evaporation of S and Se for Wide Band Gap Chalcopyrite Photovoltaics: Final Subcontract Report, December 2001 -- April 2005

    Energy Technology Data Exchange (ETDEWEB)

    Repins, I.; Wolden, C.

    2005-08-01

    In this work, ITN Energy Systems (ITN) and lower-tier subcontractor Colorado School of Mines (CSM) explore the replacement of the molecular chalcogen precursors during deposition (e.g., Se2 or H2Se) with more reactive chalcogen monomers or radicals (e.g., Se). Molecular species are converted to atomic species in a low-pressure inductively coupled plasma (ICP). This program explored the use of plasma-activated chalcogen sources in CIGS co-evaporation to lower CIGS deposition temperature, increase utilization, increase deposition rate, and improve S:Se stoichiometry control. Plasma activation sources were designed and built, then operated and characterized over a wide range of conditions. Optical emission and mass spectrometry data show that chalcogens are effectively dissociated in the plasma. The enhanced reactivity achieved by the plasma processing was demonstrated by conversion of pre-deposited metal films to respective chalcogen-containing phases at low temperature and low chalcogen flux. The plasma-assisted co-evaporation (PACE) sources were also implemented in CIGS co-evaporation. No benefit from PACE was observed in device results, and frequent deposition failures occurred.

  8. Sterilization and Decontamination of Surfaces Contaminated With Biological and Chemical Warfare Agents Using Atmospheric Pressure Plasma Discharges

    National Research Council Canada - National Science Library

    Garate, Eusebio

    1999-01-01

    ... based on the application of an atmospheric pressure plasma. We used both a DC corona and dielectric barrier discharge for the sterilization tests which were conducted on a variety of substrates including metals and chemically resistant fabrics...

  9. Gene expression responses of HeLa cells to chemical species generated by an atmospheric plasma flow

    International Nuclear Information System (INIS)

    Yokoyama, Mayo; Johkura, Kohei; Sato, Takehiko

    2014-01-01

    Highlights: • Response of HeLa cells to a plasma-irradiated medium was revealed by DNA microarray. • Gene expression pattern was basically different from that in a H 2 O 2 -added medium. • Prominently up-/down-regulated genes were partly shared by the two media. • Gene ontology analysis showed both similar and different responses in the two media. • Candidate genes involved in response to ROS were detected in each medium. - Abstract: Plasma irradiation generates many factors able to affect the cellular condition, and this feature has been studied for its application in the field of medicine. We previously reported that hydrogen peroxide (H 2 O 2 ) was the major cause of HeLa cell death among the chemical species generated by high level irradiation of a culture medium by atmospheric plasma. To assess the effect of plasma-induced factors on the response of live cells, HeLa cells were exposed to a medium irradiated by a non-lethal plasma flow level, and their gene expression was broadly analyzed by DNA microarray in comparison with that in a corresponding concentration of 51 μM H 2 O 2 . As a result, though the cell viability was sufficiently maintained at more than 90% in both cases, the plasma-medium had a greater impact on it than the H 2 O 2 -medium. Hierarchical clustering analysis revealed fundamentally different cellular responses between these two media. A larger population of genes was upregulated in the plasma-medium, whereas genes were downregulated in the H 2 O 2 -medium. However, a part of the genes that showed prominent differential expression was shared by them, including an immediate early gene ID2. In gene ontology analysis of upregulated genes, the plasma-medium showed more diverse ontologies than the H 2 O 2 -medium, whereas ontologies such as “response to stimulus” were common, and several genes corresponded to “response to reactive oxygen species.” Genes of AP-1 proteins, e.g., JUN and FOS, were detected and notably elevated in

  10. Gene expression responses of HeLa cells to chemical species generated by an atmospheric plasma flow

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Mayo, E-mail: yokoyama@plasma.ifs.tohoku.ac.jp [Institute of Fluid Science, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Johkura, Kohei, E-mail: kohei@shinshu-u.ac.jp [Department of Histology and Embryology, Shinshu University School of Medicine, 3-1-1 Asahi, Matsumoto 390-8621 (Japan); Sato, Takehiko, E-mail: sato@ifs.tohoku.ac.jp [Institute of Fluid Science, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-08-08

    Highlights: • Response of HeLa cells to a plasma-irradiated medium was revealed by DNA microarray. • Gene expression pattern was basically different from that in a H{sub 2}O{sub 2}-added medium. • Prominently up-/down-regulated genes were partly shared by the two media. • Gene ontology analysis showed both similar and different responses in the two media. • Candidate genes involved in response to ROS were detected in each medium. - Abstract: Plasma irradiation generates many factors able to affect the cellular condition, and this feature has been studied for its application in the field of medicine. We previously reported that hydrogen peroxide (H{sub 2}O{sub 2}) was the major cause of HeLa cell death among the chemical species generated by high level irradiation of a culture medium by atmospheric plasma. To assess the effect of plasma-induced factors on the response of live cells, HeLa cells were exposed to a medium irradiated by a non-lethal plasma flow level, and their gene expression was broadly analyzed by DNA microarray in comparison with that in a corresponding concentration of 51 μM H{sub 2}O{sub 2}. As a result, though the cell viability was sufficiently maintained at more than 90% in both cases, the plasma-medium had a greater impact on it than the H{sub 2}O{sub 2}-medium. Hierarchical clustering analysis revealed fundamentally different cellular responses between these two media. A larger population of genes was upregulated in the plasma-medium, whereas genes were downregulated in the H{sub 2}O{sub 2}-medium. However, a part of the genes that showed prominent differential expression was shared by them, including an immediate early gene ID2. In gene ontology analysis of upregulated genes, the plasma-medium showed more diverse ontologies than the H{sub 2}O{sub 2}-medium, whereas ontologies such as “response to stimulus” were common, and several genes corresponded to “response to reactive oxygen species.” Genes of AP-1 proteins, e.g., JUN

  11. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  12. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  13. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  14. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  15. Plasma physics

    CERN Document Server

    Drummond, James E

    1961-01-01

    A historic snapshot of the field of plasma physics, this fifty-year-old volume offers an edited collection of papers by pioneering experts in the field. In addition to assisting students in their understanding of the foundations of classical plasma physics, it provides a source of historic context for modern physicists. Highly successful upon its initial publication, this book was the standard text on plasma physics throughout the 1960s and 70s.Hailed by Science magazine as a ""well executed venture,"" the three-part treatment ranges from basic plasma theory to magnetohydrodynamics and microwa

  16. Capacitive behavior of Ag doped V2O5 grown by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Vernardou, D.; Marathianou, I.; Katsarakis, N.; Koudoumas, E.; Kazadojev, I.I.; O’Brien, S.; Pemble, M.E.; Povey, I.M.

    2016-01-01

    The growth of silver doped vanadium pentoxide was performed by aerosol assisted chemical vapour deposition and found to be optimal at 450° C. Additionally, an increase in crystallinity and a change in preferred orientation of V 2 O 5 was observed upon increasing the silver content. Silver incorporation also resulted in morphological changes in the thin films from rod to pellet-like structures. For higher silver content films the amount of incorporated charge increased and reversibility and repeatability was demonstrated for 500 cycles. Electrochemical impedance spectroscopy determined that the transfer and diffusion of Li+ ions through the cathode-electrolyte interface was assisted by silver loading, hence, enhancing the capacitive performance.

  17. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  18. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  19. Plasma-activation of tap water using DBD for agronomy applications: Identification and quantification of long lifetime chemical species and production/consumption mechanisms.

    Science.gov (United States)

    Judée, F; Simon, S; Bailly, C; Dufour, T

    2018-04-15

    Cold atmospheric plasmas are weakly ionized gases that can be generated in ambient air. They produce energetic species (e.g. electrons, metastables) as well as reactive oxygen species, reactive nitrogen species, UV radiations and local electric field. Their interaction with a liquid such as tap water can hence change its chemical composition. The resulting "plasma-activated liquid" can meet many applications, including medicine and agriculture. Consequently, a complete experimental set of analytical techniques dedicated to the characterization of long lifetime chemical species has been implemented to characterize tap water treated using cold atmospheric plasma process and intended to agronomy applications. For that purpose, colorimetry and acid titrations are performed, considering acid-base equilibria, pH and temperature variations induced during plasma activation. 16 species are quantified and monitored: hydroxide and hydronium ions, ammonia and ammonium ions, orthophosphates, carbonate ions, nitrite and nitrate ions and hydrogen peroxide. The related consumption/production mechanisms are discussed. In parallel, a chemical model of electrical conductivity based on Kohlrausch's law has been developed to simulate the electrical conductivity of the plasma-activated tap water (PATW). Comparing its predictions with experimental measurements leads to a narrow fitting, hence supporting the self-sufficiency of the experimental set, I.e. the fact that all long lifetime radicals of interest present in PATW are characterized. Finally, to evaluate the potential of cold atmospheric plasmas for agriculture applications, tap water has been daily plasma-treated to irrigate lentils seeds. Then, seedlings lengths have been measured and compared with untreated tap water, showing an increase as high as 34.0% and 128.4% after 3 days and 6 days of activation respectively. The interaction mechanisms between plasma and tap water are discussed as well as their positive synergy on

  20. Cryotrapping assisted mass spectrometry for the analysis of complex gas mixtures

    International Nuclear Information System (INIS)

    Ferreira, Jose A.; Tabares, Francisco L.

    2007-01-01

    A simple method is described for the unambiguous identification of the individual components in a gas mixture showing strong overlapping of their mass spectrometric cracking patterns. The method, herein referred to as cryotrapping assisted mass spectrometry, takes advantage of the different vapor pressure values of the individual components at low temperature (78 K for liquid nitrogen traps), and thus of the different depletion efficiencies and outgassing patterns during the fast cooling and slow warming up of the trap, respectively. Examples of the use of this technique for gas mixtures with application to plasma enhanced chemical vapor deposition of carbon and carbon-nitrogen hard films are shown. Detection of traces of specific C 3 hydrocarbons ( 2 containing deposition plasmas are addressed as representative examples of specific applications of the technique

  1. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    OpenAIRE

    Boskovic, BO; Stolojan, V; Zeze, DA; Forrest, RD; Silva, SRP; Haq, S

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperatur...

  2. Review and evaluation of extractants for strontium removal using magnetically assisted chemical separation

    International Nuclear Information System (INIS)

    Bauer, C.B.; Rogers, R.D.

    1995-11-01

    A literature review on extractants for strontium removal was initially performed at Northern Illinois University to assess their potential in magnetically assisted chemical separation. A series of potential strontium extractants was systematically evaluated there using radioanalytical methods. Initial experiments were designed to test the uptake of strontium from nitric acid using several samples of magnetic extractant particles that were coated with various crown ether ligands. High partition coefficient (K d ) values for stimulant tank waste were obtained. Further studies demonstrated that the large partitioning was due to uncoated particles

  3. Review and evaluation of extractants for strontium removal using magnetically assisted chemical separation

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, C.B.; Rogers, R.D. [Northern Illinois Univ., De Kalb, IL (United States). Dept. of Chemistry; Nunez, L.; Ziemer, M.D.; Pleune, T.T.; Vandegrift, G.F. [Argonne National Lab., IL (United States)

    1995-11-01

    A literature review on extractants for strontium removal was initially performed at Northern Illinois University to assess their potential in magnetically assisted chemical separation. A series of potential strontium extractants was systematically evaluated there using radioanalytical methods. Initial experiments were designed to test the uptake of strontium from nitric acid using several samples of magnetic extractant particles that were coated with various crown ether ligands. High partition coefficient (K{sub d}) values for stimulant tank waste were obtained. Further studies demonstrated that the large partitioning was due to uncoated particles.

  4. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  5. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  6. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  7. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  8. Contact glow discharge electrolysis: its origin, plasma diagnostics and non-faradaic chemical effects

    International Nuclear Information System (INIS)

    Sen Gupta, Susanta K

    2015-01-01

    Contact glow discharge electrolysis (CGDE) also termed plasma electrolysis is a novel electrolysis where a stable sheath of light emitting plasma develops around an electrode immersed well inside a relatively high-conductivity liquid electrolyte during normal electrolysis (NE) at several hundred volts. The phenomenon may develop in dc-, pulsed dc-, ac- as well as RF-driven electrolyses. The chemical effects of CGDE are remarkably non-faradaic in respect to the nature of the products as well as their yields. The article traces comprehensively the progress made in studies of CGDE in aqueous and non-aqueous solutions since 1844 and reviews the developments in the understanding of its origin, light emission, plasma state and non-faradaic effects leading to the elucidation of detailed mechanism of the origin of CGDE on the basis of the onset of hydrodynamic instabilities in local vaporization of the solvent near the working electrode during NE, and that of highly non-faradaic effects of CGDE based on a model of two reaction zones located within the electrode plasma and at the plasma–liquid interface producing solvent derived radicals at high local concentrations. Keeping in view the recent surge of interest in varied applications of CGDE, the article is appended with highlights of these applications across synthetic chemistry, waste water treatment, electrosurgical devices, nanoparticle fabrications, surface engineering and micro-machining. (topical review)

  9. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  10. Chemometrics-assisted spectrophotometry method for the determination of chemical oxygen demand in pulping effluent.

    Science.gov (United States)

    Chen, Honglei; Chen, Yuancai; Zhan, Huaiyu; Fu, Shiyu

    2011-04-01

    A new method has been developed for the determination of chemical oxygen demand (COD) in pulping effluent using chemometrics-assisted spectrophotometry. Two calibration models were established by inducing UV-visible spectroscopy (model 1) and derivative spectroscopy (model 2), combined with the chemometrics software Smica-P. Correlation coefficients of the two models are 0.9954 (model 1) and 0.9963 (model 2) when COD of samples is in the range of 0 to 405 mg/L. Sensitivities of the two models are 0.0061 (model 1) and 0.0056 (model 2) and method detection limits are 2.02-2.45 mg/L (model 1) and 2.13-2.51 mg/L (model 2). Validation experiment showed that the average standard deviation of model 2 was 1.11 and that of model 1 was 1.54. Similarly, average relative error of model 2 (4.25%) was lower than model 1 (5.00%), which indicated that the predictability of model 2 was better than that of model 1. Chemometrics-assisted spectrophotometry method did not need chemical reagents and digestion which were required in the conventional methods, and the testing time of the new method was significantly shorter than the conventional ones. The proposed method can be used to measure COD in pulping effluent as an environmentally friendly approach with satisfactory results.

  11. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  12. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  13. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    International Nuclear Information System (INIS)

    Herrmann, H.W.; Henins, I.; Park, J.; Selwyn, G.S.

    1999-01-01

    The atmospheric pressure plasma jet (APPJ) [A. Schuetze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O 2 /H 2 O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O 2 * , He * ) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products. copyright 1999 American Institute of Physics

  14. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    International Nuclear Information System (INIS)

    Tewari, Aarti

    2016-01-01

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  15. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    Energy Technology Data Exchange (ETDEWEB)

    Tewari, Aarti [Department of Applied Physics, Delhi Technological University, Shahbad Daulatpur, Bawana Road, Delhi 110 042 (India)

    2016-08-15

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  16. Chemically reacting flow of a compressible thermally radiating two-component plasma

    International Nuclear Information System (INIS)

    Bestman, A.R.

    1990-12-01

    The paper studies the compressible flow of a hot two-component plasma in the presence of gravitation and chemical reaction in a vertical channel. For the optically thick gas approximation, closed form analytical solutions are possible. Asymptotic solutions are also obtained for the general differential approximation when the temperature of the two bounding walls are the same. In the general case the problem is reduced to the solution of standard nonlinear integral equations which can be tackled by iterative procedure. The results are discussed quantitatively. The problem may be applicable to the understanding of explosive hydrogen-burning model of solar flares. (author). 6 refs, 4 figs

  17. Formation of vertically aligned carbon nanostructures in plasmas: numerical modelling of growth and energy exchange

    Energy Technology Data Exchange (ETDEWEB)

    Denysenko, I; Azarenkov, N A, E-mail: idenysenko@yahoo.com [School of Physics and Technology, V N Karazin Kharkiv National University, 4 Svobody sq., 61077 Kharkiv (Ukraine)

    2011-05-04

    Results on modelling of the plasma-assisted growth of vertically aligned carbon nanostructures and of the energy exchange between the plasma and the growing nanostructures are reviewed. Growth of carbon nanofibres and single-walled carbon nanotubes is considered. Focus is made on studies that use the models based on mass balance equations for species, which are adsorbed on catalyst nanoparticles or walls of the nanostructures. It is shown that the models can be effectively used for the study and optimization of nanostructure growth in plasma-enhanced chemical vapour deposition. The results from these models are in good agreement with the available experimental data on the growth of nanostructures. It is discussed how input parameters for the models may be obtained.

  18. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  19. Nuclear and Chemical Weapons and Materiel: Chemical Surety

    National Research Council Canada - National Science Library

    2001-01-01

    .... It has been revised to update responsibilities, Personnel Reliability Program (PRP) procedures, transportation policies, chemical event notification, chemical accident or incident response and assistance (CAIRA...

  20. Toward Plasma-Assisted Ignition in Scramjets

    National Research Council Canada - National Science Library

    Jacobsen, Lance S; Carter, Campbell D; Baurie, Robert A; Jackson, Thomas A

    2003-01-01

    .... The two plasma torches currently under investigation consist of a DC constricted-arc design from the Virginia Polytechnic Institute and State University and an AC unconstricted-arc design based...

  1. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  2. An unstructured shock-fitting solver for hypersonic plasma flows in chemical non-equilibrium

    Science.gov (United States)

    Pepe, R.; Bonfiglioli, A.; D'Angola, A.; Colonna, G.; Paciorri, R.

    2015-11-01

    A CFD solver, using Residual Distribution Schemes on unstructured grids, has been extended to deal with inviscid chemical non-equilibrium flows. The conservative equations have been coupled with a kinetic model for argon plasma which includes the argon metastable state as independent species, taking into account electron-atom and atom-atom processes. Results in the case of an hypersonic flow around an infinite cylinder, obtained by using both shock-capturing and shock-fitting approaches, show higher accuracy of the shock-fitting approach.

  3. Bio-compatibility, surface and chemical characterization of glow discharge plasma modified ZnO nanocomposite polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Bagra, Bhawna, E-mail: bhawnacct@gmail.com; Pimpliskar, Prashant, E-mail: bhawnacct@gmail.com [Centre for Converging Technologies, University of Rajasthan, Jaipur-302004 (India); Agrawal, Narendra Kumar [Department of Physics, Malaviya National Institute of Technology, Jaipur-302004 (India)

    2014-04-24

    Bio compatibility is an important issue for synthesis of biomedical devices, which can be tested by bioadoptability and creations of active site to enhance the bacterial/cell growth in biomedical devices. Hence a systematic study was carried out to characterize the effects of Nitrogen ion plasma for creations of active site in nano composite polymer membrane. Nano particles of ZnO are synthesized by chemical root, using solution casting nano composite polymeric membranes were prepared and treated with Nitrogen ion plasma. These membranes were characterized by different technique such as optical microscopy, SEM- Scanning electron microscope, optical transmittance, Fourier transform infrared spectroscopy. Then biocompatibility for membranes was tested by testing of bio-adoptability of membrane.

  4. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  5. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  6. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  8. Precise alignment of the collection fiber assisted by real-time plasma imaging in laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Motto-Ros, V., E-mail: vincent.motto-ros@univ-lyon1.fr [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France); Negre, E. [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France); CRITT Matériaux Alsace, 19, rue de St Junien, 67305 Schiltigheim (France); Pelascini, F. [CRITT Matériaux Alsace, 19, rue de St Junien, 67305 Schiltigheim (France); Panczer, G.; Yu, J. [Institut Lumière Matière, UMR 5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne cedex (France)

    2014-02-01

    Improving the repeatability and the reproducibility of measurement with laser-induced breakdown spectroscopy (LIBS) is one of the actual challenging issues faced by the technique to fit the requirements of precise and accurate quantitative analysis. Among the numerous factors influencing the measurement stability in short and long terms, there are shot-to-shot and day-to-day fluctuations of the morphology of the plasma. Such fluctuations are due to the high sensitivity of laser-induced plasma to experimental conditions including properties of the sample, the laser parameters as well as properties of the ambient gas. In this paper, we demonstrate that precise alignment of the optical fiber for the collection of the plasma emission with respect to the actual morphology of the plasma assisted by real-time imaging, greatly improves the stability of LIBS measurements in short as well as in long terms. The used setup is based on a plasma imaging arrangement using a CCD camera and a real-time image processing. The obtained plasma image is displayed in a 2-dimensional frame where the position of the optical fiber is beforehand calibrated. In addition, the setup provides direct sample surface monitoring, which allows a precise control of the distance between the focusing lens and the sample surface. Test runs with a set of 8 reference samples show very high determination coefficient for calibration curves (R{sup 2} = 0.9999), and a long term repeatability and reproducibility of 4.6% (relative standard deviation) over a period of 3 months without any signal normalization. The capacity of the system to automatically correct the sample surface position for a tilted or non-regular sample surface during a surface mapping measurement is also demonstrated. - Highlights: • Automated alignment of the collection fiber by real-time plasma imaging • High level control of experimental parameters in LIBS experiments • Improvement of the short and long term stability in LIBS

  9. Precise alignment of the collection fiber assisted by real-time plasma imaging in laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Motto-Ros, V.; Negre, E.; Pelascini, F.; Panczer, G.; Yu, J.

    2014-01-01

    Improving the repeatability and the reproducibility of measurement with laser-induced breakdown spectroscopy (LIBS) is one of the actual challenging issues faced by the technique to fit the requirements of precise and accurate quantitative analysis. Among the numerous factors influencing the measurement stability in short and long terms, there are shot-to-shot and day-to-day fluctuations of the morphology of the plasma. Such fluctuations are due to the high sensitivity of laser-induced plasma to experimental conditions including properties of the sample, the laser parameters as well as properties of the ambient gas. In this paper, we demonstrate that precise alignment of the optical fiber for the collection of the plasma emission with respect to the actual morphology of the plasma assisted by real-time imaging, greatly improves the stability of LIBS measurements in short as well as in long terms. The used setup is based on a plasma imaging arrangement using a CCD camera and a real-time image processing. The obtained plasma image is displayed in a 2-dimensional frame where the position of the optical fiber is beforehand calibrated. In addition, the setup provides direct sample surface monitoring, which allows a precise control of the distance between the focusing lens and the sample surface. Test runs with a set of 8 reference samples show very high determination coefficient for calibration curves (R 2 = 0.9999), and a long term repeatability and reproducibility of 4.6% (relative standard deviation) over a period of 3 months without any signal normalization. The capacity of the system to automatically correct the sample surface position for a tilted or non-regular sample surface during a surface mapping measurement is also demonstrated. - Highlights: • Automated alignment of the collection fiber by real-time plasma imaging • High level control of experimental parameters in LIBS experiments • Improvement of the short and long term stability in LIBS measurements

  10. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  11. A non-equilibrium simulation of thermal constriction in a cascaded arc hydrogen plasma

    International Nuclear Information System (INIS)

    Peerenboom, K S C; Goedheer, W J; Van Dijk, J; Kroesen, G M W

    2014-01-01

    The cascaded arc hydrogen plasma of Pilot-PSI is studied in a non-LTE model. We demonstrate that the effect of vibrationally excited molecules on the heavy-particle-assisted dissociation is crucial for obtaining thermal constriction. To the best of our knowledge, thermal constriction has not been obtained before in a non-LTE simulation. Probably, realistic numerical studies of this type of plasma were hindered by numerical problems, preventing the non-LTE simulations to show characteristic physical mechanisms such as thermal constriction. In this paper we show that with the help of appropriate numerical strategies thermal constriction can be obtained in a non-LTE simulation. To this end, a new source term linearization technique is developed, which ensures physical solutions even near chemical equilibrium where the composition is dominated by chemical source terms. Results of the model are compared with experiments on Pilot-PSI and show good agreement with pressure and voltage measurements in the source. (paper)

  12. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  13. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  14. Fabrication and Characterization of CNT-Based Smart Tips for Synchrotron Assisted STM

    Directory of Open Access Journals (Sweden)

    Hui Yan

    2015-01-01

    Full Text Available Determination of chemical composition along with imaging at the atomic level provides critical information towards fundamental understanding of the surface of materials and, hence, yields the capability to design new materials by tailoring their ultimate functionalities. Synchrotron X-ray assisted scanning tunneling microscopy (SX-STM is a promising new technique to achieve real space chemically specific atomic mapping. Chemical sensitivity of SX-STM relies on excitation of core electrons by incident X-rays when their energy is tuned to an absorption edge of a particular element. However, along with core-level electrons, photoelectrons are also excited, which yield additional current and interfere with the tunneling current. To reduce the background photoelectron current and to improve ultimate resolution of SX-STM, we have developed and fabricated multiwalled carbon nanotubes (MWCNT based “smart tips” using plasma enhanced chemical vapor deposition and focused ion beam milling. The newly developed CNT-based smart tips, characterized step by step by scanning electron microscopy (SEM during the fabrication process, demonstrate good performance and provide opportunity for realizing atomic chemical mapping.

  15. Microwave assisted rapid growth of Mg(OH){sub 2} nanosheet networks for ethanol chemical sensor application

    Energy Technology Data Exchange (ETDEWEB)

    Al-Hazmi, Faten [Department of Physics, College of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21569 (Saudi Arabia); Umar, Ahmad, E-mail: ahmadumar786@gmail.com [Promising Centre for Sensors and Electronic Devices (PCSED) and Centre for Advanced Materials and Nano-Research (CAMNR), Najran University, P.O. Box 1988, Najran 11001 (Saudi Arabia); Dar, G.N. [Promising Centre for Sensors and Electronic Devices (PCSED) and Centre for Advanced Materials and Nano-Research (CAMNR), Najran University, P.O. Box 1988, Najran 11001 (Saudi Arabia); Al-Ghamdi, A.A.; Al-Sayari, S.A. [Department of Physics, College of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21569 (Saudi Arabia); Al-Hajry, A. [Promising Centre for Sensors and Electronic Devices (PCSED) and Centre for Advanced Materials and Nano-Research (CAMNR), Najran University, P.O. Box 1988, Najran 11001 (Saudi Arabia); Department of Physics, College of Science and Arts, Najran University, P.O. Box 1988, Najran 11001 (Saudi Arabia); Kim, S.H. [Promising Centre for Sensors and Electronic Devices (PCSED) and Centre for Advanced Materials and Nano-Research (CAMNR), Najran University, P.O. Box 1988, Najran 11001 (Saudi Arabia); Al-Tuwirqi, Reem M. [Department of Physics, College of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21569 (Saudi Arabia); Alnowaiserb, Fowzia [Department of Chemistry, Faculty of Science, King Abdulaziz University, Jeddah (Saudi Arabia); El-Tantawy, Farid [Department of Physics, Faculty of Science, Suez Canal University, Ismailia (Egypt)

    2012-04-05

    Highlights: Black-Right-Pointing-Pointer A facile microwave-assisted synthesis and characterizations of magnesium hydroxide (Mg(OH){sub 2}) nanosheet networks. Black-Right-Pointing-Pointer Fabrication of ethanol sensor based on (Mg(OH){sub 2}) nanosheet networks. Black-Right-Pointing-Pointer Good sensitivity ({approx}3.991 {mu}A cm{sup -2} mM{sup -1}) and lower detection limit (5 {mu}M). Black-Right-Pointing-Pointer This research opens a way to utilize Mg(OH){sub 2} nanostructures for chemical sensors applications. - Abstract: This paper reports a facile microwave-assisted synthesis of magnesium hydroxide (Mg(OH){sub 2}) nanosheet networks and their utilization for the fabrication of efficient ethanol chemical sensor. The synthesized nanosheets networks were characterized in terms of their morphological, structural and optical properties using various analysis techniques such as field emission scanning electron microscopy (FESEM), X-ray diffraction pattern (XRD), Fourier transform infrared (FTIR) and UV-Vis spectroscopy. The detailed morphological and structural investigations reveal that the synthesized (Mg(OH){sub 2}) products are nanosheet networks, grown in high density, and possessing hexagonal crystal structure. The optical band gap of as-synthesized Mg(OH){sub 2} nanosheet networks was examined by UV-Vis absorption spectrum, and found to be 5.76 eV. The synthesized nanosheet networks were used as supporting matrices for the fabrication of I-V technique based efficient ethanol chemical sensor. The fabricated ethanol sensor based on nanosheet networks exhibits good sensitivity ({approx}3.991 {mu}A cm{sup -2} mM{sup -1}) and lower detection limit (5 {mu}M), with linearity (R = 0.9925) in short response time (10.0 s). This work demonstrate that the simply synthesized Mg(OH){sub 2} nanosheet networks can effectively be used for the fabrication of efficient ethanol chemical sensors.

  16. Study of the chemical sputtering in Tore-Supra

    International Nuclear Information System (INIS)

    Cambe, A.

    2002-01-01

    The work presented in this thesis focuses on the interactions between energetic particles coming from thermonuclear plasma and the inner components of a fusion machine. This interaction induces two major problems: erosion of the wall, and tritium retention. This report treats the erosion of carbon based materials. The first part is devoted to chemical sputtering, that appears to be the principal erosion mechanism, compared to physical sputtering and radiation enhanced sublimation that both can be limited. Chemical sputtering has been studied in situ in the tokamak Tore-Supra for ohmic and lower hybrid (LH) heated discharges, by means of mass spectrometry and optical spectroscopy. We have shown that it is necessary to take into account both methane and heavier hydrocarbons (C 2 D x and C 3 D y ) in the determination of the chemical sputtering yield. It is found that for the ohmic discharges, the sputtering yield of CD 4 (Y CD4 ) is highly flux (φ) dependent, showing a variation of the form: Y CD4 ∝ φ -0.23 . The experimental study also reveals that an increase of the surface temperature induces an augmentation of Y CD4 . The interpretation and the modelling of the experimental results have been performed with a Monte Carlo code (BBQ. In the second part of this work, we have developed and installed an infrared spectroscopy diagnostic in the 0.8-1.6, μm wavelength range dedicated to the measurement of surface temperature, and the identification of atomic and molecular lines emitted during plasma/wall interactions. In the third part, we present the feasibility study of an in situ tungsten deposition process at low temperature(<80 deg C) in order to suppress the chemical sputtering. This study shows that, with this method call Plasma Assisted Chemical Vapor Deposition (PACVD), we are able to coat the whole inner vessel of a tokamak with 1 μm of tungsten. (author)

  17. Study of plasma-chemical NO-containing gas flow for treatment of wounds and inflammatory processes.

    Science.gov (United States)

    Pekshev, Alexander V; Shekhter, Anatoly B; Vagapov, Andrey B; Sharapov, Nikolay A; Vanin, Anatoly F

    2018-02-28

    This work is aimed at exhaustive and detailed study of chemical, physical and physico-chemical characteristics of NO-containing gas flow (NO-CGF) generated by a plasma-chemical generator of Plason device, which has been used in medical practice for more than 15 years for effectively healing wound and inflammatory conditions with exogenous nitric oxide (NO-therapy). Data was obtained on spatial structure of the gas flow, and values of its local parameters in axial and radial directions, such as nitric oxide content, velocity, temperature and mass flow density of nitric oxide, providing altogether the effectiveness of treatment by the exogenous NO-therapy method, were determined experimentally and by computations. It was demonstrated that plasma-chemical synthesis of NO from atmospheric air in a low direct current (DC) arc provides a high mass flow of nitric oxide at the level of 1.6-1.8 mg/s, while in the area of impact of NO-CGF on the biological tissue, on its axis, NO content is 400-600 ppm, flow velocity about 5 m/s, nitric oxide mass flow density 0.25-0.40 mg/(s·cm 2 ), temperature 40-60 °C. Tendencies were determined for designing new devices for further experimental biological and medical research in the field of NO-therapy: lowering the temperature of NO-CGF to ambient temperature will enable variation, in experiments, of the affecting flow parameters in a wide range up to their maximum values: NO content up to 2000 ppm, velocity up to 20 m/s, nitric oxide mass flow density up to 2.5 mg/(s·cm 2 ). Copyright © 2017. Published by Elsevier Inc.

  18. Multiscale multiphysics nonempirical approach to calculation of light emission properties of chemically active nonequilibrium plasma: application to Ar-GaI3 system

    International Nuclear Information System (INIS)

    Adamson, S; Astapenko, V; Chernysheva, I; Chorkov, V; Deminsky, M; Demchenko, G; Demura, A; Demyanov, A; Dyatko, N; Eletzkii, A; Knizhnik, A; Kochetov, I; Napartovich, A; Rykova, E; Sukhanov, L; Umanskii, S; Vetchinkin, A; Zaitsevskii, A; Potapkin, B

    2007-01-01

    Present-day computational techniques provide a possibility of evaluating properties of macrosystems using ab initio quantum chemistry and theories of elementary processes. Physical and chemical phenomena on very different timescales have to be taken into account (excitation, emission, chemical reactions, diffusion) at different levels of refining. This refining covers a very wide region of parameters starting from the structure of species up to the macro chemical mechanism of their conversion. This multilevel approach is described in detail in the paper and includes interaction and data transfer between different levels of phenomena description. In the framework of the approach, unknown properties of molecules, ions and atoms (structure, potential energy curves, transition dipole moments) are calculated based on quantum-chemical methods. The calculation results are used to evaluate rate characteristics of physical and chemical processes. The developed kinetic state-to-state scheme is then used to calculate the macro properties of the system under investigation. As an example of the multilevel approach, the emission properties of the Ar-GaI 3 positive column discharge plasma were calculated using the Chemical Work Bench computational environment. The calculations yield the electron energy balance and emission efficiency as functions of plasma parameters

  19. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  20. A SIMPLE AND RAPID MATRIX-ASSISTED LASER DESORPTION/IONIZATION TIME OF FLIGHT MASS SPECTROMETRY METHOD TO SCREEN FISH PLASMA SAMPLES FOR ESTROGEN-RESPONSIVE BIOMARKERS

    Science.gov (United States)

    In this study, we describe and evaluate the performance of a simple and rapid mass spectral method for screening fish plasma for estrogen-responsive biomarkers using matrix assisted laster desorption/ionization time of flight mass spectrometry (MALDI-TOF-MS) couopled with a short...

  1. Wearable Atmospheric Pressure Plasma Fabrics Produced by Knitting Flexible Wire Electrodes for the Decontamination of Chemical Warfare Agents

    Science.gov (United States)

    Jung, Heesoo; Seo, Jin Ah; Choi, Seungki

    2017-01-01

    One of the key reasons for the limited use of atmospheric pressure plasma (APP) is its inability to treat non-flat, three-dimensional (3D) surface structures, such as electronic devices and the human body, because of the rigid electrode structure required. In this study, a new APP system design—wearable APP (WAPP)—that utilizes a knitting technique to assemble flexible co-axial wire electrodes into a large-area plasma fabric is presented. The WAPP device operates in ambient air with a fully enclosed power electrode and grounded outer electrode. The plasma fabric is flexible and lightweight, and it can be scaled up for larger areas, making it attractive for wearable APP applications. Here, we report the various plasma properties of the WAPP device and successful test results showing the decontamination of toxic chemical warfare agents, namely, mustard (HD), soman (GD), and nerve (VX) agents.

  2. Apparatus for chemical synthesis

    Science.gov (United States)

    Kong, Peter C [Idaho Falls, ID; Herring, J Stephen [Idaho Falls, ID; Grandy, Jon D [Idaho Falls, ID

    2011-05-10

    A method and apparatus for forming a chemical hydride is described and which includes a pseudo-plasma-electrolysis reactor which is operable to receive a solution capable of forming a chemical hydride and which further includes a cathode and a movable anode, and wherein the anode is moved into and out of fluidic, ohmic electrical contact with the solution capable of forming a chemical hydride and which further, when energized produces an oxygen plasma which facilitates the formation of a chemical hydride in the solution.

  3. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    International Nuclear Information System (INIS)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-01-01

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH_2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P_R_F), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P_R_F. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P_R_F excepted for the SH-PPF. These results have been cross

  4. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Energy Technology Data Exchange (ETDEWEB)

    Cossement, Damien, E-mail: damien.cossement@materianova.be [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Renaux, Fabian [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Thiry, Damien; Ligot, Sylvie [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium); Francq, Rémy; Snyders, Rony [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium)

    2015-11-15

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH{sub 2}-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P{sub RF}), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P{sub RF}. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P{sub RF} excepted for the SH-PPF. These results have

  5. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. The chemical composition and band gap of amorphous Si:C:N:H layers

    Energy Technology Data Exchange (ETDEWEB)

    Swatowska, Barbara, E-mail: swatow@agh.edu.pl [AGH University of Science and Technology, Department of Electronics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Kluska, Stanislawa; Jurzecka-Szymacha, Maria [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Stapinski, Tomasz [AGH University of Science and Technology, Department of Electronics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Tkacz-Smiech, Katarzyna [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, Mickiewicza Av. 30, 30-059 Krakow (Poland)

    2016-05-15

    Highlights: • Six type of amorphous hydrogenated films were obtained and analysed. • Investigated chemical bondings strongly influenced energy gap values. • Analysed layers could be applied as semiconductors and also as dielectrics. - Abstract: In this work we presented the correlation between the chemical composition of amorphous Si:C:N:H layers of various content of silicon, carbon and nitrogen, and their band gap. The series of amorphous Si:C:N:H layers were obtained by plasma assisted chemical vapour deposition method in which plasma was generated by RF (13.56 MHz, 300 W) and MW (2.45 GHz, 2 kW) onto monocrystalline silicon Si(001) and borosilicate glass. Structural studies were based on FTIR transmission spectrum registered within wavenumbers 400–4000 cm{sup −1}. The presence of Si−C, Si−N, C−N, C=N, C=C, C≡N, Si−H and C−H bonds was shown. The values band gap of the layers have been determined from spectrophotometric and ellipsometric measurements. The respective values are contained in the range between 1.64 eV – characteristic for typical semiconductor and 4.21 eV – for good dielectric, depending on the chemical composition and atomic structure of the layers.

  7. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  8. Nitrogen Fixation by Gliding Arc Plasma: Better Insight by Chemical Kinetics Modelling.

    Science.gov (United States)

    Wang, Weizong; Patil, Bhaskar; Heijkers, Stjin; Hessel, Volker; Bogaerts, Annemie

    2017-05-22

    The conversion of atmospheric nitrogen into valuable compounds, that is, so-called nitrogen fixation, is gaining increased interest, owing to the essential role in the nitrogen cycle of the biosphere. Plasma technology, and more specifically gliding arc plasma, has great potential in this area, but little is known about the underlying mechanisms. Therefore, we developed a detailed chemical kinetics model for a pulsed-power gliding-arc reactor operating at atmospheric pressure for nitrogen oxide synthesis. Experiments are performed to validate the model and reasonable agreement is reached between the calculated and measured NO and NO 2 yields and the corresponding energy efficiency for NO x formation for different N 2 /O 2 ratios, indicating that the model can provide a realistic picture of the plasma chemistry. Therefore, we can use the model to investigate the reaction pathways for the formation and loss of NO x . The results indicate that vibrational excitation of N 2 in the gliding arc contributes significantly to activating the N 2 molecules, and leads to an energy efficient way of NO x production, compared to the thermal process. Based on the underlying chemistry, the model allows us to propose solutions on how to further improve the NO x formation by gliding arc technology. Although the energy efficiency of the gliding-arc-based nitrogen fixation process at the present stage is not comparable to the world-scale Haber-Bosch process, we believe our study helps us to come up with more realistic scenarios of entering a cutting-edge innovation in new business cases for the decentralised production of fertilisers for agriculture, in which low-temperature plasma technology might play an important role. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Wallenhorst, L.M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-01-01

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  10. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wallenhorst, L.M., E-mail: lena.wallenhorst@hawk-hhg.de [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Loewenthal, L.; Avramidis, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Gerhard, C. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany); Militz, H. [Wood Biology and Wood Products, Burckhardt Institute, Georg-August-University Göttingen, Büsgenweg 4, 37077 Göttingen (Germany); Ohms, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Viöl, W. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany)

    2017-07-15

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Comparisons of physical and chemical sputtering in high density divertor plasmas with the Monte Carlo Impurity (MCI) transport model

    International Nuclear Information System (INIS)

    Evans, T.E.; Loh, Y.S.; West, W.P.; Finkenthal, D.F.

    1997-11-01

    The MCI transport model was used to compare chemical and physical sputtering for a DIII-D divertor plasma near detachment. With physical sputtering alone the integrated carbon influx was 8.4 x 10 19 neutral/s while physical plus chemical sputtering produced an integrated carbon influx of 1.7 x 10 21 neutrals/s. The average carbon concentration in the computational volume increased from 0.012% with only physical sputtering to 0.182% with both chemical and physical sputtering. This increase in the carbon inventory produced more radiated power which is in better agreement with experimental measurements

  13. Controlled fabrication of the strong emission YVO4:Eu3+ nanoparticles and nanowires by microwave assisted chemical synthesis

    International Nuclear Information System (INIS)

    Huong, Tran Thu; Vinh, Le Thi; Phuong, Ha Thi; Khuyen, Hoang Thi; Anh, Tran Kim; Tu, Vu Duc; Minh, Le Quoc

    2016-01-01

    In this report, we are presenting the controlled fabrication results of the strong emission YVO 4 : Eu 3+ nanoparticles and nanowires by microwave which is assisted chemical synthesis. The effects of incorporated synthesis conditions such as microwave irradiated powers, pH values and concentration of chemical composition on properties of nanomaterials are also investigated to obtain the controllable size and homogenous morphology. Morphological and optical properties of YVO 4 : Eu 3+ prepared products which have been characterized by X-ray diffraction (XRD), field emission micrcroscopy (FESEM) and photoluminescence spectroscopy. As based from result of synthesized samples, we found that the changing of pH values, microwave irradiated powers and chemical composition rise to change reform the size and shape of materials from nanoparticles (diameter about 20 nm) to wires shape (with about 500÷800 nm length and 10÷20 nm width). The photoluminescence (PL) spectroscopy measurements of YVO 4 : Eu 3+ nanostructure materials under UV excitation showed that: the strong luminescence in red region with narrow lines corresponding to the intra-4f transitions of 5 D 0 – 7 F j (j=1, 2, 3, and 4) of Eu 3+ ions with the highest luminescence intensity of 5 D 0 → 7 F 2 transition. - Highlights: • The strong emission YVO 4 :Eu 3+ nanostructure materials were successfully synthesized by microwave assisted chemical synthesis. • The size, morphology and luminescence of the YVO 4 :Eu 3+ nanostructure materials can be controlled by the solution pH, microwave irradiated powers and chemical composition. • These YVO 4 :Eu 3+ nanostructure materials above can potentially applied in various fields of application, especially in luminescent labeling and visualization in biomedical application.

  14. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Numerical simulation of Trichel pulses of negative DC corona discharge based on a plasma chemical model

    Science.gov (United States)

    Chen, Xiaoyue; Lan, Lei; Lu, Hailiang; Wang, Yu; Wen, Xishan; Du, Xinyu; He, Wangling

    2017-10-01

    A numerical simulation method of negative direct current (DC) corona discharge based on a plasma chemical model is presented, and a coaxial cylindrical gap is adopted. There were 15 particle species and 61 kinds of collision reactions electrons involved, and 22 kinds of reactions between ions are considered in plasma chemical reactions. Based on this method, continuous Trichel pulses are calculated on about a 100 us timescale, and microcosmic physicochemical process of negative DC corona discharge in three different periods is discussed. The obtained results show that the amplitude of Trichel pulses is between 1-2 mA, and that pulse interval is in the order of 10-5 s. The positive ions produced by avalanche ionization enhanced the electric field near the cathode at the beginning of the pulse, then disappeared from the surface of cathode. The electric field decreases and the pulse ceases to develop. The negative ions produced by attachment slowly move away from the cathode, and the electric field increases gradually until the next pulse begins to develop. The positive and negative ions with the highest density during the corona discharge process are O4+ and O3- , respectively.

  16. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  17. Chemically produced nanostructured ODS-lanthanum oxide-tungsten composites sintered by spark plasma

    International Nuclear Information System (INIS)

    Yar, Mazher Ahmed; Wahlberg, Sverker; Bergqvist, Hans; Salem, Hanadi G.; Johnsson, Mats; Muhammed, Mamoun

    2011-01-01

    High purity W and W-0.9La 2 O 3 (wt.%) nanopowders were produced by a wet chemical route. The precursor was prepared by the reaction of ammonium paratungstate (APT) with lanthanum salt in aqueous solutions. High resolution electron microscopy investigations revealed that the tungstate particles were coated with oxide precipitates. The precursor powder was reduced to tungsten metal with dispersed lanthanum oxide. Powders were consolidated by spark plasma sintering (SPS) at 1300 and 1400 o C to suppress grain growth during sintering. The final grain size relates to the SPS conditions, i.e. temperature and heating rate, regardless of the starting powder particle size. Scanning electron microscopy revealed that oxide phases were mainly accumulated at grain boundaries while the tungsten matrix constituted of nanosized sub-grains. The transmission electron microscopy revealed that the tungsten grains consist of micron-scale grains and finer sub-grains. EDX analysis confirmed the presence of W in dispersed oxide phases with varying chemical composition, which evidenced the presence of complex oxide phases (W-O-La) in the sintered metals.

  18. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  19. Development of novel tungsten processing technologies for electro-chemical machining (ECM) of plasma facing components

    International Nuclear Information System (INIS)

    Holstein, Nils; Krauss, Wolfgang; Konys, Juergen

    2011-01-01

    Plasma facing components for fusion applications must exhibit long-term stability under extreme conditions, and therefore material imperfections cannot be tolerated due to a high risk of technical failures. To prevent or abolish defects in refractory metals components during the manufacturing process, some methods of electro-chemical machining as S-ECM and C-ECM were developed, enabling both the processing of smooth plain defect-free surfaces of different geometry and the removal of bulk material for the shaping of three-dimensional structures, also without cracks. It is discussed, that tungsten ablation with accurate electro-chemical molding is very sensitive to the kind of electric current, and therefore current investigations focused also on the effects of frequency profiles on the sharpness of edge rounding.

  20. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  1. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  2. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  3. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  4. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  5. Effects of pulse frequency of input power on the physical and chemical properties of pulsed streamer discharge plasmas in water

    Science.gov (United States)

    Ruma; Lukes, P.; Aoki, N.; Spetlikova, E.; Hosseini, S. H. R.; Sakugawa, T.; Akiyama, H.

    2013-03-01

    A repetitive pulsed-power modulator, which employs a magnetic pulse compression circuit with a high-speed thyristor switch, was used to study the effects of the pulse repetition rate of input power on the physical and chemical properties of pulsed discharges in water. Positive high-voltage pulses of 20 kV with repetition rates of up to 1 kHz were used to generate a discharge in water using the point-to-plane electrode geometry. By varying the pulse repetition rate, two distinct modes of the discharge plasma were formed in water. The first mode was characterized by the formation of a corona-like discharge propagating through water in the form of streamer channels. The second mode was formed typically above 500 Hz, when the formation of streamer channels in water was suppressed and all plasmas occurred inside a spheroidal aggregate of very fine gas bubbles surrounding the tip of the high-voltage electrode. The production of hydrogen peroxide, degradation of organic dye Acid Orange 7 (AO7) and inactivation of bacteria Escherichia coli by the discharge in water were studied under different discharge plasma modes in dependence on the pulse repetition rate of input power. The efficiency of both chemical and biocidal processes induced by the plasma in water decreased significantly with pulse repetition rates above 500 Hz.

  6. Effects of pulse frequency of input power on the physical and chemical properties of pulsed streamer discharge plasmas in water

    International Nuclear Information System (INIS)

    Ruma; Aoki, N; Hosseini, S H R; Sakugawa, T; Akiyama, H; Lukes, P; Spetlikova, E

    2013-01-01

    A repetitive pulsed-power modulator, which employs a magnetic pulse compression circuit with a high-speed thyristor switch, was used to study the effects of the pulse repetition rate of input power on the physical and chemical properties of pulsed discharges in water. Positive high-voltage pulses of 20 kV with repetition rates of up to 1 kHz were used to generate a discharge in water using the point-to-plane electrode geometry. By varying the pulse repetition rate, two distinct modes of the discharge plasma were formed in water. The first mode was characterized by the formation of a corona-like discharge propagating through water in the form of streamer channels. The second mode was formed typically above 500 Hz, when the formation of streamer channels in water was suppressed and all plasmas occurred inside a spheroidal aggregate of very fine gas bubbles surrounding the tip of the high-voltage electrode. The production of hydrogen peroxide, degradation of organic dye Acid Orange 7 (AO7) and inactivation of bacteria Escherichia coli by the discharge in water were studied under different discharge plasma modes in dependence on the pulse repetition rate of input power. The efficiency of both chemical and biocidal processes induced by the plasma in water decreased significantly with pulse repetition rates above 500 Hz. (paper)

  7. Foundations of atmospheric pressure non-equilibrium plasmas

    Science.gov (United States)

    Bruggeman, Peter J.; Iza, Felipe; Brandenburg, Ronny

    2017-12-01

    Non-equilibrium plasmas have been intensively studied over the past century in the context of material processing, environmental remediation, ozone generation, excimer lamps and plasma display panels. Research on atmospheric pressure non-equilibrium plasmas intensified over the last two decades leading to a large variety of plasma sources that have been developed for an extended application range including chemical conversion, medicine, chemical analysis and disinfection. The fundamental understanding of these discharges is emerging but there remain a lot of unexplained phenomena in these intrinsically complex plasmas. The properties of non-equilibrium plasmas at atmospheric pressure span over a huge range of electron densities as well as heavy particle and electron temperatures. This paper provides an overview of the key underlying processes that are important for the generation and stabilization of atmospheric pressure non-equilibrium plasmas. The unique physical and chemical properties of theses discharges are also summarized.

  8. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  9. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  10. One-Step Reforming of CO2 and CH4 into High-Value Liquid Chemicals and Fuels at Room Temperature by Plasma-Driven Catalysis.

    Science.gov (United States)

    Wang, Li; Yi, Yanhui; Wu, Chunfei; Guo, Hongchen; Tu, Xin

    2017-10-23

    The conversion of CO 2 with CH 4 into liquid fuels and chemicals in a single-step catalytic process that bypasses the production of syngas remains a challenge. In this study, liquid fuels and chemicals (e.g., acetic acid, methanol, ethanol, and formaldehyde) were synthesized in a one-step process from CO 2 and CH 4 at room temperature (30 °C) and atmospheric pressure for the first time by using a novel plasma reactor with a water electrode. The total selectivity to oxygenates was approximately 50-60 %, with acetic acid being the major component at 40.2 % selectivity, the highest value reported for acetic acid thus far. Interestingly, the direct plasma synthesis of acetic acid from CH 4 and CO 2 is an ideal reaction with 100 % atom economy, but it is almost impossible by thermal catalysis owing to the significant thermodynamic barrier. The combination of plasma and catalyst in this process shows great potential for manipulating the distribution of liquid chemical products in a given process. © 2017 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  11. Cold flame on Biofilm - Transport of Plasma Chemistry from Gas to Liquid Phase

    Science.gov (United States)

    Kong, Michael

    2014-10-01

    One of the most active and fastest growing fields in low-temperature plasma science today is biological effects of gas plasmas and their translation in many challenges of societal importance such as healthcare, environment, agriculture, and nanoscale fabrication and synthesis. Using medicine as an example, there are already three FDA-approved plasma-based surgical procedures for tissue ablation and blood coagulation and at least five phase-II clinical trials on plasma-assisted wound healing therapies. A key driver for realizing the immense application potential of near room-temperature ambient pressure gas plasmas, commonly known as cold atmospheric plasmas or CAP, is to build a sizeable interdisciplinary knowledge base with which to unravel, optimize, and indeed design how reactive plasma species interact with cells and their key components such as protein and DNA. Whilst a logical objective, it is a formidable challenge not least since existing knowledge of gas discharges is largely in the gas-phase and therefore not directly applicable to cell-containing matters that are covered by or embedded in liquid (e.g. biofluid). Here, we study plasma inactivation of biofilms, a jelly-like structure that bacteria use to protect themselves and a major source of antimicrobial resistance. As 60--90% of biofilm is made of water, we develop a holistic model incorporating physics and chemistry in the upstream CAP-generating region, a plasma-exit region as a buffer for as-phase transport, and a downstream liquid region bordering the gas buffer region. A special model is developed to account for rapid chemical reactions accompanied the transport of gas-phase plasma species through the gas-liquid interface and for liquid-phase chemical reactions. Numerical simulation is used to illustrate how key reactive oxygen species (ROS) are transported into the liquid, and this is supported with experimental data of both biofilm inactivation using plasmas and electron spin spectroscopy (ESR

  12. A Rapid Centrifugation-Assisted Solid-Phase Extraction and Liquid Chromatography Method for Determination of Loureirin A and Loureirin B of Dragon's Blood Capsules in Rat Plasma and Urine After Oral Administration.

    Science.gov (United States)

    Chen, Xiaoshuang; Li, Gaofeng; Ma, Shangfang; Hu, Xujia

    2015-07-01

    A simple, sensitive and rapid centrifugation-assisted solid-phase extraction (SPE) with high-performance liquid chromatography (SPE-HPLC) method was developed for simultaneous determination of the metabolites loureirin A and loureirin B from Dragon's blood in rat plasma and urine. The development of the extraction procedure included optimization of some important extraction phases. After evaluation, the metabolites of Dragon's blood were extracted by centrifugation-assisted SPE and separated by using HPLC. This method showed good linearity (r(2) > 0.99), and in the rat plasma and urine, the recoveries were 93.1 and 95.7% for loureirin A and were 90.1 and 94.2% for loureirin B. The relative standard deviation (RSD) values of intraday and interday precision in rat plasma and urine for loureirin A were <3.84 and 2.01%, respectively. The RSD values of the intraday and interday precision in rat plasma and urine for loureirin B were below 4.25 and 5.83%, respectively. Thus, the established method is suitable for metabolism studies of loureirin A and loureirin B in rat plasma and urine. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. Plasma in wound healing

    NARCIS (Netherlands)

    Tipa, R.S.

    2012-01-01

    Plasmas, due to their electrical and chemical properties, release in the invironment a unique cocktail of charged species, energetic photons and active radicals. Plasmas have a miriad of applications. To mention just a few, plasmas are being used in the semiconductors industry, atomic layer

  14. Advanced Chemical Propulsion

    Science.gov (United States)

    Bai, S. Don

    2000-01-01

    Design, propellant selection, and launch assistance for advanced chemical propulsion system is discussed. Topics discussed include: rocket design, advance fuel and high energy density materials, launch assist, and criteria for fuel selection.

  15. Effect of electronegative additives on physical properties and chemical activity of gas discharge plasma

    Science.gov (United States)

    Kuznetsov, D. L.; Filatov, I. E.; Uvarin, V. V.

    2018-01-01

    Effect of electronegative additives (oxygen O2, sulfur dioxide SO2, carbon disulfide CS2, and carbon tetrachloride CCl4) on physical properties and chemical activity of plasma formed by pulsed corona discharge and by non-self-sustained discharge supported by pulsed electron beam in atmospheric pressure gas mixtures was investigated. It is shown that a decrease in discharge current depends on a sort of the additive and on its concentration. The reason is the difference in rate constants of electron attachment processes for the above molecules. In experiments on volatile organic compounds (VOCs) conversion in air by streamer corona it is obtained that an addition of CCl4 both decreases the discharge current amplitude and increases the VOCs conversion degree. An installation for investigation of electron attachment processes and for study of toxic impurities conversion in plasma formed by non-self-sustained discharge initiated by pulsed nanosecond electron beam is created.

  16. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  17. Plasma-assisted nitrogen doping of VACNTs for efficiently enhancing the supercapacitor performance

    Energy Technology Data Exchange (ETDEWEB)

    Mashayekhi, Alireza; Hosseini, Seyed Mahmoud [University of Tehran, Nano-fabricated Energy Devices Laboratory, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of); Hassanpour Amiri, Morteza; Namdar, Naser [University of Tehran, Thin Film and Nano-electronics Laboratory, Nano-electronics Centre of Excellence, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of); Sanaee, Zeinab, E-mail: z.sanaee@ut.ac.ir [University of Tehran, Nano-fabricated Energy Devices Laboratory, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of)

    2016-06-15

    Nitrogen doping of vertically aligned carbon nanotubes (VACNTs) using plasma-enhanced chemical vapour deposition has been investigated to improve the supercapacitance performance of CNTs. Incorporating electrochemical measurements on the open-ended nitrogen-doped CNTs, showed the achievement of 6 times improvement in the capacitance value. For nitrogen-doped CNTs on silicon substrate, specific capacitance of 60 F g{sup −1} was obtained in 0.5 M KCl solution, with capacity retention ratio above 90 % after cycled at 0.1 A g{sup −1} for 5000 cycles. Using this sample, a symmetric supercapacitance was fabricated which showed the power density of 37.5 kW kg{sup −1}. The facile fabrication approach and its excellent capacitance improvement, propose it as an efficient technique for enhancing the supercapacitance performance of the carbon-based electrodes.

  18. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  19. Characterization and modeling of 2D-glass micro-machining by spark-assisted chemical engraving (SACE) with constant velocity

    International Nuclear Information System (INIS)

    Didar, Tohid Fatanat; Dolatabadi, Ali; Wüthrich, Rolf

    2008-01-01

    Spark-assisted chemical engraving (SACE) is an unconventional micro-machining technology based on electrochemical discharge used for micro-machining nonconductive materials. SACE 2D micro-machining with constant speed was used to machine micro-channels in glass. Parameters affecting the quality and geometry of the micro-channels machined by SACE technology with constant velocity were presented and the effect of each of the parameters was assessed. The effect of chemical etching on the geometry of micro-channels under different machining conditions has been studied, and a model is proposed for characterization of the micro-channels as a function of machining voltage and applied speed

  20. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  1. Controlling the Plasma-Polymerization Process of N-Vinyl-2-pyrrolidone

    DEFF Research Database (Denmark)

    Norrman, Kion; Winther-Jensen, Bjørn

    2005-01-01

    N-vinyl-2-pyrrolidone was plasma-polymerized on glass substrates using a pulsed AC plasma. Pulsed AC plasma produces a chemical surface structure different from that produced by conventional RF plasma; this is ascribed to the different power regimes used. A high degree of control over the structure...... of the chemical surface was obtained using pulsed AC plasma, as shown by ToF-SIMS. It is demonstrated how the experimental conditions to some extent control the chemical structure of the plasma-polymerized film, e.g., film thickness, density of post-plasma-polymerized oligomeric chains, and the density of intact...

  2. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Science.gov (United States)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  3. Towards ideal NOx control technology for bio-oils and a gas multi-fuel boiler system using a plasma-chemical hybrid process

    International Nuclear Information System (INIS)

    Fujishima, Hidekatsu; Takekoshi, Kenichi; Kuroki, Tomoyuki; Tanaka, Atsushi; Otsuka, Keiichi; Okubo, Masaaki

    2013-01-01

    Highlights: • A multi-fuel boiler system combined with NO x aftertreatment is developed. • NO x is removed from flue gas by a plasma-chemical hybrid process. • Waste bio-oils are utilized as renewable energy source and for CO 2 reduction. • Ultra low NO x emission less than 2 ppm is achieved. • The boiler system is applicable for industrial use. - Abstract: A super-clean boiler system comprising a multi-fuel boiler and a reactor for plasma-chemical hybrid NO x aftertreatment is developed, and its industrial applications are examined. The purpose of this research is to optimally reduce NO x emission and utilize waste bio-oil as a renewable energy source. First, NO oxidation using indirect plasma at elevated flue gas temperatures is investigated. It is clarified that more than 98% of NO is oxidized when the temperature of the flue gas is less than 130 °C. Three types of waste bio-oils (waste vegetable oil, rice bran oil, and fish oil) are burned in the boiler as fuels with a rotary-type burner for CO 2 reduction considering carbon neutrality. NO x in the flue gases of these bio-oils is effectively reduced by the indirect plasma-chemical hybrid treatment. Ultralow NO x emission less than 2 ppm is achieved for 450 min in the firing of city natural gas fuel. The boiler system can be successfully operated automatically according to unsteady steam demand and using an empirical equation for Na 2 SO 3 supply rate, and can be used in industries as an ideal NO x control technology

  4. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  5. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  6. Review on plasmas in extraordinary media: plasmas in cryogenic conditions and plasmas in supercritical fluids

    Science.gov (United States)

    Stauss, Sven; Muneoka, Hitoshi; Terashima, Kazuo

    2018-02-01

    Plasma science and technology has enabled advances in very diverse fields: micro- and nanotechnology, chemical synthesis, materials fabrication and, more recently, biotechnology and medicine. While many of the currently employed plasma tools and technologies are very advanced, the types of plasmas used in micro- and nanofabrication pose certain limits, for example, in treating heat-sensitive materials in plasma biotechnology and plasma medicine. Moreover, many physical properties of plasmas encountered in nature, and especially outer space, i.e. very-low-temperature plasmas or plasmas that occur in high-density media, are not very well understood. The present review gives a short account of laboratory plasmas generated under ’extreme’ conditions: at cryogenic temperatures and in supercritical fluids. The fundamental characteristics of these cryogenic plasmas and cryoplasmas, and plasmas in supercritical fluids, especially supercritical fluid plasmas, are presented with their main applications. The research on such exotic plasmas is expected to lead to further understanding of plasma physics and, at the same time, enable new applications in various technological fields.

  7. Cold Plasma: simple tool for convenient utilitarian chemistry in homogeneous and heterogeneous environments

    International Nuclear Information System (INIS)

    Das, Tomi Nath; Dey, Ghasi Ram

    2015-07-01

    Cold Plasma based experimental facilities have been commissioned (XI-XII Plan periods) in Radiation and Photochemistry Division, BARC to carry out free radical and excited state-induced chemistry in single- and mixed-phase milieu. In any reaction medium, Dielectric Barrier assisted Electric Discharge generates in situ non-equilibrium plasma constituting of electrons and photons (< 10 eV each) and chemically reactive ions, excited species and free radical transients near room temperature and pressure. Choice of reactants and nature of other added ingredient(s), type of interacting surface(s) and the dielectric characteristics, the rate and amount of electric energy dissipated within etc. control various reactions’ propensities and the natures of final products, following either routine or novel, atypical chemistry. A selection of results obtained from our laboratory highlight the development and the potential use of this technology. Constant improvements in Cold Plasma reactor types, and design, fabrication and assembly of a real-time measurement system, aiming to probe mechanistic chemistry, are also underway. (author)

  8. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  9. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  10. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  11. Ultrasonic-assisted chemical reduction synthesis and structural characterization of copper nanoparticles

    Science.gov (United States)

    Anh-Nga, Nguyen T.; Tuan-Anh, Nguyen; Thanh-Quoc, Nguyen; Ha, Do Tuong

    2018-04-01

    Copper nanoparticles, due to their special properties, small dimensions and low-cost preparation, have many potential applications such as in optical, electronics, catalysis, sensors, antibacterial agents. In this study, copper nanoparticles were synthesized by chemical reduction method with different conditions in order to investigate the optimum conditions which gave the smallest (particle diameter) dimensions. The synthesis step used copper (II) acetate salt as precursor, ascorbic acid as reducing agent, glycerin and polyvinylpyrrolidone (PVP) as protector and stabilizer. The assistance of ultrasonic was were considered as the significant factor affecting the size of the synthesized particles. The results showed that the copper nanoparticles have been successfully synthesized with the diameter as small as 20-40 nm and the conditions of ultrasonic waves were 48 kHz of frequency, 20 minutes of treated time and 65-70 °C of temperature. The synthesized copper nanoparticles were characterized by optical absorption spectrum, scanning electron microscopy (SEM), and Fourier Transform Infrared Spectrometry.

  12. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  13. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  14. Spectroscopic ellipsometry on Si/SiO2/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    International Nuclear Information System (INIS)

    Eren, Baran; Fu, Wangyang; Marot, Laurent; Calame, Michel; Steiner, Roland; Meyer, Ernst

    2015-01-01

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation

  15. Study of plasma-wall interactions in Tore-supra; Etude des phenomenes d'interaction plasma/paroi dans Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Ruggieri, R

    2000-01-01

    In tokamaks the interaction between wall and plasma generates impurities that affect the thermonuclear fusion. This thesis is divided into 2 parts. The first part describes the physico-chemical processes that are involved in chemical erosion, the second part deals with the study of the wear of Tore-supra's walls due to chemical erosion. Chapter 1 presents the wall-plasma interaction and reviews the different processes between plasma and carbon that occur in Tore-supra. Chapter 2 considers the various crystallographic and electronic structures of the carbon that interferes with Tore-supra plasma, the evolution of these structures during irradiation and their temperature dependence are studied. Chapter 3 presents a crystallo-chemical study of graphite samples that have undergone different surface treatments: ionic bombardment, annealing and air exposure. This experimental study has been performed by using energy-loss spectroscopy. It is shown that air exposure modifies the crystallo-chemical structure of surfaces, so it is necessary to prevent air from contaminating wall samples from Tore-supra. Chapter 4 presents a parametric study of chemical erosion rate of plasma facing components (LPM) of Tore-supra. A relation such as Y{sub cd4}{alpha}{gamma}{sup -0.1} gives a good agreement for chemical erosion rate between measurements and the numerical values of the simulation. (A.C.)

  16. Plasma Mass Filters For Nuclear Waste Reprocessing

    International Nuclear Information System (INIS)

    Fetterman, Abraham J.; Fisch, Nathaniel J.

    2011-01-01

    Practical disposal of nuclear waste requires high-throughput separation techniques. The most dangerous part of nuclear waste is the fission product, which contains the most active and mobile radioisotopes and produces most of the heat. We suggest that the fission products could be separated as a group from nuclear waste using plasma mass filters. Plasmabased processes are well suited to separating nuclear waste, because mass rather than chemical properties are used for separation. A single plasma stage can replace several stages of chemical separation, producing separate streams of bulk elements, fission products, and actinoids. The plasma mass filters may have lower cost and produce less auxiliary waste than chemical processing plants. Three rotating plasma configurations are considered that act as mass filters: the plasma centrifuge, the Ohkawa filter, and the asymmetric centrifugal trap.

  17. Modeling of Plasma-Induced Ignition and Combustion

    National Research Council Canada - National Science Library

    Boyd, Iain D; Keidar, Michael

    2008-01-01

    .... Phenomena that must be considered in an electrothermal chemical gun model include the initial capillary plasma properties, the plasma-air interaction, plasma sheath effects, and the plasma-propellant interaction itself...

  18. Tritium-assisted fusion breeders

    International Nuclear Information System (INIS)

    Greenspan, E.; Miley, G.H.

    1983-08-01

    This report undertakes a preliminary assessment of the prospects of tritium-assisted D-D fuel cycle fusion breeders. Two well documented fusion power reactor designs - the STARFIRE (D-T fuel cycle) and the WILDCAT (Cat-D fuel cycle) tokamaks - are converted into fusion breeders by replacing the fusion electric blankets with 233 U producing fission suppressed blankets; changing the Cat-D fuel cycle mode of operation by one of the several tritium-assisted D-D-based modes of operation considered; adjusting the reactor power level; and modifying the resulting plant cost to account for the design changes. Three sources of tritium are considered for assisting the D-D fuel cycle: tritium produced in the blankets from lithium or from 3 He and tritium produced in the client fission reactors. The D-D-based fusion breeders using tritium assistance are found to be the most promising economically, especially the Tritium Catalyzed Deuterium mode of operation in which the 3 He exhausted from the plasma is converted, by neutron capture in the blanket, into tritium which is in turn fed back to the plasma. The number of fission reactors of equal thermal power supported by Tritium Catalyzed Deuterium fusion breeders is about 50% higher than that of D-T fusion breeders, and the profitability is found to be slightly lower than that of the D-T fusion breeders

  19. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  20. Characterization of Carbon-Contaminated B4C-Coated Optics after Chemically Selective Cleaning with Low-Pressure RF Plasma.

    Science.gov (United States)

    Moreno Fernández, H; Rogler, D; Sauthier, G; Thomasset, M; Dietsch, R; Carlino, V; Pellegrin, E

    2018-01-22

    Boron carbide (B 4 C) is one of the few materials that is expected to be most resilient with respect to the extremely high brilliance of the photon beam generated by free electron lasers (FELs) and is thus of considerable interest for optical applications in this field. However, as in the case of many other optics operated at light source facilities, B 4 C-coated optics are subject to ubiquitous carbon contaminations. Carbon contaminations represent a serious issue for the operation of FEL beamlines due to severe reduction of photon flux, beam coherence, creation of destructive interference, and scattering losses. A variety of B 4 C cleaning technologies were developed at different laboratories with varying success. We present a study regarding the low-pressure RF plasma cleaning of carbon contaminated B 4 C test samples via inductively coupled O 2 /Ar, H 2 /Ar, and pure O 2 RF plasma produced following previous studies using the same ibss GV10x downstream plasma source. Results regarding the chemistry, morphology as well as other aspects of the B 4 C optical coating before and after the plasma cleaning are reported. We conclude that among the above plasma processes only plasma based on pure O 2 feedstock gas exhibits the required chemical selectivity for maintaining the integrity of the B 4 C optical coatings.

  1. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    Science.gov (United States)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  2. Decontamination of Chemical/Biological Warfare (CBW) Agents Using an Atmospheric Pressure Plasma Jet (APPJ)

    Science.gov (United States)

    Herrmann, Hans W.

    1998-11-01

    The atmospheric pressure plasma jet (APPJ) is a non-thermal, high pressure, uniform glow discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g. He/O_2/H_2O) which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz RF. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains metastables (e.g. O2*, He*) and radicals (e.g. O, OH). These reactive species have been shown to be effective neutralizers of surrogates for anthrax spores, mustard blister agent and VX nerve gas. Unlike conventional, wet decontamination methods, the plasma effluent does not cause corrosion of most surfaces and does not damage wiring, electronics, nor most plastics. This makes it highly suitable for decontamination of high value sensitive equipment such as is found in vehicle interiors (i.e. tanks, planes...) for which there is currently no good decontamination technique. Furthermore, the reactive species rapidly degrade into harmless products leaving no lingering residue or harmful byproducts. Physics of the APPJ will be discussed and results of surface decontamination experiments using simulant and actual CBW agents will be presented.

  3. Disposal of olive mill wastewater with DC arc plasma method.

    Science.gov (United States)

    Ibrahimoglu, Beycan; Yilmazoglu, M Zeki

    2018-07-01

    Olive mill wastewater is an industrial waste, generated as a byproduct of olive oil production process and generally contains components such as organic matter, suspended solids, oil, and grease. Although various methods have been developed to achieve the disposal of this industrial wastewater, due to the low cost, the most common disposal application is the passive storage in the lagoons. The main objective of this study is to reduce pollution parameters in olive mill wastewater and draw water to discharge limits by using plasma technology. Plasma-assisted disposal of olive mill wastewater method could be an alternative disposal technique when considering potential utilization of treated water in agricultural areas and economic value of flammable plasma gas which is the byproduct of disposal process. According to the experimental results, the rates of COD (chemical oxygen demand) and BOD (biological oxygen demand) of olive mill wastewater are decreased by 94.42% and 95.37%, respectively. The dissolved oxygen amount is increased from 0.36 to 6.97 mg/l. In addition, plasma gas with high H 2 content and treated water that can be used in agricultural areas for irrigation are obtained from non-dischargeable wastewater. Copyright © 2018 Elsevier Ltd. All rights reserved.

  4. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S.; Muhl S, S.

    2004-01-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H 2 /CH 4 in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10 -4 to 6x10 -4 Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  5. pypk - A Python extension module to handle chemical kinetics in plasma physics modeling

    Directory of Open Access Journals (Sweden)

    2008-06-01

    Full Text Available PLASMAKIN is a package to handle physical and chemical data used in plasma physics modeling and to compute gas-phase and gas-surface kinetics data: particle production and loss rates, photon emission spectra and energy exchange rates. A large number of species properties and reaction types are supported, namely: gas or electron temperature dependent collision rate coefficients, vibrational and cascade levels, evaluation of branching ratios, superelastic and other reverse processes, three-body collisions, radiation imprisonment and photoelectric emission. Support of non-standard rate coefficient functions can be handled by a user-supplied shared library.

    The main block of the PLASMAKIN package is a Fortran module that can be included in an user's program or compiled as a shared library, libpk. pypk is a new addition to the package and provides access to libpk from Python programs. It is build on top of the ctypes foreign function library module and is prepared to work with several Fortran compilers. However pypk is more than a wrapper and provides its own classes and functions taking advantage of Python language characteristics. Integration with Python tools allows substantial productivity gains on program development and insight on plasma physics problems.

  6. Aerospace Applications of Non-Equilibrium Plasma

    Science.gov (United States)

    Blankson, Isaiah M.

    2016-01-01

    Nonequilibrium plasma/non-thermal plasma/cold plasmas are being used in a wide range of new applications in aeronautics, active flow control, heat transfer reduction, plasma-assisted ignition and combustion, noise suppression, and power generation. Industrial applications may be found in pollution control, materials surface treatment, and water purification. In order for these plasma processes to become practical, efficient means of ionization are necessary. A primary challenge for these applications is to create a desired non-equilibrium plasma in air by preventing the discharge from transitioning into an arc. Of particular interest is the impact on simulations and experimental data with and without detailed consideration of non-equilibrium effects, and the consequences of neglecting non-equilibrium. This presentation will provide an assessment of the presence and influence of non-equilibrium phenomena for various aerospace needs and applications. Specific examples to be considered will include the forward energy deposition of laser-induced non-equilibrium plasmoids for sonic boom mitigation, weakly ionized flows obtained from pulsed nanosecond discharges for an annular Hall type MHD generator duct for turbojet energy bypass, and fundamental mechanisms affecting the design and operation of novel plasma-assisted reactive systems in dielectric liquids (water purification, in-pipe modification of fuels, etc.).

  7. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  8. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  9. Double dielectric barrier (DBD) plasma-assisted deposition of chemical stabilized nanoparticles on polyamide 6,6 and polyester fabrics

    Science.gov (United States)

    Ribeiro, A. I.; Modic, M.; Cvelbar, U.; Dinescu, G.; Mitu, B.; Nikiforov, A.; Leys, C.; Kuchakova, I.; Vanneste, M.; Heyse, P.; De Vrieze, M.; Carneiro, N.; Souto, A. P.; Zille, A.

    2017-10-01

    The development of new multifunctional textiles containing nanoparticles (NPs) has a special interest in several applications for pharmaceutical and medical products. Cu, Zn and Ag are the most promising antimicrobial NPs, exhibiting strong antibacterial activities. However, most of antimicrobial textiles coated with NPs are not able to perform a controlled release of NPs because of the high degree of aggregation. The aim of this study is to assess the effect of NPs stabilizers such as citrate, alginate and polyvinyl alcohol (PVA) in Cu, Zn and Ag NPs dispersions. The obtained dispersions were used to develop a new class of antibacterial NPs coatings onto polyamide 6,6 (PA66) and polyester fabrics (PES) by Double Dielectric Barrier (DBD) plasma discharge. Dynamic light scattering (DLS) was used to evaluate the best dispersing agent in terms of size, polydispersity index and zeta potential. Coating efficiency was evaluated by SEM, XPS and FTIR. The washing fastness of the coatings developed was also tested. The results show that the best dispersions were obtained using 2.5% of citrate for ZnO, 5% Alginate for Cu and 2.5% alginate for Ag NPs. SEM, XPS and FTIR analysis shows that DBD is an efficient deposition technique only for Ag and Cu NPs and that better perform in PA66 than PES fabric. The DBD deposition in air display similar results in term of NPS deposition of usually more efficient plasma jets using carrier gas such as N2 and Ar.

  10. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  11. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  12. Magnetically assisted chemical separation (MACS) process: Preparation and optimization of particles for removal of transuranic elements

    International Nuclear Information System (INIS)

    Nunez, L.; Kaminski, M.; Bradley, C.; Buchholz, B.A.; Aase, S.B.; Tuazon, H.E.; Vandegrift, G.F.; Landsberger, S.

    1995-05-01

    The Magnetically Assisted Chemical Separation (MACS) process combines the selectivity afforded by solvent extractants with magnetic separation by using specially coated magnetic particles to provide a more efficient chemical separation of transuranic (TRU) elements, other radionuclides, and heavy metals from waste streams. Development of the MACS process uses chemical and physical techniques to elucidate the properties of particle coatings and the extent of radiolytic and chemical damage to the particles, and to optimize the stages of loading, extraction, and particle regeneration. This report describes the development of a separation process for TRU elements from various high-level waste streams. Polymer-coated ferromagnetic particles with an adsorbed layer of octyl(phenyl)-N,N-diisobutylcarbamoylmethylphosphine oxide (CMPO) diluted with tributyl phosphate (TBP) were evaluated for use in the separation and recovery of americium and plutonium from nuclear waste solutions. Due to their chemical nature, these extractants selectively complex americium and plutonium contaminants onto the particles, which can then be recovered from the solution by using a magnet. The partition coefficients were larger than those expected based on liquid[liquid extractions, and the extraction proceeded with rapid kinetics. Extractants were stripped from the particles with alcohols and 400-fold volume reductions were achieved. Particles were more sensitive to acid hydrolysis than to radiolysis. Overall, the optimization of a suitable NMCS particle for TRU separation was achieved under simulant conditions, and a MACS unit is currently being designed for an in-lab demonstration

  13. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  14. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas; Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel; Sietmann, Rabea; Kindel, Eckhard; Weltmann, Klaus-Dieter

    2010-01-01

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log 10 reduction factor of 1.5, the log 10 reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  15. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas [Unit of Periodontology, Dental School, University of Greifswald, Rotgerberstr. 8, 17475 Greifswald (Germany); Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel [Institute for Hygiene and Environmental Medicine, University of Greifswald, Walther-Rathenau-Str. 49 a, 17487 Greifswald (Germany); Sietmann, Rabea [Institute of Microbiology, University of Greifswald, Friedrich-Ludwig-Jahn-Str. 15, 17487 Greifswald (Germany); Kindel, Eckhard; Weltmann, Klaus-Dieter, E-mail: ina.koban@uni-greifswald.d [Leibniz Institute for Plasma Science and Technology (INP), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2010-07-15

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log{sub 10} reduction factor of 1.5, the log{sub 10} reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  16. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  17. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  18. Investigation of the surface chemical and electronic states of pyridine-capped CdSe nanocrystal films after plasma treatments using H2, O2, and Ar gases

    International Nuclear Information System (INIS)

    Wang, Seok-Joo; Kim, Hyuncheol; Park, Hyung-Ho; Lee, Young-Su; Jeon, Hyeongtag; Chang, Ho Jung

    2010-01-01

    Surface chemical bonding and the electronic states of pyridine-capped CdSe nanocrystal films were evaluated using x-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy before and after plasma treatments using H 2 , O 2 , and Ar gases from the viewpoint of studying the effects of surface capping organic molecules and surface oxidation. Surface capping organic molecules could be removed during the plasma treatment due to the chemical reactivity, ion energy transfer, and vacuum UV (VUV) of the plasma gases. With O 2 plasma treatment, surface capping organic molecules were effectively removed but substantial oxidation of CdSe occurred during the plasma treatment. The valence band maximum energy (E VBM ) of CdSe nanocrystal films mainly depends on the apparent size of pyridine-capped CdSe nanocrystals, which controls the interparticle distance, and also on the oxidation of CdSe nanocrystals. Cd-rich surface in O 2 and H 2 plasma treatments partially would compensate for the decrease in E VBM . After Ar plasma treatment, the smallest value of E VBM resulted from high VUV photon flux, short wavelength, and ion energy transfer. The surface bonding states of CdSe had a strong influence on the electronic structure with the efficient strip of capping molecules as well as different surface oxidations and surface capping molecule contents.

  19. Spectroscopic ellipsometry on Si/SiO{sub 2}/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Fu, Wangyang; Marot, Laurent, E-mail: laurent.marot@unibas.ch; Calame, Michel; Steiner, Roland; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-01-05

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation.

  20. Controlled fabrication of the strong emission YVO{sub 4}:Eu{sup 3+} nanoparticles and nanowires by microwave assisted chemical synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Huong, Tran Thu, E-mail: tthuongims@gmail.com [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Vinh, Le Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Department of Chemistry, Hanoi University of Mining and Geology (Viet Nam); Phuong, Ha Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Department of Chemistry, Hanoi University of Medicine (Viet Nam); Khuyen, Hoang Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Anh, Tran Kim [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Duy Tan University, 14/25 Quang Trung, Da Nang (Viet Nam); Tu, Vu Duc [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Physics, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 62102, Taiwan (China); Minh, Le Quoc [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Duy Tan University, 14/25 Quang Trung, Da Nang (Viet Nam)

    2016-05-15

    In this report, we are presenting the controlled fabrication results of the strong emission YVO{sub 4}: Eu{sup 3+} nanoparticles and nanowires by microwave which is assisted chemical synthesis. The effects of incorporated synthesis conditions such as microwave irradiated powers, pH values and concentration of chemical composition on properties of nanomaterials are also investigated to obtain the controllable size and homogenous morphology. Morphological and optical properties of YVO{sub 4}: Eu{sup 3+} prepared products which have been characterized by X-ray diffraction (XRD), field emission micrcroscopy (FESEM) and photoluminescence spectroscopy. As based from result of synthesized samples, we found that the changing of pH values, microwave irradiated powers and chemical composition rise to change reform the size and shape of materials from nanoparticles (diameter about 20 nm) to wires shape (with about 500÷800 nm length and 10÷20 nm width). The photoluminescence (PL) spectroscopy measurements of YVO{sub 4}: Eu{sup 3+} nanostructure materials under UV excitation showed that: the strong luminescence in red region with narrow lines corresponding to the intra-4f transitions of {sup 5}D{sub 0}–{sup 7}F{sub j} (j=1, 2, 3, and 4) of Eu{sup 3+} ions with the highest luminescence intensity of {sup 5}D{sub 0}→{sup 7}F{sub 2} transition. - Highlights: • The strong emission YVO{sub 4}:Eu{sup 3+} nanostructure materials were successfully synthesized by microwave assisted chemical synthesis. • The size, morphology and luminescence of the YVO{sub 4}:Eu{sup 3+} nanostructure materials can be controlled by the solution pH, microwave irradiated powers and chemical composition. • These YVO{sub 4}:Eu{sup 3+} nanostructure materials above can potentially applied in various fields of application, especially in luminescent labeling and visualization in biomedical application.

  1. Realization of high efficiency in a plasma-assisted microwave source with two-dimensional electron motion

    International Nuclear Information System (INIS)

    Shkvarunets, A.G.; Carmel, Y.; Nusinovich, G.S.; Abu-elfadl, T.M.; Rodgers, J.; Antonsen, T.M. Jr.; Granatstein, V.; Goebel, D.M.

    2002-01-01

    Conventional microwave sources utilize a strong axial magnetic field to guide an electron beam through an interaction region. A plasma-assisted slow wave microwave oscillator (Pasotron) can operate without an external magnetic field because the presence of ions neutralizes the space charge in the beam, permits the self-pinch forces to provide beam propagation, and allows for the radial motion of electrons under the action of transverse fields of the wave. While the inherent efficiency of conventional microwave sources with 1D electron flow is limited to 15%-20%, it is shown in this work that both the calculated and measured inherent efficiency of devices with 2D electron flow can be higher than 50%. Both in situ diagnostics and analysis confirmed that the enhanced efficiency is due to the fact that rf forces dominate the beam dynamics

  2. Chemical fate of the nicotinic acetylcholinergic radiotracer [123I]5-IA-85380 in baboon brain and plasma

    International Nuclear Information System (INIS)

    Baldwin, Ronald M.; Zoghbi, Sami S.; Staley, Julie K.; Brenner, Eric; Al-Tikriti, Mohammed S.; Amici, Louis; Fujita, Masahiro; Innis, Robert B.; Tamagnan, Gilles

    2006-01-01

    The fate of the nicotinic acetylcholinergic receptor radiotracer [ 123 I]5-IA-85380 ([ 123 I]5-IA) was studied in baboon by analyzing the chemical composition of brain tissue and plasma after intravenous administration of the tracer. Acetonitrile denaturation and high-performance liquid chromatography (HPLC) analysis showed predominantly unchanged (91-98%) parent tracer in all brain tissues examined, compared to significant metabolism (23% parent) in the plasma at 90 min postinjection, and control tissue recovery of 95-98%. [ 123 I]5-IA was distributed to the thalamus with a standardized uptake value of 9.2 (0.04% dose/g) or a concentration 5.8 times higher than that of the cerebellum. The HPLC behavior of a synthesized sample of one hypothesized metabolite, 5-iodo-3-pyridinol (5-IP), was consistent with plasma radiometabolite fraction. Since only parent radiotracer compound was found in brain tissue, these results add confidence that information derived from single photon emission computed tomography images of 123 I activity in the brain after [ 123 I]5-IA administration can be interpreted as distribution of an intact radiotracer

  3. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance

    Energy Technology Data Exchange (ETDEWEB)

    Marsik, Premysl, E-mail: marsik@physics.muni.c [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Urbanowicz, Adam M. [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); Verdonck, Patrick [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); De Roest, David; Sprey, Hessel [ASM Belgium, Kapeldreef 75, 3001 Leuven (Belgium); Baklanov, Mikhail R. [IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2011-03-31

    A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at {lambda} = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH{sub 3} plasma (used for the CuO{sub x} reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of Si-CH{sub 3} groups and their replacement with Si-H. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH{sub 3} plasma exposure is driven mainly by the film porosity.

  4. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  5. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  6. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  7. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  8. The plasma universe

    International Nuclear Information System (INIS)

    Faelthammar, C.G.

    1989-12-01

    The term 'Plasma Universe', coined by Hannes Alfven, emphasices the fact that plasma phenomena discovered in the laboratory and in accessible regions of space. must be important also in the rest of the universe, which consists almost entirely of matter in the plasma state. Relevant aspect of this concept will be discussed. They include the response of the plasma to electric currents, the support of magnetic-field aligned electric fields, violation of the frozen-field condition, rapid release of magnetically stored energy, acceleration of charged particles, chemical separation, and filamentary and cellular structures. (authors)

  9. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  10. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  11. Proton-sensing transistor systems for detecting ion leakage from plasma membranes under chemical stimuli.

    Science.gov (United States)

    Imaizumi, Yuki; Goda, Tatsuro; Schaffhauser, Daniel F; Okada, Jun-Ichi; Matsumoto, Akira; Miyahara, Yuji

    2017-03-01

    The membrane integrity of live cells is routinely evaluated for cytotoxicity induced by chemical or physical stimuli. Recent progress in bioengineering means that high-quality toxicity validation is required. Here, we report a pH-sensitive transistor system developed for the continuous monitoring of ion leakage from cell membranes upon challenge by toxic compounds. Temporal changes in pH were generated with high reproducibility via periodic flushing of HepG2 cells on a gate insulator of a proton-sensitive field-effect transistor with isotonic buffer solutions with/without NH 4 Cl. The pH transients at the point of NH 4 Cl addition/withdrawal originated from the free permeation of NH 3 across the semi-permeable plasma membranes, and the proton sponge effect produced by the ammonia equilibrium. Irreversible attenuation of the pH transient was observed when the cells were subjected to a membrane-toxic reagent. Experiments and simulations proved that the decrease in the pH transient was proportional to the area of the ion-permeable pores on the damaged plasma membranes. The pH signal was correlated with the degree of hemolysis produced by the model reagents. The pH assay was sensitive to the formation of molecularly sized pores that were otherwise not measurable via detection of the leakage of hemoglobin, because the hydrodynamic radius of hemoglobin was greater than 3.1nm in the hemolysis assay. The pH transient was not disturbed by inherent ion-transporter activity. The ISFET assay was applied to a wide variety of cell types. The system presented here is fast, sensitive, practical and scalable, and will be useful for validating cytotoxins and nanomaterials. The plasma membrane toxicity and hemolysis are widely and routinely evaluated in biomaterials science and biomedical engineering. Despite the recent development of a variety of methods/materials for efficient gene/drug delivery systems to the cytosol, the methodologies for safety validation remain unchanged in

  12. Study of ultrasound-assisted radio-frequency plasma discharges in n-dodecane

    Science.gov (United States)

    Camerotto, Elisabeth; De Schepper, Peter; Nikiforov, Anton Y.; Brems, Steven; Shamiryan, Denis; Boullart, Werner; Leys, Christophe; De Gendt, Stefan

    2012-10-01

    This paper investigates the generation of a stable plasma phase in a liquid hydrocarbon (n-dodecane) by means of ultrasound (US) and radio-frequency (RF) or electromagnetic radiation. It is demonstrated for the first time that ultrasonic aided RF plasma discharges can be generated in a liquid. Plasma discharges are obtained for different gas mixtures at a pressure of 12 kPa and at low ignition powers (100 W for RF and 2.4 W cm-2 for US). Direct carbon deposition from the liquid precursor on Cu, Ni, SiO2 and Si substrates has been obtained and no apparent compositional or structural difference among the substrate materials was observed. Characterization of the deposited solid phase revealed an amorphous structure. In addition, structural changes in the liquid precursor after plasma treatment have been analysed. Optical emission spectroscopy (OES) allowed the estimation of several plasma characteristic temperatures. The plasma excitation temperature was estimated to be about 2.3-2.4 eV. The rotational and vibrational temperatures of the discharge in n-dodecane with Ar as a feed gas were 1400 K and 6500 K, respectively. In Ar/O2 plasma, an increased rotational (1630 K) and vibrational temperature (7200 K) were obtained.

  13. Industrial applications of plasma, microwave and ultrasound techniques : nitrogen-fixation and hydrogenation reactions

    NARCIS (Netherlands)

    Hessel, V.; Cravotto, G.; Fitzpatrick, P.; Patil, B.S.; Lang, J.; Bonrath, W.

    2013-01-01

    The MAPSYN project (Microwave, Acoustic and Plasma assisted SYNtheses) aims at nitrogen-fixation reactions intensified by plasma catalysis and selective hydrogenations intensified by microwaves, possibly assisted by ultrasound. Energy efficiency is the key motif of the project and the call of the

  14. Zirconium silicate assisted removal of residual proteins after organic solvent deproteinization of human plasma, enhancing the stability of the LC–ESI-MS response for the bioanalysis of small molecules

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Shah; Pezzei, Cornelia [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Güzel, Yüksel [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); ADSI-Austrian Drug Screening Institute, Innrain 66a, 6020 Innsbruck (Austria); Rainer, Matthias [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Huck, Christian W., E-mail: Christian.W.Huck@uibk.ac.at [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); Bonn, Günther K. [Institute of Analytical Chemistry and Radiochemistry, CCB-Center for Chemistry and Biomedicine, Leopold-Franzens University, Innrain 80/82, 6020 Innsbruck (Austria); ADSI-Austrian Drug Screening Institute, Innrain 66a, 6020 Innsbruck (Austria)

    2014-12-10

    Highlights: • A novel sample preparation technique for isolation of small molecules from human plasma. • Effectiveness of zirconium silicate for the removal of residual proteins after protein precipitation. • Abolishing the consumption of salts for the depletion of residual proteins after protein precipitation. • More than 99.6% removal of plasma proteins. - Abstract: An efficient blood plasma clean-up method was developed, where methanol protein precipitation was applied, followed by zirconium silicate assisted exclusion of residual proteins. A strong binding of zirconium (IV) silicate to the proteins enabled the elimination of remaining proteins after solvent deproteinization through a rapid solid-phase extraction (SPE) procedure. Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI/TOF MS) was used for monitoring the proteins during clean-up practice applied to human plasma samples. The proteins were quantified by colorimetric detection using the bicinchoninic acid (BCA) assay. The presented analytical strategy resulted in the depletion of >99.6% proteins from human plasma samples. Furthermore, high-performance liquid chromatography hyphenated to diode-array and electrospray ionization mass spectrometric detection (HPLC–DAD/ESI MS) was applied for qualitative and quantitative analysis of the caffeoylquinic acids (CQAs) and their metabolites in human plasma. The procedure demonstrated high recoveries for the standard compounds spiked at different concentrations. Cynarin and chlorogenic acid were recovered in the range of 81–86% and 78–83%, respectively. Caffeic acid was extracted in the excess of 89–92%, while ferulic acid and dihydroxyhydrocinnamic acid showed a recovery of 87–91% and 92–95%, respectively. The method was partially validated in accordance with FDA-Industry Guidelines for Bioanalytical Method Validation (2001). The presented scheme improves the clean-up efficacy of the methanol deproteinization

  15. Zirconium silicate assisted removal of residual proteins after organic solvent deproteinization of human plasma, enhancing the stability of the LC–ESI-MS response for the bioanalysis of small molecules

    International Nuclear Information System (INIS)

    Hussain, Shah; Pezzei, Cornelia; Güzel, Yüksel; Rainer, Matthias; Huck, Christian W.; Bonn, Günther K.

    2014-01-01

    Highlights: • A novel sample preparation technique for isolation of small molecules from human plasma. • Effectiveness of zirconium silicate for the removal of residual proteins after protein precipitation. • Abolishing the consumption of salts for the depletion of residual proteins after protein precipitation. • More than 99.6% removal of plasma proteins. - Abstract: An efficient blood plasma clean-up method was developed, where methanol protein precipitation was applied, followed by zirconium silicate assisted exclusion of residual proteins. A strong binding of zirconium (IV) silicate to the proteins enabled the elimination of remaining proteins after solvent deproteinization through a rapid solid-phase extraction (SPE) procedure. Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI/TOF MS) was used for monitoring the proteins during clean-up practice applied to human plasma samples. The proteins were quantified by colorimetric detection using the bicinchoninic acid (BCA) assay. The presented analytical strategy resulted in the depletion of >99.6% proteins from human plasma samples. Furthermore, high-performance liquid chromatography hyphenated to diode-array and electrospray ionization mass spectrometric detection (HPLC–DAD/ESI MS) was applied for qualitative and quantitative analysis of the caffeoylquinic acids (CQAs) and their metabolites in human plasma. The procedure demonstrated high recoveries for the standard compounds spiked at different concentrations. Cynarin and chlorogenic acid were recovered in the range of 81–86% and 78–83%, respectively. Caffeic acid was extracted in the excess of 89–92%, while ferulic acid and dihydroxyhydrocinnamic acid showed a recovery of 87–91% and 92–95%, respectively. The method was partially validated in accordance with FDA-Industry Guidelines for Bioanalytical Method Validation (2001). The presented scheme improves the clean-up efficacy of the methanol deproteinization

  16. Some aspects of plasma thermodynamics

    International Nuclear Information System (INIS)

    Gorgoraki, V.I.

    1986-01-01

    The objective reasons which have inhibited the development of a plasma-thermodynamics theory are discussed and the authors formulate the fundamental principles which can be the basis of a common plasma-thermodynamics theory. Two kinds of thermodynamic equilibrium plasmas are discussed, an isothermal plasma and a nonisothermal plasma. An isothermal plasma is a high-temperature plasma; the Saha-Eggert equation describes its behavior. A nonisothermal plasma is a low-temperature plasma, and the reactions taking place therein are purely plasma-chemical. The ionization equilibrium and the composition of such a plasma can be found with the aid of the equations presented in this paper

  17. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  18. Multilayered metal oxide thin film gas sensors obtained by conventional and RF plasma-assisted laser ablation

    International Nuclear Information System (INIS)

    Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Multilayered thin films of In 2 O 3 and SnO 2 have been deposited by conventional and RF plasma-assisted reactive pulsed laser ablation, with the aim to evaluate their behaviour as toxic gas sensors. The depositions have been carried out by a frequency doubled Nd-YAG laser (λ = 532 nm, τ = 7 ns) on Si(1 0 0) substrates, in O 2 atmosphere. The thin films have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and electrical resistance measurements. A comparison of the electrical response of the simple (indium oxide, tin oxide) and multilayered oxides to toxic gas (nitric oxide, NO) has been performed. The influence on the structural and electrical properties of the deposition parameters, such as substrate temperature and RF power is reported

  19. Synthesis of high Al content AlxGa1−xN ternary films by pulsed laser co-ablation of GaAs and Al targets assisted by nitrogen plasma

    International Nuclear Information System (INIS)

    Cai, Hua; You, Qinghu; Hu, Zhigao; Guo, Shuang; Yang, Xu; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    Highlights: • Al x Ga 1−x N films were synthesized by co-ablation of an Al target and a GaAs target. • Nitrogen plasma was used to assist the synthesis of Al x Ga 1−x N ternary films. • The Al x Ga 1−x N films are slightly rich in N with an Al content above 0.6. • The Al x Ga 1−x N films are hexagonal wurtzite in crystal structure. • The Al x Ga 1−x N films have an absorption edge of 260 nm and a band gap of 4.7 eV. - Abstract: We present the synthesis of Al x Ga 1−x N ternary films by pulsed laser co-ablation of a polycrystalline GaAs target and a metallic Al target in the environment of nitrogen plasma which provides nitrogen for the films and assists the formation of nitride films. Field emission scanning electron microscopy exposes the smooth surface appearance and dense film structure. X-ray diffraction, Fourier-transform infrared spectroscopy and Raman scattering spectroscopy reveal the hexagonal wurtzite structure. Optical characterization shows high optical transmittance with an absorption edge of about 260 nm and a band gap of 4.7 eV. Compositional analysis gives the Al content of about 0.6. The structure and optical properties of the Al x Ga 1−x N films are compared with those of binary GaN and AlN films synthesized by ablating GaAs or Al target with the same nitrogen plasma assistance

  20. Nanoporous gold synthesized by plasma-assisted inert gas condensation: room temperature sintering, nanoscale mechanical properties and stability against high energy electron irradiation

    Science.gov (United States)

    Weyrauch, S.; Wagner, C.; Suckfuell, C.; Lotnyk, A.; Knolle, W.; Gerlach, J. W.; Mayr, S. G.

    2018-02-01

    With a plasma assisted gas condensation system it is possible to achieve high-purity nanoporous Au (np-Au) structures with minimal contaminations and impurities. The structures consist of single Au-nanoparticles, which partially sintered together due to their high surface to volume ratio. Through electron microscopy investigations a porosity  >50% with ligament sizes between 20-30 nm was revealed. The elastic modulus of the np-Au was determined via peak force quantitative nanomechanical mapping and resulted in values of 7.5  ±  1.5 GPa. The presented structures partially sintered at room temperature, but proved to be stable to electron irradiation with energies of 7 MeV up to doses of 100 MGy. The electron irradiation stability opens the venue for electron assisted functionalization with biomolecules.

  1. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  2. The component content of active particles in a plasma-chemical reactor based on volume barrier discharge

    Science.gov (United States)

    Soloshenko, I. A.; Tsiolko, V. V.; Pogulay, S. S.; Terent'yeva, A. G.; Bazhenov, V. Yu; Shchedrin, A. I.; Ryabtsev, A. V.; Kuzmichev, A. I.

    2007-02-01

    In this paper the results of theoretical and experimental studies of the component content of active particles formed in a plasma-chemical reactor composed of a multiple-cell generator of active particles, based on volume barrier discharge, and a working chamber are presented. For calculation of the content of uncharged plasma components an approach is proposed which is based on averaging of the power introduced over the entire volume. Advantages of such an approach lie in an absence of fitting parameters, such as the dimensions of microdischarges, their surface density and rate of breakdown. The calculation and the experiment were accomplished with the use of dry air (20% relative humidity) as the plasma generating medium. Concentrations of O3, HNO3, HNO2, N2 O5 and NO3 were measured experimentally in the discharge volume and working chamber for the residence time of particles on a discharge of 0.3 s and more and discharge specific power of 1.5 W cm-3. It has been determined that the best agreement between the calculation and the experiment occurs at calculated gas medium temperatures in the discharge plasma of about 400-425 K, which correspond to the experimentally measured rotational temperature of nitrogen. In most cases the calculated concentrations of O3, HNO3, HNO2, N2O5 and NO3 for the barrier discharge and the working chamber are in fairly good agreement with the respective measured values.

  3. The component content of active particles in a plasma-chemical reactor based on volume barrier discharge

    International Nuclear Information System (INIS)

    Soloshenko, I A; Tsiolko, V V; Pogulay, S S; Terent'yeva, A G; Bazhenov, V Yu; Shchedrin, A I; Ryabtsev, A V; Kuzmichev, A I

    2007-01-01

    In this paper the results of theoretical and experimental studies of the component content of active particles formed in a plasma-chemical reactor composed of a multiple-cell generator of active particles, based on volume barrier discharge, and a working chamber are presented. For calculation of the content of uncharged plasma components an approach is proposed which is based on averaging of the power introduced over the entire volume. Advantages of such an approach lie in an absence of fitting parameters, such as the dimensions of microdischarges, their surface density and rate of breakdown. The calculation and the experiment were accomplished with the use of dry air (20% relative humidity) as the plasma generating medium. Concentrations of O 3 , HNO 3 , HNO 2 , N 2 O 5 and NO 3 were measured experimentally in the discharge volume and working chamber for the residence time of particles on a discharge of 0.3 s and more and discharge specific power of 1.5 W cm -3 . It has been determined that the best agreement between the calculation and the experiment occurs at calculated gas medium temperatures in the discharge plasma of about 400-425 K, which correspond to the experimentally measured rotational temperature of nitrogen. In most cases the calculated concentrations of O 3 , HNO 3 , HNO 2 , N 2 O 5 and NO 3 for the barrier discharge and the working chamber are in fairly good agreement with the respective measured values

  4. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  5. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  6. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  7. Plasma for electrification of chemical industry: a case study on CO2 reduction

    Science.gov (United States)

    van Rooij, G. J.; Akse, H. N.; Bongers, W. A.; van de Sanden, M. C. M.

    2018-01-01

    Significant growth of the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure stream of CO to aid in renewable energy penetration in this sector. A realistic process design is constructed to serve as a basis for an economical analysis. The manufacturing cost price of CO is estimated at 1.2 kUS ton-1 CO. A sensitivity analysis shows that separation is the dominant cost factor, so that improving conversion is currently more effective to lower the price than e.g. energy efficiency.

  8. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Soria, C [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Pontiga, F [Departamento de FIsica Aplicada II, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Castellanos, A [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain)

    2004-02-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account.

  9. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    International Nuclear Information System (INIS)

    Soria, C; Pontiga, F; Castellanos, A

    2004-01-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account

  10. Demonstration of Plasma Assisted Waste Conversion to Gas

    Data.gov (United States)

    National Aeronautics and Space Administration — The goal is to demonstrate high fidelity mission waste simulant conversion with a proprietary DC plasma torch, a different approach from industry which uses higher...

  11. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  12. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  13. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  14. Modeling of thermal plasma arc technology FY 1994 report

    International Nuclear Information System (INIS)

    Hawkes, G.L.; Nguyen, H.D.; Paik, S.; McKellar, M.G.

    1995-03-01

    The thermal plasma arc process is under consideration to thermally treat hazardous and radioactive waste. A computer model for the thermal plasma arc technology was designed as a tool to aid in the development and use of the plasma arc-Joule beating process. The value of this computer model is to: (a) aid in understanding the plasma arc-Joule beating process as applied to buried waste or exhumed buried waste, (b) help design melter geometry and electrode configuration, (c) calculate the process capability of vitrifying waste (i.e., tons/hour), (d) develop efficient plasma and melter operating conditions to optimize the process and/or reduce safety hazards, (e) calculate chemical reactions during treatment of waste to track chemical composition of off-gas products, and composition of final vitrified waste form and (f) help compare the designs of different plasma-arc facilities. A steady-state model of a two-dimensional axisymmetric transferred plasma arc has been developed and validated. A parametric analysis was performed that studied the effects of arc length, plasma gas composition, and input power on the temperatures and velocity profiles of the slag and plasma gas. A two-dimensional transient thermo-fluid model of the US Bureau of Mines plasma arc melter has been developed. This model includes the growth of a slag pool. The thermo-fluid model is used to predict the temperature and pressure fields within a plasma arc furnace. An analysis was performed to determine the effects of a molten metal pool on the temperature, velocity, and voltage fields within the slag. A robust and accurate model for the chemical equilibrium calculations has been selected to determine chemical composition of final waste form and off-gas based on the temperatures and pressures within the plasma-arc furnace. A chemical database has been selected. The database is based on the materials to be processed in the plasma arc furnaces

  15. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  16. Development of a gas-cylinder-free plasma desorption/ionization system for on-site detection of chemical warfare agents.

    Science.gov (United States)

    Iwai, Takahiro; Kakegawa, Ken; Aida, Mari; Nagashima, Hisayuki; Nagoya, Tomoki; Kanamori-Kataoka, Mieko; Miyahara, Hidekazu; Seto, Yasuo; Okino, Akitoshi

    2015-06-02

    A gas-cylinder-free plasma desorption/ionization system was developed to realize a mobile on-site analytical device for detection of chemical warfare agents (CWAs). In this system, the plasma source was directly connected to the inlet of a mass spectrometer. The plasma can be generated with ambient air, which is drawn into the discharge region by negative pressure in the mass spectrometer. High-power density pulsed plasma of 100 kW could be generated by using a microhollow cathode and a laboratory-built high-intensity pulsed power supply (pulse width: 10-20 μs; repetition frequency: 50 Hz). CWAs were desorbed and protonated in the enclosed space adjacent to the plasma source. Protonated sample molecules were introduced to the mass spectrometer by airflow through the discharge region. To evaluate the analytical performance of this device, helium and air plasma were directly irradiated to CWAs in the gas-cylinder-free plasma desorption/ionization system and the protonated molecules were analyzed by using an ion-trap mass spectrometer. A blister agent (nitrogen mustard 3) and nerve gases [cyclohexylsarin (GF), tabun (GA), and O-ethyl S-2-N,N-diisopropylaminoethyl methylphosphonothiolate (VX)] in solution in n-hexane were applied to the Teflon rod and used as test samples, after solvent evaporation. As a result, protonated molecules of CWAs were successfully observed as the characteristic ion peaks at m/z 204, 181, 163, and 268, respectively. In air plasma, the limits of detection were estimated to be 22, 20, 4.8, and 1.0 pmol, respectively, which were lower than those obtained with helium plasma. To achieve quantitative analysis, calibration curves were made by using CWA stimulant dipinacolyl methylphosphonate as an internal standard; straight correlation lines (R(2) = 0.9998) of the peak intensity ratios (target per internal standard) were obtained. Remarkably, GA and GF gave protonated dimer ions, and the ratios of the protonated dimer ions to the protonated

  17. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  18. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  19. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  20. Morphologic and Chemical Properties of PMMA/ATH Layers with Enhanced Abrasion Resistance Realised by Cold Plasma Spraying at Atmospheric Pressure

    Directory of Open Access Journals (Sweden)

    L. Wallenhorst

    2018-01-01

    Full Text Available This study investigated the morphologic and chemical properties of coatings based on PMMA/ATH powder and deposited by cold plasma spraying on wood and glass. Since the deposition of pure PMMA/ATH powder with air as process gas yielded coatings with insufficient abrasion resistance, two modifications of the basic process were investigated. Previous studies showed that replacing air as process gas with forming gas did not enhance the abrasion resistance, but the addition of a phenol-formaldehyde resin (PF succeeded in stabilising the particle coatings. In this work, results from morphologic and chemical analysis suggested an encasement of the PMMA/ATH particles by plasma-modified PF and thus a fusion of individual particles, explaining the enhanced bonding. Moreover, adhesion tests confirmed an outstanding bonding between the coating and wood as well as glass, which is assumed to result from interactions between the PF’s hydroxyl groups and functional groups on the substrates’ surfaces. Studies on the wettability revealed a hydrophobic character of such coatings, therefore generally indicating a possible application, for example, to reduce water uptake by wooden materials.

  1. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  2. THE USE OF CHEMICALS AS PLANT REGULATORS. AGRICULTURAL CHEMICALS TECHNOLOGY, NUMBER 8.

    Science.gov (United States)

    Ohio State Univ., Columbus. Center for Vocational and Technical Education.

    ONE OF A SERIES DESIGNED TO ASSIST TEACHERS IN PREPARING POST-SECONDARY STUDENTS FOR AGRICULTURAL CHEMICAL OCCUPATIONS, THIS MODULE IS SPECIFICALLY CONCERNED WITH CHEMICALS AS PLANT REGULATORS. IT WAS DEVELOPED BY A NATIONAL TASK FORCE ON THE BASIS OF DATA FROM STATE STUDIES. SECTIONS INCLUDE -- (1) CHEMICALS AS MODIFIERS OF PLANT GROWTH, (2)…

  3. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  4. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  5. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  6. Characterization of Wet Air Plasma Jet Powered by Sinusoidal High Voltage and Nanosecond Pulses for Plasma Agricultural Application

    Science.gov (United States)

    Takashima, Keisuke; Shimada, Keisuke; Konishi, Hideaki; Kaneko, Toshiro

    2015-09-01

    Not only for the plasma sterilization but also for many of plasma life-science applications, atmospheric pressure plasma devices that allowed us to control its state and reactive species production are deserved to resolve the roles of the chemical species. Influence of the hydroxyl radical and ozone on germination of conidia of a strawberry pathogen is presented. Water addition to air plasma jet significantly improves germination suppression performance, while measured reactive oxygen species (ROS) are reduced. Although the results show a negative correlation between ROS and the germination suppression, this infers the importance of chemical composition generated by plasma. For further control of the plasma product, a plasma jet powered by sinusoidal high voltage and nanosecond pulses is developed and characterized with the voltage-charge Lissajous. Control of breakdown phase and discharge power by pulse-imposed phase is presented. This work is supported by JSPS KAKENHI Grant-in-Aid for Young Scientists (B) Grant Number 15K17480 and Exploratory Research Grant Number 23644199.

  7. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  9. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  10. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  11. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  12. Plasma assisted fabrication of multi-layer graphene/nickel hybrid film as enhanced micro-supercapacitor electrodes

    Science.gov (United States)

    Ding, Q.; Li, W. L.; Zhao, W. L.; Wang, J. Y.; Xing, Y. P.; Li, X.; Xue, T.; Qi, W.; Zhang, K. L.; Yang, Z. C.; Zhao, J. S.

    2017-03-01

    A facile synthesis strategy has been developed for fabricating multi-layer graphene/nickel hybrid film as micro-supercapacitor electrodes by using plasma enhanced chemical vapor deposition. The as-presented method is advantageous for rapid graphene growth at relatively low temperature of 650 °C. In addition, after pre-treating for the as-deposited nickel film by using argon plasma bombardment, the surface-to-volume ratio of graphene film on the treated nickel substrate is effectively increased by the increasing of surface roughness. This is demonstrated by the characterization results from transmission electron microscopy, scanning electron microscope and atomic force microscopy. Moreover, the electrochemical performance of the resultant graphene/nickel hybrid film as micro-supercapacitor working electrode was investigated by cyclic voltammetry and galvanostatic charge/discharge measurements. It was found that the increase of the surface-to-volume ratio of graphene/nickel hybrid film improved the specific capacitance of 10 times as the working electrode of micro-supercapacitor. Finally, by using comb columnar shadow mask pattern, the micro-supercapacitor full cell device was fabricated. The electrochemical performance measurements of the micro-supercapacitor devices indicate that the method presented in this study provides an effective way to fabricate micro-supercapacitor device with enhanced energy storage property.

  13. Fabrication of CdS films with superhydrophobicity by the microwave assisted chemical bath deposition.

    Science.gov (United States)

    Liu, Y; Tan, T; Wang, B; Zhai, R; Song, X; Li, E; Wang, H; Yan, H

    2008-04-15

    A simple method of microwave assisted chemical bath deposition (MA-CBD) was adopted to fabricate cadmium sulfide (CdS) thin films. The superhydrophobic surface with a water contact angle (CA) of 151 degrees was obtained. Via a scanning electron microscopy (SEM) observation, the film was proved having a porous micro/nano-binary structure which can change the property of the surface and highly enhance the hydrophobicity of the film. A possible mechanism was suggested to describe the growth of the porous structure, in which the microwave heating takes an important role in the formation of two distinct characteristic dimensions of CdS precipitates, the growth of CdS sheets in micro-scale and sphere particles in nano-scale. The superhydrophobic films may provide novel platforms for photovoltaic, sensor, microfluidic and other device applications.

  14. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  15. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  16. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  19. Diagnostics of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Laux, C.O.; Kruger, C.H.; Zare, R.N.

    2001-01-01

    Atmospheric pressure air plasmas are often thought to be in Local Thermodynamics Equilibrium (LTE) owing to fast interspecies collisional exchanges at high pressure. As will be seen here, this assumption cannot be relied upon, particularly with respect to optical diagnostics. Large velocity gradients in flowing plasmas and/or elevated electron temperatures created by electrical discharges can result in large departures from chemical and thermal equilibrium. Diagnostic techniques based on optical emission spectroscopy (OES) and Cavity Ring-Down Spectroscopy (CRDS) have been developed and applied at Stanford University to the investigation of atmospheric pressure plasmas under conditions ranging from thermal and chemical equilibrium to thermochemical nonequilibrium. This article presents a review of selected temperature and species concentration measurement techniques useful for the study of air and nitrogen plasmas

  20. Effects of chemical sympathectomy on the increases in plasma catecholamines and dopamine-beta-hydroxylase induced by forced immobilization and insulin-induced hypoglycemia: origin and fate of plasma dopamine-beta-hydroxylase.

    Science.gov (United States)

    Israel, A S; Barbella, Y R; Cubeddu, L X

    1982-06-01

    The effect of acute stresses on plasma norepinephrine, epinephrine and dopamine-beta-hydroxylase (DBH) were evaluated in control and 6-hydroxydopamine-treated, awake cannulated guinea pigs. Forced immobolization for 1 hr caused a 3- and 5-fold increase in plasma DBH and norepinephrine, respectively. Pretreatment with 6-hydroxydopamine (23 mg/kg b.wt.i.a., 72 and 48 hr before stress) reduced by 70% the increase in plasma DBH and totally prevented the rise in plasma catecholamines evoked by the restraining stress. Injection of insulin (5 U/kg b.wt.i.a.) induced a 60% decrease in blood glucose, a 1-fold increase in plasma DBH and a selective 4-fold increase in plasma epinephrine; these effects were not modified by chemical sympathectomy. Our results indicate that forced immobilization and hypoglycemia produce a preferential activation of the sympathetic postganglionic nerves and of the adrenal medulla, respectively, and that in guinea pigs both stresses increase plasma DBH. The kinetics of disappearance of plasma DBH were studied after subjecting the guinea pigs for 1 hr to forced immobilization. Although 7 of 12 animals showed a biphasic rate of fall of plasma DBH, in each case there was a rapid initial fall possibly due to the "distribution" of the enzyme with a T1/2 of 1.65 hr. Similar findings were observed in 6-hydroxydopamine-treated guinea pigs. These results suggest that the distribution of DBH is the most important process in reducing the augmented plasma DBH levels elicited by a short-term stress and that this process is not dependent on the integrity of the sympathetic nerves nor on the adrenal or sympathetic origin of the enzyme. This study supports the view that the ratio, content of releasable DBH present in sympathetic nerves and adrenal glands/total circulating pool of DBH, is the factor that determines whether an increase in plasma DBH would occur in animals exposed to an acute stress.