WorldWideScience

Sample records for planning including interconnected

  1. Advanced methodology for generation expansion planning including interconnected systems

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, M; Yokoyama, R; Yasuda, K [Tokyo Metropolitan Univ. (Japan); Sasaki, H [Hiroshima Univ. (Japan); Ogimoto, K [Electric Power Development Co. Ltd., Tokyo (Japan)

    1994-12-31

    This paper reviews advanced methodology for generation expansion planning including interconnected systems developed in Japan, putting focus on flexibility and efficiency in a practical application. First, criteria for evaluating flexibility of generation planning considering uncertainties are introduced. Secondly, the flexible generation mix problem is formulated as a multi-objective optimization with more than two objective functions. The multi-objective optimization problem is then transformed into a single objective problem by using the weighting method, to obtain the Pareto optimal solution, and solved by a dynamics programming technique. Thirdly, a new approach for electric generation expansion planning of interconnected systems is presented, based on the Benders Decomposition technique. That is, large scale generation problem constituted by the general economic load dispatch problem, and several sub problems which are composed of smaller scale isolated system generation expansion plans. Finally, the generation expansion plan solved by an artificial neural network is presented. In conclusion, the advantages and disadvantages of this method from the viewpoint of flexibility and applicability to practical generation expansion planning are presented. (author) 29 refs., 10 figs., 4 tabs.

  2. Operational Plan Ontology Model for Interconnection and Interoperability

    Science.gov (United States)

    Long, F.; Sun, Y. K.; Shi, H. Q.

    2017-03-01

    Aiming at the assistant decision-making system’s bottleneck of processing the operational plan data and information, this paper starts from the analysis of the problem of traditional expression and the technical advantage of ontology, and then it defines the elements of the operational plan ontology model and determines the basis of construction. Later, it builds up a semi-knowledge-level operational plan ontology model. Finally, it probes into the operational plan expression based on the operational plan ontology model and the usage of the application software. Thus, this paper has the theoretical significance and application value in the improvement of interconnection and interoperability of the operational plan among assistant decision-making systems.

  3. DER Certification Laboratory Pilot, Accreditation Plan, and Interconnection Agreement Handbook

    Energy Technology Data Exchange (ETDEWEB)

    Key, T.; Sitzlar, H. E.; Ferraro, R.

    2003-11-01

    This report describes the first steps toward creating the organization, procedures, plans and tools for distributed energy resources (DER) equipment certification, test laboratory accreditation, and interconnection agreements. It covers the activities and accomplishments during the first period of a multiyear effort. It summarizes steps taken to outline a certification plan to assist in the future development of an interim plan for certification and accreditation activities. It also summarizes work toward a draft plan for certification, a beta Web site to support communications and materials, and preliminary draft certification criteria.

  4. An optimization framework for the integrated planning of generation and transmission expansion in interconnected power systems

    International Nuclear Information System (INIS)

    Guerra, Omar J.; Tejada, Diego A.; Reklaitis, Gintaras V.

    2016-01-01

    Highlights: • A novel optimization framework for the design and planning of interconnected power systems is proposed. • The framework integrates generation and transmission capacity expansion planning. • Reserve and emission constraints are included. • Business as usual and CO_2 mitigation policy scenarios are evaluated. • Reconfiguration of existing power generation technologies is the most cost-effective option for CO_2 emissions mitigation. - Abstract: Energy, and particularly electricity, has played and will continue to play a very important role in the development of human society. Electricity, which is the most flexible and manageable energy form, is currently used in a variety of activities and applications. For instance, electricity is used for heating, cooling, lighting, and for operating electronic appliances and electric vehicles. Nowadays, given the rapid development and commercialization of technologies and devices that rely on electricity, electricity demand is increasing faster than overall primary energy supply. Consequently, the design and planning of power systems is becoming a progressively more important issue in order to provide affordable, reliable and sustainable energy in timely fashion, not only in developed countries but particularly in developing economies where electricity demand is increasing even faster. Power systems are networks of electrical devices, such as power plants, transformers, and transmission lines, used to produce, transmit, and supply electricity. The design and planning of such systems require the selection of generation technologies, along with the capacity, location, and timing of generation and transmission capacity expansions to meet electricity demand over a long-term horizon. This manuscript presents a comprehensive optimization framework for the design and planning of interconnected power systems, including the integration of generation and transmission capacity expansion planning. The proposed

  5. A Vision of China-Arab Interconnection Transmission Network Planning with UHVDC Technology

    Science.gov (United States)

    Wu, Dan; Liu, Yujun; Yin, Hongyuan; Xu, Qingshan; Xu, Xiaohui; Ding, Maosheng

    2017-05-01

    Developments in ultra-high-voltage (UHV) power systems and clean energy technologies are paving the way towards unprecedented energy market globalization. In accordance with the international community’s enthusiasm for building up the Global Energy Internet, this paper focuses on the feasibility of transmitting large-size electricity from northwest China to Arab world through a long-distance transnational power interconnection. The complete investigations on the grids of both the sending-end and receiving-end is firstly presented. Then system configuration of the transmission scheme and corridor route planning is proposed with UHVDC technology. Based on transmission costs’ investigation about similar transmission projects worldwide, the costs of the proposed transmission scheme are estimated through adjustment factors which represent differences in latitude, topography and economy. The proposed China-Arab transmission line sheds light on the prospects of power cooperation and resource sharing between China and Arab states, and appeals for more emphasis on green energy concentrated power interconnections from a global perspective.

  6. Interconnection Guidelines

    Science.gov (United States)

    The Interconnection Guidelines provide general guidance on the steps involved with connecting biogas recovery systems to the utility electrical power grid. Interconnection best practices including time and cost estimates are discussed.

  7. 76 FR 39870 - PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of Date...

    Science.gov (United States)

    2011-07-07

    .... EL11-20-001] PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of... Sell Offers for Planned Generation Capacity Resources submitted into PJM's Reliability Pricing Model... presents an opportunity to exercise buyer market power; (2) whether the Fixed Resource Requirement (FRR...

  8. Planning and design of the Gulf States interconnection

    International Nuclear Information System (INIS)

    Al Alawi, J.; Sud, S.; McGillis, D.

    1994-01-01

    On May 25, 1981, the six Arab state of Bahrain, Kuwait, Oman, Qatar, Saudi Arabia and the United Arab Emirates (UAE) formally ratified the charter of the organization named Co-operation Council for the Arab States of the Gulf. This has become more popularly known as the Gulf Cooperation Council (GCC). In the mid 1980s, the integration of the electric systems study in the GCC was initiated, and several possible interconnection schemes to provide for reserve sharing and generally more economic and flexible operation of the networks were proposed. The GCC subsequently asked for an update of this study and a recommended interconnection scheme. this update study was completed in 1990, and a definite scheme was proposed, which met with the approval of all GCC members. This presentation describes the proposed interconnection, the studies that led to its selection, and the associated management structure required for its implementation. the population of the GCC states, and their load, generating capacity, and the transmission systems are shown

  9. Interconnected Power Systems Mexico-Guatemala financed by BID

    International Nuclear Information System (INIS)

    Martinez, Veronica

    2003-01-01

    The article describes the plans for the interconnection of the electric power systems of Guatemala, El Salvador, Honduras, Nicaragua, Costa Rica, Panama and Mexico within the project Plan Pueba Panama. The objective of the interconnection is to create an electric market in the region that contributes to reduce costs and prices. The project will receive a financing of $37.5 millions of US dollars from the Banco Intrameramericano de Desarrollo (BID)

  10. Optical interconnects

    CERN Document Server

    Chen, Ray T

    2006-01-01

    This book describes fully embedded board level optical interconnect in detail including the fabrication of the thin-film VCSEL array, its characterization, thermal management, the fabrication of optical interconnection layer, and the integration of devices on a flexible waveguide film. All the optical components are buried within electrical PCB layers in a fully embedded board level optical interconnect. Therefore, we can save foot prints on the top real estate of the PCB and relieve packaging difficulty reduced by separating fabrication processes. To realize fully embedded board level optical

  11. Universal Interconnection Technology Workshop Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Sheaffer, P.; Lemar, P.; Honton, E. J.; Kime, E.; Friedman, N. R.; Kroposki, B.; Galdo, J.

    2002-10-01

    The Universal Interconnection Technology (UIT) Workshop - sponsored by the U.S. Department of Energy, Distributed Energy and Electric Reliability (DEER) Program, and Distribution and Interconnection R&D - was held July 25-26, 2002, in Chicago, Ill., to: (1) Examine the need for a modular universal interconnection technology; (2) Identify UIT functional and technical requirements; (3) Assess the feasibility of and potential roadblocks to UIT; (4) Create an action plan for UIT development. These proceedings begin with an overview of the workshop. The body of the proceedings provides a series of industry representative-prepared papers on UIT functions and features, present interconnection technology, approaches to modularization and expandability, and technical issues in UIT development as well as detailed summaries of group discussions. Presentations, a list of participants, a copy of the agenda, and contact information are provided in the appendices of this document.

  12. Water supply as a constraint on transmission expansion planning in the Western interconnection

    Science.gov (United States)

    Tidwell, Vincent C.; Bailey, Michael; Zemlick, Katie M.; Moreland, Barbara D.

    2016-12-01

    Consideration of water supply in transmission expansion planning (TEP) provides a valuable means of managing impacts of thermoelectric generation on limited water resources. Toward this opportunity, thermoelectric water intensity factors and water supply availability (fresh and non-fresh sources) were incorporated into a recent TEP exercise conducted for the electric interconnection in the Western United States. The goal was to inform the placement of new thermoelectric generation so as to minimize issues related to water availability. Although freshwater availability is limited in the West, few instances across five TEP planning scenarios were encountered where water availability impacted the development of new generation. This unexpected result was related to planning decisions that favored the development of low water use generation that was geographically dispersed across the West. These planning decisions were not made because of their favorable influence on thermoelectric water demand; rather, on the basis of assumed future fuel and technology costs, policy drivers and the topology of electricity demand. Results also projected that interconnection-wide thermoelectric water consumption would increase by 31% under the business-as-usual case, while consumption would decrease by 42% under a scenario assuming a low-carbon future. Except in a few instances, new thermoelectric water consumption could be accommodated with less than 10% of the local available water supply; however, limited freshwater supplies and state-level policies could increase use of non-fresh water sources for new thermoelectric generation. Results could have been considerably different if scenarios favoring higher-intensity water use generation technology or potential impacts of climate change had been explored. Conduct of this exercise highlighted the importance of integrating water into all phases of TEP, particularly joint management of decisions that are both directly (e.g., water

  13. Interconnection of Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Reiter, Emerson [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-04-19

    This is a presentation on interconnection of distributed energy resources, including the relationships between different aspects of interconnection, best practices and lessons learned from different areas of the U.S., and an update on technical advances and standards for interconnection.

  14. Fuel cell system with interconnect

    Science.gov (United States)

    Goettler, Richard; Liu, Zhien

    2017-12-12

    The present invention includes a fuel cell system having a plurality of adjacent electrochemical cells formed of an anode layer, a cathode layer spaced apart from the anode layer, and an electrolyte layer disposed between the anode layer and the cathode layer. The fuel cell system also includes at least one interconnect, the interconnect being structured to conduct free electrons between adjacent electrochemical cells. Each interconnect includes a primary conductor embedded within the electrolyte layer and structured to conduct the free electrons.

  15. Making connections: Case studies of interconnection barriers and their impact on distributed power projects

    Energy Technology Data Exchange (ETDEWEB)

    Alderfer, B.; Eldridge, M.; Starrs, T.

    2000-07-25

    Distributed power is modular electric generation or storage located close to the point of use. Based on interviews of distributed generation project proponents, this report reviews the barriers that distributed generators of electricity are encountering when attempting to interconnect to the electrical grid. Descriptions of 26 of 65 case studies are included in the report. The survey found and the report describes a wide range of technical, business-practice, and regulatory barriers to interconnection. An action plan for reducing the impact of these barriers is also included.

  16. Long-term exports and use of interconnections: Development plan 1993

    International Nuclear Information System (INIS)

    1992-01-01

    The orientations, objectives, and strategies proposed for long-term exports of electricity from Quebec are presented, as well as the use of interconnections between Hydro-Quebec and northeastern US utilities. A recent historical overview shows that after a period of exporting large amounts of surplus energy in the late 1980s, most export sales are of firm power and energy. Export commitments signed for 1993-2000 amount to total exports of 11-14 TWh per year with revenues generated to be at least $4 billion. Hydro-Quebec originally had an export strategy with a target of 3,500 MW of firm power and energy exports by the year 2000. An economic recession, lower demand for power in the USA, new planning policies at US utilities emphasizing maximum flexibility, and competition from other electricity providers have revised this estimate downward. Over the short and medium term, neighboring US utilities will experience surpluses in both capacity and energy, and additional requirements indicated by their demand forecasts only appear after the year 2000. The situation is similar for neighboring Canadian utilities. In the long term, Hydro-Quebec's objective is to meet 15-20% of new requirements on neighboring US systems. New agreements could represent 1,500 MW by the year 2004, or ca 8.5 TWh/y. Hydro-Quebec also wants to be recognized as an active partner in the market for short-term transactions and to maximize use of its generation and interconnection facilities. The utility will examine transactions such as guaranteeing reserve capacity, energy banking, wheeling service, and pooling of generating capacities in order to offer the products best suited to customer needs. 3 figs., 3 tabs

  17. Interconnected networks

    CERN Document Server

    2016-01-01

    This volume provides an introduction to and overview of the emerging field of interconnected networks which include multi layer or multiplex networks, as well as networks of networks. Such networks present structural and dynamical features quite different from those observed in isolated networks. The presence of links between different networks or layers of a network typically alters the way such interconnected networks behave – understanding the role of interconnecting links is therefore a crucial step towards a more accurate description of real-world systems. While examples of such dissimilar properties are becoming more abundant – for example regarding diffusion, robustness and competition – the root of such differences remains to be elucidated. Each chapter in this topical collection is self-contained and can be read on its own, thus making it also suitable as reference for experienced researchers wishing to focus on a particular topic.

  18. In-memory interconnect protocol configuration registers

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  19. In-memory interconnect protocol configuration registers

    Science.gov (United States)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  20. Weaving Together Science and English: An Interconnected Model of Language Development for Emergent Bilinguals

    Science.gov (United States)

    Ciechanowski, Kathryn M.

    2014-01-01

    This research explores third-grade science and language instruction for emergent bilinguals designed through a framework of planning, lessons, and assessment in an interconnected model including content, linguistic features, and functions. Participants were a team of language specialist, classroom teacher, and researcher who designed…

  1. Benefits of transmission interconnections

    International Nuclear Information System (INIS)

    Lyons, D.

    2006-01-01

    The benefits of new power transmission interconnections from Alberta were discussed with reference to the challenges and measures needed to move forward. Alberta's electricity system has had a long period of sustained growth in generation and demand and this trend is expected to continue. However, no new interconnections have been built since 1985 because the transmission network has not expanded in consequence with the growth in demand. As such, Alberta remains weakly interconnected with the rest of the western region. The benefits of stronger transmission interconnections include improved reliability, long-term generation capability, hydrothermal synergies, a more competitive market, system efficiencies and fuel diversity. It was noted that the more difficult challenges are not technical. Rather, the difficult challenges lie in finding an appropriate business model that recognizes different market structures. It was emphasized that additional interconnections are worthwhile and will require significant collaboration among market participants and governments. It was concluded that interties enable resource optimization between systems and their benefits far exceed their costs. tabs., figs

  2. Opportunities and Benefits for Increasing Transmission Capacity between the US Eastern and Western Interconnections

    Science.gov (United States)

    Figueroa-Acevedo, Armando L.

    Historically, the primary justification for building wide-area transmission lines in the US and around the world has been based on reliability and economic criteria. Today, the influence of renewable portfolio standards (RPS), Environmental Protection Agency (EPA) regulations, transmission needs, load diversity, and grid flexibility requirements drives interest in high capacity wide-area transmission. By making use of an optimization model to perform long-term (15 years) co-optimized generation and transmission expansion planning, this work explored the benefits of increasing transmission capacity between the US Eastern and Western Interconnections under different policy and futures assumptions. The model assessed tradeoffs between investments in cross-interconnection HVDC transmission, AC transmission needs within each interconnection, generation investment costs, and operational costs, while satisfying different policy compliance constraints. Operational costs were broken down into the following market products: energy, up-/down regulation reserve, and contingency reserve. In addition, the system operating flexibility requirements were modeled as a function of net-load variability so that the flexibility of the non-wind/non-solar resources increases with increased wind and solar investment. In addition, planning reserve constraints are imposed under the condition that they be deliverable to the load. Thus, the model allows existing and candidate generation resources for both operating reserves and deliverable planning reserves to be shared throughout the interconnections, a feature which significantly drives identification of least-cost investments. This model is used with a 169-bus representation of the North American power grid to design four different high-capacity wide-area transmission infrastructures. Results from this analysis suggest that, under policy that imposes a high-renewable future, the benefits of high capacity transmission between the Eastern and

  3. Carbon nanotubes for interconnects process, design and applications

    CERN Document Server

    Dijon, Jean; Maffucci, Antonio

    2017-01-01

    This book provides a single-source reference on the use of carbon nanotubes (CNTs) as interconnect material for horizontal, on-chip and 3D interconnects. The authors demonstrate the uses of bundles of CNTs, as innovative conducting material to fabricate interconnect through-silicon vias (TSVs), in order to improve the performance, reliability and integration of 3D integrated circuits (ICs). This book will be first to provide a coherent overview of exploiting carbon nanotubes for 3D interconnects covering aspects from processing, modeling, simulation, characterization and applications. Coverage also includes a thorough presentation of the application of CNTs as horizontal on-chip interconnects which can potentially revolutionize the nanoelectronics industry. This book is a must-read for anyone interested in the state-of-the-art on exploiting carbon nanotubes for interconnects for both 2D and 3D integrated circuits. Provides a single-source reference on carbon nanotubes for interconnect applications; Includes c...

  4. High-Penetration Photovoltaic Planning Methodologies

    Energy Technology Data Exchange (ETDEWEB)

    Gao, David Wenzhong [Alternative Power Innovations, LLC, Broomfield, CO (United States); Muljadi, Eduard [National Renewable Energy Lab. (NREL), Golden, CO (United States); Tian, Tian [National Renewable Energy Lab. (NREL), Golden, CO (United States); Miller, Mackay [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-02-24

    The main objective of this report is to provide an overview of select U.S. utility methodologies for performing high-penetration photovoltaic (HPPV) system planning and impact studies. This report covers the Federal Energy Regulatory Commission's orders related to photovoltaic (PV) power system interconnection, particularly the interconnection processes for the Large Generation Interconnection Procedures and Small Generation Interconnection Procedures. In addition, it includes U.S. state interconnection standards and procedures. The procedures used by these regulatory bodies consider the impacts of HPPV power plants on the networks. Technical interconnection requirements for HPPV voltage regulation include aspects of power monitoring, grounding, synchronization, connection to the overall distribution system, back-feeds, disconnecting means, abnormal operating conditions, and power quality. This report provides a summary of mitigation strategies to minimize the impact of HPPV. Recommendations and revisions to the standards may take place as the penetration level of renewables on the grid increases and new technologies develop in future years.

  5. Multi-net optimization of VLSI interconnect

    CERN Document Server

    Moiseev, Konstantin; Wimer, Shmuel

    2015-01-01

    This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-dependent models for interconnect power, interconnect delay and crosstalk noise are covered in depth, and several design optimization problems are addressed, such as minimization of interconnect power under delay constraints, or design for minimal delay in wire bundles within a given routing area. A handy reference or a guide for design methodologies and layout automation techniques, this book provides a foundation for physical design challenges of interconnect in advanced integrated circuits.  • Describes the evolution of interconnect scaling and provides new techniques for layout migration and optimization, focusing on multi-net optimization; • Presents research results that provide a level of design optimization which does not exist in commercially-available design automation software tools; • Includes mathematical properties and conditions for optimal...

  6. Location constrained resource interconnection

    International Nuclear Information System (INIS)

    Hawkins, D.

    2008-01-01

    This presentation discussed issues related to wind integration from the perspective of the California Independent System Operator (ISO). Issues related to transmission, reliability, and forecasting were reviewed. Renewable energy sources currently used by the ISO were listed, and details of a new transmission financing plan designed to address the location constraints of renewable energy sources and provide for new transmission infrastructure was presented. The financing mechanism will be financed by participating transmission owners through revenue requirements. New transmission interconnections will include network facilities and generator tie-lines. Tariff revisions have also been implemented to recover the costs of new facilities and generators. The new transmission project will permit wholesale transmission access to areas where there are significant energy resources that are not transportable. A rate impact cap of 15 per cent will be imposed on transmission owners to mitigate short-term costs to ratepayers. The presentation also outlined energy resource area designation plans, renewable energy forecasts, and new wind technologies. Ramping issues were also discussed. It was concluded that the ISO expects to ensure that 20 per cent of its energy will be derived from renewable energy sources. tabs., figs

  7. Variation Tolerant On-Chip Interconnects

    CERN Document Server

    Nigussie, Ethiopia Enideg

    2012-01-01

    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects.  Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources of variability and their impact in sub-100nm technologies, this book will be an invaluable reference for anyone concerned with the design of next generation, high-performance electronics systems. Provides comprehensive, circuit-level explanation of high-performance, energy-efficient, variation-tolerant on-chip interconnect; Describes design techniques to mitigate problems caused by variation; Includes techniques for design and implementation of self-timed on-chip interconnect, delay variation insensitive communication protocols, high speed signaling techniques and circuits, bit-width independent completion detection and process, voltage and temperature variation tolerance.                          

  8. Fuel cell electrode interconnect contact material encapsulation and method

    Science.gov (United States)

    Derose, Anthony J.; Haltiner, Jr., Karl J.; Gudyka, Russell A.; Bonadies, Joseph V.; Silvis, Thomas W.

    2016-05-31

    A fuel cell stack includes a plurality of fuel cell cassettes each including a fuel cell with an anode and a cathode. Each fuel cell cassette also includes an electrode interconnect adjacent to the anode or the cathode for providing electrical communication between an adjacent fuel cell cassette and the anode or the cathode. The interconnect includes a plurality of electrode interconnect protrusions defining a flow passage along the anode or the cathode for communicating oxidant or fuel to the anode or the cathode. An electrically conductive material is disposed between at least one of the electrode interconnect protrusions and the anode or the cathode in order to provide a stable electrical contact between the electrode interconnect and the anode or cathode. An encapsulating arrangement segregates the electrically conductive material from the flow passage thereby, preventing volatilization of the electrically conductive material in use of the fuel cell stack.

  9. New transmission interconnection reduces consumer costs

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2008-09-15

    The Central American electric interconnection system (SIEPAC) project will involve the construction of a 1830 km 230 kV transmission system that will link Guatemala, El Salvador, Honduras, Costa Rica, Nicaragua, and Panama. The system is expected to alleviate the region's power shortages and reduce electricity costs for consumers. Costs for the SIEPAC project have been estimated at $370 million. The system will serve approximately 37 million customers, and will include 15 substations. The contract for building the electrical equipment has been awarded to Schweitzer Engineering Laboratories (SEL) who plan to manufacture components at a plant in Mexico. The equipment will include high speed line protection, automation, and control systems. Line current differential systems and satellite-synchronized clocks will also be used. The new transmission system is expected to be fully operational by 2009. 1 fig.

  10. Crosstalk in modern on-chip interconnects a FDTD approach

    CERN Document Server

    Kaushik, B K; Patnaik, Amalendu

    2016-01-01

    The book provides accurate FDTD models for on-chip interconnects, covering most recent advancements in materials and design. Furthermore, depending on the geometry and physical configurations, different electrical equivalent models for CNT and GNR based interconnects are presented. Based on the electrical equivalent models the performance comparison among the Cu, CNT and GNR-based interconnects are also discussed in the book. The proposed models are validated with the HSPICE simulations. The book introduces the current research scenario in the modeling of on-chip interconnects. It presents the structure, properties, and characteristics of graphene based on-chip interconnects and the FDTD modeling of Cu based on-chip interconnects. The model considers the non-linear effects of CMOS driver as well as the transmission line effects of interconnect line that includes coupling capacitance and mutual inductance effects. In a more realistic manner, the proposed model includes the effect of width-dependent MFP of the ...

  11. Interconnection issues in Ontario : a status check

    International Nuclear Information System (INIS)

    Helbronner, V.

    2010-01-01

    This PowerPoint presentation discussed wind and renewable energy interconnection issues in Ontario. The province's Green Energy Act established a feed-in tariff (FIT) program and provided priority connection access to the electricity system for renewable energy generation facilities that meet regulatory requirements. As a result of the province's initiatives, Hydro One has identified 20 priority transmission expansion projects and is focusing on servicing renewable resource clusters. As of October 2010, the Ontario Power Authority (OPA) has received 1469 MW of FIT contracts executed for wind projects. A further 5953 MW of wind projects are awaiting approval. A Korean consortium is now planning to develop 2500 MW of renewable energy projects in the province. The OPA has also been asked to develop an updated transmission expansion plan. Transmission/distribution availability tests (TAT/DAT) have been established to determine if there is sufficient connection availability for FIT application projects. Economic connection tests (ECTs) are conducted to assess whether grid upgrade costs to enable additional FIT capacity are justifiable. When projects pass the ECT, grid upgrades needed for the connection included in grid expansion plans. Ontario's long term energy plan was also reviewed. tabs., figs.

  12. Integrated Optical Interconnect Architectures for Embedded Systems

    CERN Document Server

    Nicolescu, Gabriela

    2013-01-01

    This book provides a broad overview of current research in optical interconnect technologies and architectures. Introductory chapters on high-performance computing and the associated issues in conventional interconnect architectures, and on the fundamental building blocks for integrated optical interconnect, provide the foundations for the bulk of the book which brings together leading experts in the field of optical interconnect architectures for data communication. Particular emphasis is given to the ways in which the photonic components are assembled into architectures to address the needs of data-intensive on-chip communication, and to the performance evaluation of such architectures for specific applications.   Provides state-of-the-art research on the use of optical interconnects in Embedded Systems; Begins with coverage of the basics for high-performance computing and optical interconnect; Includes a variety of on-chip optical communication topologies; Features coverage of system integration and opti...

  13. Strategic siting and regional grid interconnections key to low-carbon futures in African countries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Grace C. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Deshmukh, Ranjit [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Ndhlukula, Kudakwashe [Namibia Univ. of Science and Technology, Windhoek, (Namibia). Southern Africa Development Community (SADC) Centre for Renewable Energy and Energy Efficiency; Radojicic, Tijana [International Renewable Energy Agency, Masdar City, Abu Dhabi (United Arab Emirates); Reilly-Moman, Jessica [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Phadke, Amol [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Kammen, Daniel M. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Callaway, Duncan S. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group

    2017-03-27

    Recent forecasts suggest that African countries must triple their current electricity generation by 2030. Our multicriteria assessment of wind and solar potential for large regions of Africa shows how economically competitive and low-environmental– impact renewable resources can significantly contribute to meeting this demand. We created the Multicriteria Analysis for Planning Renewable Energy (MapRE) framework to map and characterize solar and wind energy zones in 21 countries in the Southern African Power Pool (SAPP) and the Eastern Africa Power Pool (EAPP) and find that potential is several times greater than demand in many countries. Significant fractions of demand can be quickly served with “no-regrets” options—or zones that are low-cost, low-environmental impact, and highly accessible. Because no-regrets options are spatially heterogeneous, international interconnections are necessary to help achieve low-carbon development for the region as a whole, and interconnections that support the best renewable options may differ from those planned for hydropower expansion. Additionally, interconnections and selecting wind sites to match demand reduce the need for SAPP-wide conventional generation capacity by 9.5% in a high-wind scenario, resulting in a 6–20% cost savings, depending on the avoided conventional technology. Strategic selection of low-impact and accessible zones is more cost effective with interconnections compared with solutions without interconnections. In conclusion, the overall results are robust to multiple load growth scenarios. Together, results show that multicriteria site selection and deliberate planning of interconnections may significantly increase the economic and environmental competitiveness of renewable alternatives relative to conventional generation.

  14. Strategic siting and regional grid interconnections key to low-carbon futures in African countries.

    Science.gov (United States)

    Wu, Grace C; Deshmukh, Ranjit; Ndhlukula, Kudakwashe; Radojicic, Tijana; Reilly-Moman, Jessica; Phadke, Amol; Kammen, Daniel M; Callaway, Duncan S

    2017-04-11

    Recent forecasts suggest that African countries must triple their current electricity generation by 2030. Our multicriteria assessment of wind and solar potential for large regions of Africa shows how economically competitive and low-environmental-impact renewable resources can significantly contribute to meeting this demand. We created the Multicriteria Analysis for Planning Renewable Energy (MapRE) framework to map and characterize solar and wind energy zones in 21 countries in the Southern African Power Pool (SAPP) and the Eastern Africa Power Pool (EAPP) and find that potential is several times greater than demand in many countries. Significant fractions of demand can be quickly served with "no-regrets" options-or zones that are low-cost, low-environmental impact, and highly accessible. Because no-regrets options are spatially heterogeneous, international interconnections are necessary to help achieve low-carbon development for the region as a whole, and interconnections that support the best renewable options may differ from those planned for hydropower expansion. Additionally, interconnections and selecting wind sites to match demand reduce the need for SAPP-wide conventional generation capacity by 9.5% in a high-wind scenario, resulting in a 6-20% cost savings, depending on the avoided conventional technology. Strategic selection of low-impact and accessible zones is more cost effective with interconnections compared with solutions without interconnections. Overall results are robust to multiple load growth scenarios. Together, results show that multicriteria site selection and deliberate planning of interconnections may significantly increase the economic and environmental competitiveness of renewable alternatives relative to conventional generation.

  15. Low power interconnect design

    CERN Document Server

    Saini, Sandeep

    2015-01-01

    This book provides practical solutions for delay and power reduction for on-chip interconnects and buses.  It provides an in depth description of the problem of signal delay and extra power consumption, possible solutions for delay and glitch removal, while considering the power reduction of the total system.  Coverage focuses on use of the Schmitt Trigger as an alternative approach to buffer insertion for delay and power reduction in VLSI interconnects. In the last section of the book, various bus coding techniques are discussed to minimize delay and power in address and data buses.   ·         Provides practical solutions for delay and power reduction for on-chip interconnects and buses; ·         Focuses on Deep Sub micron technology devices and interconnects; ·         Offers in depth analysis of delay, including details regarding crosstalk and parasitics;  ·         Describes use of the Schmitt Trigger as a versatile alternative approach to buffer insertion for del...

  16. Energy Zones Study: A Comprehensive Web-Based Mapping Tool to Identify and Analyze Clean Energy Zones in the Eastern Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Koritarov, V.; Kuiper, J.; Hlava, K.; Orr, A.; Rollins, K.; Brunner, D.; Green, H.; Makar, J.; Ayers, A.; Holm, M.; Simunich, K.; Wang, J.; Augustine, C.; Heimiller, D.; Hurlbut, D. J.; Milbrandt, A.; Schneider, T. R.; et al.

    2013-09-01

    This report describes the work conducted in support of the Eastern Interconnection States’ Planning Council (EISPC) Energy Zones Study and the development of the Energy Zones Mapping Tool performed by a team of experts from three National Laboratories. The multi-laboratory effort was led by Argonne National Laboratory (Argonne), in collaboration with the National Renewable Energy Laboratory (NREL) and Oak Ridge National Laboratory (ORNL). In June 2009, the U.S. Department of Energy (DOE) and the National Energy Technology Laboratory published Funding Opportunity Announcement FOA-0000068, which invited applications for interconnection-level analysis and planning. In December 2009, the Eastern Interconnection Planning Collaborative (EIPC) and the EISPC were selected as two award recipients for the Eastern Interconnection. Subsequently, in 2010, DOE issued Research Call RC-BM-2010 to DOE’s Federal Laboratories to provide research support and assistance to FOA-0000068 awardees on a variety of key subjects. Argonne was selected as the lead laboratory to provide support to EISPC in developing a methodology and a mapping tool for identifying potential clean energy zones in the Eastern Interconnection. In developing the EISPC Energy Zones Mapping Tool (EZ Mapping Tool), Argonne, NREL, and ORNL closely collaborated with the EISPC Energy Zones Work Group which coordinated the work on the Energy Zones Study. The main product of the Energy Zones Study is the EZ Mapping Tool, which is a web-based decision support system that allows users to locate areas with high suitability for clean power generation in the U.S. portion of the Eastern Interconnection. The mapping tool includes 9 clean (low- or no-carbon) energy resource categories and 29 types of clean energy technologies. The EZ Mapping Tool contains an extensive geographic information system database and allows the user to apply a flexible modeling approach for the identification and analysis of potential energy zones

  17. Transurban interconnectivities

    DEFF Research Database (Denmark)

    Jørgensen, Claus Møller

    2012-01-01

    This essay discusses the interpretation of the revolutionary situations of 1848 in light of recent debates on interconnectivity in history. The concept of transurban interconnectivities is proposed as the most precise concept to capture the nature of interconnectivity in 1848. It is argued....... It is argued that circulating political communication accounts for similarities with respect to political agenda, organisational form and political repertoire evident in urban settings across Europe. This argument is supported by a series of examples of local organisation and local appropriations of liberalism...

  18. Packaging and interconnection for superconductive circuitry

    International Nuclear Information System (INIS)

    Anacker, W.

    1976-01-01

    A three dimensional microelectronic module packaged for reduced signal propagation delay times including a plurality of circuit carrying means, which may comprise unbacked chips, with integrated superconductive circuitry thereon is described. The circuit carrying means are supported on their edges and have contact lands in the vicinity of, or at, the edges to provide for interconnecting circuitry. The circuit carrying means are supported by supporting means which include slots to provide a path for interconnection wiring to contact the lands of the circuit carrying means. Further interconnecting wiring may take the form of integrated circuit wiring on the reverse side of the supporting means. The low heat dissipation of the superconductive circuitry allows the circuit carrying means to be spaced approximately no less than 30 mils apart. The three dimensional arrangement provides lower random propagation delays than would a planar array of circuits

  19. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Science.gov (United States)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2016-05-03

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  20. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Energy Technology Data Exchange (ETDEWEB)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2017-04-04

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  1. Optical Interconnects for Future Data Center Networks

    CERN Document Server

    Bergman, Keren; Tomkos, Ioannis

    2013-01-01

    Optical Interconnects for Future Data Center Networks covers optical networks and how they can provide high bandwidth, energy efficient interconnects with increased communication bandwidth. This volume, with contributions from leading researchers in the field, presents an integrated view of the expected future requirements of data centers and serves as a reference for some of the most advanced and promising solutions proposed by researchers from leading universities, research labs, and companies. The work also includes several novel architectures, each demonstrating different technologies such as optical circuits, optical switching, MIMO optical OFDM, and others. Additionally, Optical Interconnects for Future Data Center Networks provides invaluable insights into the benefits and advantages of optical interconnects and how they can be a promising alternative for future data center networks.

  2. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Snakenborg, D; Dufva, M

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observation. The interconnection block method is scalable, flexible and supports high interconnection density. The average pressure limit of the interconnection block was near 5.5 bar and all individual results were well above the 2 bar threshold considered applicable to most microfluidic applications

  3. Architecture for on-die interconnect

    Science.gov (United States)

    Khare, Surhud; More, Ankit; Somasekhar, Dinesh; Dunning, David S.

    2016-03-15

    In an embodiment, an apparatus includes: a plurality of islands configured on a semiconductor die, each of the plurality of islands having a plurality of cores; and a plurality of network switches configured on the semiconductor die and each associated with one of the plurality of islands, where each network switch includes a plurality of output ports, a first set of the output ports are each to couple to the associated network switch of an island via a point-to-point interconnect and a second set of the output ports are each to couple to the associated network switches of a plurality of islands via a point-to-multipoint interconnect. Other embodiments are described and claimed.

  4. Development of Innovative Distributed Power Interconnection and Control Systems: Annual Report, December 2000-December 2001

    Energy Technology Data Exchange (ETDEWEB)

    Liss, W.; Dybel, M.; West, R.; Adams, L.

    2002-11-01

    This report covers the first year's work performed by the Gas Technology Institute and Encorp Inc. under subcontract to the National Renewable Energy Laboratory. The objective of this three-year contract is to develop innovative grid interconnection and control systems. This supports the advancement of distributed generation in the marketplace by making installations more cost-effective and compatible across the electric power and energy management systems. Specifically, the goals are: (1) To develop and demonstrate cost-effective distributed power grid interconnection products and software and communication solutions applicable to improving the economics of a broad range of distributed power systems, including existing, emerging, and other power generation technologies. (2) To enhance the features and capabilities of distributed power products to integrate, interact, and provide operational benefits to the electric power and advanced energy management systems. This includes features and capabilities for participating in resource planning, the provision of ancillary services, and energy management. Specific topics of this report include the development of an advanced controller, a power sensing board, expanded communication capabilities, a revenue-grade meter interface, and a case study of an interconnection distributed power system application that is a model for demonstrating the functionalities of the design of the advanced controller.

  5. Energetic diversification in the interconnected electric system

    International Nuclear Information System (INIS)

    Villanueva M, C.; Beltran M, H.; Serrano G, J.A.

    2007-01-01

    In the interconnected electric system of Mexico the demanded electricity in different timetable periods it is synthesized in the annual curve of load duration, which is characterized by three regions. The energy in every period is quantified according to the under curve areas in each region, which depend of the number of hours in that the power demand exceeds the minimum and the intermediate demands respectively that are certain percentages of the yearly maximum demand. In that context, the generating power stations are dispatched according to the marginal costs of the produced electricity and the electric power to be generated every year by each type of central it is located in some of the regions of the curve of load duration, as they are their marginal costs and their operation characteristic techniques. By strategic reasons it is desirable to diversify the primary energy sources that are used in the national interconnected system to generate the electricity that demand the millions of consumers that there are in Mexico. On one hand, when intensifying the use of renewable sources and of nucleo electric centrals its decrease the import volumes of natural gas, which has very volatile prices and it is a fuel when burning in the power stations produces hothouse gases that are emitted to the atmosphere. On the other hand, when diversifying the installed capacity of the different central types in the interconnected system, a better adaptation of the produced electricity volumes is achieved by each type to the timetable variation, daily, weekly and seasonal of the electric demand, as one manifests this in the curve of load duration. To exemplify a possible diversification plan of the installed capacity in the national interconnected system that includes nucleo electric centrals and those that use renewable energy, charts are presented that project of 2005 at 2015 the capacity, energy and ost of the electricity of different central types, located in each one of the

  6. Photovoltaic sub-cell interconnects

    Energy Technology Data Exchange (ETDEWEB)

    van Hest, Marinus Franciscus Antonius Maria; Swinger Platt, Heather Anne

    2017-05-09

    Photovoltaic sub-cell interconnect systems and methods are provided. In one embodiment, a photovoltaic device comprises a thin film stack of layers deposited upon a substrate, wherein the thin film stack layers are subdivided into a plurality of sub-cells interconnected in series by a plurality of electrical interconnection structures; and wherein the plurality of electrical interconnection structures each comprise no more than two scribes that penetrate into the thin film stack layers.

  7. Management and use of electric interconnections in 2008

    International Nuclear Information System (INIS)

    2009-07-01

    Major progress was made throughout 2008 in border congestion management and market integration. A number of projects were carried out, including the creation of a single auction platform for the Central-West region (CASC-CWE) and the first centre for regional coordination (Coreso SA) to better control real-time flow, thus laying the foundations for future network management on a regional scale. Such progress encourages more improvements still, which should take effect some time in 2009 and should constitute an important stage in creating an integrated European electricity market. The more significant expected advances notably include the implementation of a single, harmonised set of bidding rules covering the entire Central-West region, which would include introducing the principle of automatic resale of capacity (use-it-or-sell-it); the launch of the second phase of the BALIT project for reciprocal adjusting exchanges between France and England; the introduction of a compensation scheme for curtailments of capacity based on the differences in pricing at Power Exchanges over the France-Spain interconnection; and finally the elaboration of the very first regional reports by regulators on the management and use of interconnections. The launch of the market coupling in the Central-West region, planned for March 2010, will unquestionably be a key event in market integration. In addition to substantially improving the use of the region's interconnections, it will offer significant new perspectives in market organisation (such as the future role and status of organised markets as regards day-ahead activity). The work of network operators on the flow-based aspect of the project will also enable improvements in transparency and coordination when calculating interconnection capacities, and could, in the long-run, open debates on changing the market design. However, of the issues raised in CRE's second report on management and use of interconnections, several have

  8. Interconnection blocks with minimal dead volumes permitting planar interconnection to thin microfluidic devices

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Martin

    2010-01-01

    We have previously described 'Interconnection Blocks' which are re-usable, non-integrated PDMS blocks which allowing multiple, aligned and planar microfluidic interconnections. Here, we describe Interconnection Block versions with zero dead volumes that allow fluidic interfacing to flat or thin s...

  9. A one-semester course in modeling of VSLI interconnections

    CERN Document Server

    Goel, Ashok

    2015-01-01

    Quantitative understanding of the parasitic capacitances and inductances, and the resultant propagation delays and crosstalk phenomena associated with the metallic interconnections on the very large scale integrated (VLSI) circuits has become extremely important for the optimum design of the state-of-the-art integrated circuits. More than 65 percent of the delays on the integrated circuit chip occur in the interconnections and not in the transistors on the chip. Mathematical techniques to model the parasitic capacitances, inductances, propagation delays, crosstalk noise, and electromigration-induced failure associated with the interconnections in the realistic high-density environment on a chip will be discussed. A One-Semester Course in Modeling of VLSI Interconnections also includes an overview of the future interconnection technologies for the nanotechnology circuits.

  10. EUROPEAN ENERGY INTERCONNECTION EFFECTS ON THE ROMANIAN ECONOMY

    Directory of Open Access Journals (Sweden)

    Ionescu Mihaela

    2014-07-01

    Full Text Available In this paper the author wants to exemplify the extent to which economic growth in Romania is influenced by the current power system infrastructure investments in Europe. Electricity transmission infrastructure in Romania is at a turning point. The high level of security of supply, delivery efficiency in a competitive internal market are dependent on significant investment, both within the country and across borders. Since the economic crisis makes investment financing is increasingly difficult, it is necessary that they be targeted as well. The European Union has initiated the “Connecting Europe” through which investments are allocated to European energy network interconnection of energy. The action plan for this strategy will put a greater emphasis on investments that require hundreds of billions of euro in new technologies, infrastructure, improve energy intensity, low carbon energy technologies. Romania's energy challenge will depend on the new interconnection modern and smart, both within the country and other European countries, energy saving practices and technologies. This challenge is particularly important as Romania has recovered severe gaps in the level of economic performance compared to developed countries. Such investment will have a significant impact on transmission costs, especially electricity, while network tariffs will rise slightly. Some costs will be higher due to support programs in renewable energy nationwide.Measures are more economically sustainable to maintain or even reinforce the electricity market, which system can be flexible in order to address any issues of adequacy. These measures include investments in border infrastructure (the higher the network, so it is easier to evenly distribute energy from renewable sources, to measure demand response and energy storage solutions.An integrated European infrastructure will ensure economic growth in countries interconnected and thus Romania. Huge energy potential of

  11. Cellular structures with interconnected microchannels

    Science.gov (United States)

    Shaefer, Robert Shahram; Ghoniem, Nasr M.; Williams, Brian

    2018-01-30

    A method for fabricating a cellular tritium breeder component includes obtaining a reticulated carbon foam skeleton comprising a network of interconnected ligaments. The foam skeleton is then melt-infiltrated with a tritium breeder material, for example, lithium zirconate or lithium titanate. The foam skeleton is then removed to define a cellular breeder component having a network of interconnected tritium purge channels. In an embodiment the ligaments of the foam skeleton are enlarged by adding carbon using chemical vapor infiltration (CVI) prior to melt-infiltration. In an embodiment the foam skeleton is coated with a refractory material, for example, tungsten, prior to melt infiltration.

  12. SSC [Superconducting Super Collider] magnet mechanical interconnections

    International Nuclear Information System (INIS)

    Bossert, R.C.; Niemann, R.C.; Carson, J.A.; Ramstein, W.L.; Reynolds, M.P.; Engler, N.H.

    1989-03-01

    Installation of superconducting accelerator dipole and quadrupole magnets and spool pieces in the SSC tunnel requires the interconnection of the cryostats. The connections are both of an electrical and mechanical nature. The details of the mechanical connections are presented. The connections include piping, thermal shields and insulation. There are seven piping systems to be connected. These systems must carry cryogenic fluids at various pressures or maintain vacuum and must be consistently leak tight. The interconnection region must be able to expand and contract as magnets change in length while cooling and warming. The heat leak characteristics of the interconnection region must be comparable to that of the body of the magnet. Rapid assembly and disassembly is required. The magnet cryostat development program is discussed. Results of quality control testing are reported. Results of making full scale interconnections under magnet test situations are reviewed. 11 figs., 4 tabs

  13. Electric network interconnection of Mashreq Arab Countries

    International Nuclear Information System (INIS)

    El-Amin, I.M.; Al-Shehri, A.M.; Opoku, G.; Al-Baiyat, S.A.; Zedan, F.M.

    1994-01-01

    Power system interconnection is a well established practice for a variety of technical and economical reasons. Several interconnected networks exist worldwide for a number of factors. Some of these networks cross international boundaries. This presentation discusses the future developments of the power systems of Mashreq Arab Countries (MAC). MAC consists of Bahrain, Egypt, Iraq, Jordan, Kuwait, Lebanon, Oman, Qatar, Saudi Arabia, United Arab Emirates (UAE), and Yemen. Mac power systems are operated by government or semigovernment bodies. Many of these countries have national or regional electric grids but are generally isolated from each other. With the exception of Saudi Arabia power systems, which employ 60 Hz, all other MAC utilities use 50 Hz frequency. Each country is served by one utility, except Saudi Arabia, which is served by four major utilities and some smaller utilities serving remote towns and small load centers. The major utilities are the Saudi Consolidated electric Company in the Eastern Province (SCECO East), SCECO Center, SCECO West, and SCECO South. These are the ones considered in this study. The energy resources in MAC are varied. Countries such as Egypt, Iraq, and Syria have significant hydro resources.The gulf countries and Iraq have abundant fossil fuel, The variation in energy resources as well as the characteristics of the electric load make it essential to look into interconnections beyond the national boundaries. Most of the existing or planned interconnections involve few power systems. A study involving 12 countries and over 20 utilities with different characteristics represents a very large scale undertaking

  14. Interconnection policy: a theoretical survey

    Directory of Open Access Journals (Sweden)

    César Mattos

    2003-01-01

    Full Text Available This article surveys the theoretical foundations of interconnection policy. The requirement of an interconnection policy should not be taken for granted in all circumstances, even considering the issue of network externalities. On the other hand, when it is required, an encompassing interconnection policy is usually justified. We provide an overview of the theory on interconnection pricing that results in several different prescriptions depending on which problem the regulator aims to address. We also present a survey on the literature on two-way interconnection.

  15. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Hans Martin

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observ...

  16. Economic Valuation of Reserves on Cross Border Interconnections; A Danish Case Study

    DEFF Research Database (Denmark)

    Farashbashi-Astaneh, Seyed-Mostafa; Rather, Zakir Hussain; Hu, Weihao

    2014-01-01

    regions that plan for high penetration of intermittent renewables. Extreme intermittency in the nature of wind power imposes elevated risk levels to power system operation. This every day challenge of wind dominant power systems necessitate the crucial role of operating reserves. In this paper, we propose...... benefit of reserve provision provided by cross border interconnections. The focus here will be on reserve services from abundant hydropower resource in Norway, taking advantage of fast VSC-based HVDC interconnection that is expected to be commissioned in immediate coming years....

  17. Carbon nanotube and graphene nanoribbon interconnects

    CERN Document Server

    Das, Debaprasad

    2014-01-01

    "The book, Caron Nanotube and Graphene Nanoribbon Interconnects, authored by Drs. Debapraad Das and Hafizur Rahaman serves as a good source of material on CNT and GNR interconnects for readers who wish to get into this area and also for practicing engineers who would like to be updated in advances of this field."-Prof. Ashok Srivastava, Louisiana State University, Baton Rouge, USA"Mathematical analysis included in each and every chapter is the main strength of the materials. ... The book is very precise and useful for those who are working in this area. ... highly focused, very compact, and easy to apply. ... This book depicts a detailed analysis and modelling of carbon nanotube and graphene nanoribbon interconnects. The book also covers the electrical circuit modelling of carbon nanotubes and graphene nanoribbons."-Prof. Chandan Kumar Sarkar, Jadavpur University, Kolkata, India.

  18. Assessment of on-farm anaerobic digester grid interconnections

    International Nuclear Information System (INIS)

    Ruhnke, W.

    2006-01-01

    While several anaerobic digestion (AD) pilot plants have recently been built in Canada, early reports suggest that interconnection barriers are delaying their widescale implementation. This paper examined grid interconnection experiences from the perspectives of farmers, local distributing companies (LDCs) and other stakeholders. The aim of the paper was to identify challenges to the implementation of AD systems. Case studies included an Ontario Dairy Herd AD system generating 50 kW; a Saskatchewan hog farm AD system generating 120 kW and an Alberta outdoor beef feedlot AD system generating 1000 kW. Two survey forms were created for project operators, and LDCs. The following 3 category barriers were identified: (1) technical concerns over islanding conditions, power quality requirements, power flow studies and other engineering analyses; (2) business practices barriers such as a lack of response after initial utility contact; and (3) regulatory barriers including the unavailability of fair buy-back rates, the lack of net metering programs, restrictive net metering programs, and pricing issues. It was suggested that collaborative efforts among all stakeholders are needed to resolve barriers quickly. Recommendations included the adoption of uniform technical standards for connecting generators to the grid, as well as adopting standard commercial practices for any required LDC interconnection review. It was also suggested that standard business terms for interconnection agreements should be established. Regulatory principles should be compatible with distributed power choices in regulated and unregulated markets. It was concluded that resolving interconnection barriers is a critical step towards realizing market opportunities available for AD technologies. refs., tabs., figs

  19. Regulatory Issues Surrounding Merchant Interconnection

    International Nuclear Information System (INIS)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections

  20. Regulatory Issues Surrounding Merchant Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert [Office for Energy Regulation (DTe), The Hague (Netherlands)

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections.

  1. Scandinavian interconnections as a means for an integrated and sustainable European system

    International Nuclear Information System (INIS)

    Hedenstedt, A.; Hansson, B.

    1996-01-01

    After the national grids had been established the comprehensive integration of the Nordic countries took place during a 20 year's period that started in early 1960's. A characteristic feature of the interconnection of the Nordic electric power systems is the need for long HVDC submarine cables for several of the interconnection links. Today the Nordel system is almost considered as one system. At present there are several ongoing, actively considered and planned projects for the integration of the Nordel system and the UCPTE system. The interconnection links offer a number of benefits for both the systems. One very important benefit is the stabilisation effect on the power supply security and the price for electricity. This is of special importance in view of the expected de-regulation of the electricity market. (author)

  2. Interconnecting PV on New York City's Secondary Network Distribution System

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, K; Coddington, M; Burman, K; Hayter, S; Kroposki, B; Watson, and A

    2009-11-01

    less expensive distributed PV system interconnections. To assess ways to improve the interconnection process, NREL conducted a four-part study with support from DOE. The NREL team then compiled the final reports from each study into this report. In Section 1PV Deployment Analysis for New York City we analyze the technical potential for rooftop PV systems in the city. This analysis evaluates potential PV power production in ten Con Edison networks of various locations and building densities (ranging from high density apartments to lower density single family homes). Next, we compare the potential power production to network loads to determine where and when PV generation is most likely to exceed network load and disrupt network protection schemes. The results of this analysis may assist Con Edison in evaluating future PV interconnection applications and in planning future network protection system upgrades. This analysis may also assist other utilities interconnecting PV systems to networks by defining a method for assessing the technical potential of PV in the network and its impact on network loads. Section 2. A Briefing for Policy Makers on Connecting PV to a Network Grid presents an overview intended for nontechnical stakeholders. This section describes the issues associated with interconnecting PV systems to networks, along with possible solutions. Section 3. Technical Review of Concerns and Solutions to PV Interconnection in New York City summarizes common concerns of utility engineers and network experts about interconnecting PV systems to secondary networks. This section also contains detailed descriptions of nine solutions, including advantages and disadvantages, potential impacts, and road maps for deployment. Section 4. Utility Application Process Reviewlooks at utility interconnection application processes across the country and identifies administrative best practices for efficient PV interconnection.

  3. Diabetes Nutrition: Including Sweets in Your Meal Plan

    Science.gov (United States)

    Diabetes nutrition: Including sweets in your meal plan Diabetes nutrition focuses on healthy foods, but sweets aren't necessarily ... your meal plan. By Mayo Clinic Staff Diabetes nutrition focuses on healthy foods. But you can eat ...

  4. 78 FR 73112 - Monitoring System Conditions-Transmission Operations Reliability Standards; Interconnection...

    Science.gov (United States)

    2013-12-05

    ...\\ \\8\\ Mandatory Reliability Standards for the Bulk-Power System, Order No. 693, 72 FR 16416 (Apr. 4... operators and reliability coordinators to ``plan and operate the interconnected Bulk Electric System in a... mandated in the currently- effective standards, thereby improving reliability of the bulk power system...

  5. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  6. Fluidic interconnections for microfluidic systems: A new integrated fluidic interconnection allowing plug 'n' play functionality

    DEFF Research Database (Denmark)

    Perozziello, Gerardo; Bundgaard, Frederik; Geschke, Oliver

    2008-01-01

    A crucial challenge in packaging of microsystems is microfluidic interconnections. These have to seal the ports of the system, and have to provide the appropriate interface to other devices or the external environment. Integrated fluidic interconnections appear to be a good solution for interconn...... external metal ferrules and the system. Theoretical calculations are made to dimension and model the integrated fluidic interconnection. Leakage tests are performed on the interconnections, in order to experimentally confirm the model, and detect its limits....

  7. IC layout adjustment method and tool for improving dielectric reliability at interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Kahng, Andrew B.; Chan, Tuck Boon

    2018-03-20

    Method for adjusting a layout used in making an integrated circuit includes one or more interconnects in the layout that are susceptible to dielectric breakdown are selected. One or more selected interconnects are adjusted to increase via to wire spacing with respect to at least one via and one wire of the one or more selected interconnects. Preferably, the selecting analyzes signal patterns of interconnects, and estimates the stress ratio based on state probability of routed signal nets in the layout. An annotated layout is provided that describes distances by which one or more via or wire segment edges are to be shifted. Adjustments can include thinning and shifting of wire segments, and rotation of vias.

  8. All-zigzag graphene nanoribbons for planar interconnect application

    Science.gov (United States)

    Chen, Po-An; Chiang, Meng-Hsueh; Hsu, Wei-Chou

    2017-07-01

    A feasible "lightning-shaped" zigzag graphene nanoribbon (ZGNR) structure for planar interconnects is proposed. Based on the density functional theory and non-equilibrium Green's function, the electron transport properties are evaluated. The lightning-shaped structure increases significantly the conductance of the graphene interconnect with an odd number of zigzag chains. This proposed technique can effectively utilize the linear I-V characteristic of asymmetric ZGNRs for interconnect application. Variability study accounting for width/length variation and the edge effect is also included. The transmission spectra, transmission eigenstates, and transmission pathways are analyzed to gain the physical insights. This lightning-shaped ZGNR enables all 2D material-based devices and circuits on flexible and transparent substrates.

  9. Strategic Environmental Assessment: a case study of interconnected 500 kV Italy-France

    International Nuclear Information System (INIS)

    Viola, S.; Ceccariglia, M.; Rivabene, N.; Motawi, A.

    2007-01-01

    For the new interconnected power transmission line Italy-France is applied the Strategic Environmental Assessment procedure for the purpose of integration planning electric and railway lines of the new base tunnel Lyon Turin Ferroviaire Frejus [it

  10. Interconnectivity: Benefits and Challenges

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2010-09-15

    Access to affordable and reliable electricity supplies is a basic prerequisite for economic and social development, prosperity, health, education and all other aspects of modern society. Electricity can be generated both near and far from the consumption areas as transmission lines, grid interconnections and distribution systems can transport it to the final consumer. In the vast majority of countries, the electricity sector used to be owned and run by the state. The wave of privatisation and market introduction in a number of countries and regions which started in the late 1980's has in many cases involved unbundling of generation from transmission and distribution (T and D). This has nearly everywhere exposed transmission bottlenecks limiting the development of well-functioning markets. Transmission on average accounts for about 10-15% of total final kWh cost paid by the end-user but it is becoming a key issue for effective operation of liberalised markets and for their further development. An integrated and adequate transmission infrastructure is of utmost importance for ensuring the delivery of the most competitively priced electricity, including externalities, to customers, both near and far from the power generating facilities. In this report, the role of interconnectivity in the development of energy systems is examined with the associated socio-economic, environmental, financial and regulatory aspects that must be taken into account for successful interconnection projects.

  11. Nominate an Organization | Distributed Generation Interconnection

    Science.gov (United States)

    Collaborative | NREL Nominate an Organization Nominate an Organization Do you know of an organization doing high-quality, innovative work on the interconnection of distributed generation? Want to practices by nominating an organization to be profiled in an online case study! Please include your

  12. Policy issues in interconnecting networks

    Science.gov (United States)

    Leiner, Barry M.

    1989-01-01

    To support the activities of the Federal Research Coordinating Committee (FRICC) in creating an interconnected set of networks to serve the research community, two workshops were held to address the technical support of policy issues that arise when interconnecting such networks. The workshops addressed the required and feasible technologies and architectures that could be used to satisfy the desired policies for interconnection. The results of the workshop are documented.

  13. Nanophotonic Devices for Optical Interconnect

    DEFF Research Database (Denmark)

    Van Thourhout, D.; Spuesens, T.; Selvaraja, S.K.

    2010-01-01

    We review recent progress in nanophotonic devices for compact optical interconnect networks. We focus on microdisk-laser-based transmitters and discuss improved design and advanced functionality including all-optical wavelength conversion and flip-flops. Next we discuss the fabrication uniformity...... of the passive routing circuits and their thermal tuning. Finally, we discuss the performance of a wavelength selective detector....

  14. 18 CFR 292.306 - Interconnection costs.

    Science.gov (United States)

    2010-04-01

    ... 18 Conservation of Power and Water Resources 1 2010-04-01 2010-04-01 false Interconnection costs... § 292.306 Interconnection costs. (a) Obligation to pay. Each qualifying facility shall be obligated to pay any interconnection costs which the State regulatory authority (with respect to any electric...

  15. Decentralised output feedback control of Markovian jump interconnected systems with unknown interconnections

    Science.gov (United States)

    Li, Li-Wei; Yang, Guang-Hong

    2017-07-01

    The problem of decentralised output feedback control is addressed for Markovian jump interconnected systems with unknown interconnections and general transition rates (TRs) allowed to be unknown or known with uncertainties. A class of decentralised dynamic output feedback controllers are constructed, and a cyclic-small-gain condition is exploited to dispose the unknown interconnections so that the resultant closed-loop system is stochastically stable and satisfies an H∞ performance. With slack matrices to cope with the nonlinearities incurred by unknown and uncertain TRs in control synthesis, a novel controller design condition is developed in linear matrix inequality formalism. Compared with the existing works, the proposed approach leads to less conservatism. Finally, two examples are used to illustrate the effectiveness of the new results.

  16. Installation and Quality Assurance of the Interconnections between Cryo-assemblies of the LHC Long Straight Sections

    CERN Document Server

    Garion, C; Tock, J P

    2006-01-01

    The interconnections between the cryomagnets and cryogenic utilities in the LHC long Straight Sections constitute the last machine installation activity. They are ensuring continuity of the beam and insulation vacuum systems, cryogenic fluid and electrical circuits and thermal insulation. The assembly is carried out in a constraining tunnel environment with restricted space. Therefore, the assembly sequence has to be well defined and specific tests have to be performed during the interconnection work to secure the reliability of the system and thus to ensure the global accelerator availability. The LHC has 8 long straight insertion zones composed of special cryomagnets involving specific interconnection procedures and QA plans. The aim of this paper is to present the installation and quality assurance procedures implemented for the LHC LSS interconnections. Technologies such as manual and automatic welding and resistive soldering will be described as well as the different quality controls, such as visual and ...

  17. Optics vs copper: from the perspective of "Thunderbolt" interconnect technology

    Science.gov (United States)

    Cheng, Hengju; Krause, Christine; Ko, Jamyuen; Gao, Miaobin; Liu, Guobin; Wu, Huichin; Qi, Mike; Lam, Chun-Chit

    2013-02-01

    Interconnect technology has been progressed at a very fast pace for the past decade. The signaling rates have steadily increased from 100:Mb/s to 25Gb/s. In every generation of interconnect technology evolution, optics always seems to take over at first, however, at the end, the cost advantage of copper wins over. Because of this, optical interconnects are limited to longer distance links where the attenuation in copper cable is too large for the integrated circuits to compensate. Optical interconnect has long been viewed as the premier solution in compared with copper interconnect. With the release of Thunderbolt technology, we are entering a new era in consumer electronics that runs at 10Gb/s line rate (20Gb/s throughput per connector interface). Thunderbolt interconnect technology includes both active copper cables and active optical cables as the transmission media which have very different physical characteristics. In order for optics to succeed in consumer electronics, several technology hurdles need to be cleared. For example, the optical cable needs to handle the consumer abuses such as pinch and bend. Also, the optical engine used in the active optical cable needs to be physically very small so that we don't change the looks and feels of the cable/connector. Most importantly, the cost of optics needs to come down significantly to effectively compete with the copper solution. Two interconnect technologies are compared and discussed on the relative cost, power consumption, form factor, density, and future scalability.

  18. Epidemics spreading in interconnected complex networks

    International Nuclear Information System (INIS)

    Wang, Y.; Xiao, G.

    2012-01-01

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  19. Epidemics spreading in interconnected complex networks

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of High Performance Computing, Agency for Science, Technology and Research (A-STAR), Singapore 138632 (Singapore); Xiao, G., E-mail: egxxiao@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2012-09-03

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  20. Comprehensive evaluation of global energy interconnection development index

    Science.gov (United States)

    Liu, Lin; Zhang, Yi

    2018-04-01

    Under the background of building global energy interconnection and realizing green and low-carbon development, this article constructed the global energy interconnection development index system which based on the current situation of global energy interconnection development. Through using the entropy method for the weight analysis of global energy interconnection development index, and then using gray correlation method to analyze the selected countries, this article got the global energy interconnection development index ranking and level classification.

  1. 30 CFR 250.110 - What must I include in my welding plan?

    Science.gov (United States)

    2010-07-01

    ... 30 Mineral Resources 2 2010-07-01 2010-07-01 false What must I include in my welding plan? 250.110... must I include in my welding plan? You must include all of the following in the Welding Plan that you... qualified personnel weld; (c) Practices and procedures for safe welding that address: (1) Welding in...

  2. Interconnect fatigue design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1982-03-01

    The results of comprehensive investigation of interconnect fatigue that has led to the definition of useful reliability-design and life-prediction algorithms are presented. Experimental data indicate that the classical strain-cycle (fatigue) curve for the interconnect material is a good model of mean interconnect fatigue performance, but it fails to account for the broad statistical scatter, which is critical to reliability prediction. To fill this shortcoming the classical fatigue curve is combined with experimental cumulative interconnect failure rate data to yield statistical fatigue curves (having failure probability as a parameter) which enable (1) the prediction of cumulative interconnect failures during the design life of an array field, and (2) the unambiguous--ie., quantitative--interpretation of data from field-service qualification (accelerated thermal cycling) tests. Optimal interconnect cost-reliability design algorithms are derived based on minimizing the cost of energy over the design life of the array field.

  3. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... the existing network to include two thematic networks on food security and rural ... Woman conquering male business in Yemen : Waleya's micro-enterprise.

  4. Microcoil Spring Interconnects for Ceramic Grid Array Integrated Circuits

    Science.gov (United States)

    Strickland, S. M.; Hester, J. D.; Gowan, A. K.; Montgomery, R. K.; Geist, D. L.; Blanche, J. F.; McGuire, G. D.; Nash, T. S.

    2011-01-01

    As integrated circuit miniaturization trends continue, they drive the need for smaller higher input/output (I/O) packages. Hermetically sealed ceramic area array parts are the package of choice by the space community for high reliability space flight electronic hardware. Unfortunately, the coefficient of thermal expansion mismatch between the ceramic area array package and the epoxy glass printed wiring board limits the life of the interconnecting solder joint. This work presents the results of an investigation by Marshall Space Flight Center into a method to increase the life of this second level interconnection by the use of compliant microcoil springs. The design of the spring and its attachment process are presented along with thermal cycling results of microcoil springs (MCS) compared with state-of-the-art ball and column interconnections. Vibration testing has been conducted on MCS and high lead column parts. Radio frequency simulation and measurements have been made and the MCS has been modeled and a stress analysis performed. Thermal cycling and vibration testing have shown MCS interconnects to be significantly more reliable than solder columns. Also, MCS interconnects are less prone to handling damage than solder columns. Future work that includes shock testing, incorporation into a digital signal processor board, and process evaluation of expansion from a 400 I/O device to a device with over 1,100 I/O is identified.

  5. Recent Development of SOFC Metallic Interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Wu JW, Liu XB

    2010-04-01

    Interest in solid oxide fuel cells (SOFC) stems from their higher e±ciencies and lower levels of emitted pollu- tants, compared to traditional power production methods. Interconnects are a critical part in SOFC stacks, which connect cells in series electrically, and also separate air or oxygen at the cathode side from fuel at the anode side. Therefore, the requirements of interconnects are the most demanding, i:e:, to maintain high elec- trical conductivity, good stability in both reducing and oxidizing atmospheres, and close coe±cient of thermal expansion (CTE) match and good compatibility with other SOFC ceramic components. The paper reviewed the interconnect materials, and coatings for metallic interconnect materials.

  6. Misalignment corrections in optical interconnects

    Science.gov (United States)

    Song, Deqiang

    Optical interconnects are considered a promising solution for long distance and high bitrate data transmissions, outperforming electrical interconnects in terms of loss and dispersion. Due to the bandwidth and distance advantage of optical interconnects, longer links have been implemented with optics. Recent studies show that optical interconnects have clear advantages even at very short distances---intra system interconnects. The biggest challenge for such optical interconnects is the alignment tolerance. Many free space optical components require very precise assembly and installation, and therefore the overall cost could be increased. This thesis studied the misalignment tolerance and possible alignment correction solutions for optical interconnects at backplane or board level. First the alignment tolerance for free space couplers was simulated and the result indicated the most critical alignments occur between the VCSEL, waveguide and microlens arrays. An in-situ microlens array fabrication method was designed and experimentally demonstrated, with no observable misalignment with the waveguide array. At the receiver side, conical lens arrays were proposed to replace simple microlens arrays for a larger angular alignment tolerance. Multilayer simulation models in CodeV were built to optimized the refractive index and shape profiles of the conical lens arrays. Conical lenses fabricated with micro injection molding machine and fiber etching were characterized. Active component VCSOA was used to correct misalignment in optical connectors between the board and backplane. The alignment correction capability were characterized for both DC and AC (1GHz) optical signal. The speed and bandwidth of the VCSOA was measured and compared with a same structure VCSEL. Based on the optical inverter being studied in our lab, an all-optical flip-flop was demonstrated using a pair of VCSOAs. This memory cell with random access ability can store one bit optical signal with set or

  7. Development and operation of interconnections in a restructuring context

    International Nuclear Information System (INIS)

    2003-01-01

    In many countries the electrical network is not fully interconnected and the best technical solution to achieve interconnection has to be found. At the same time the electricity industry is being restructured and interconnecting independent energy markets presents technical challenges. It is therefore timely to consider interconnection development and operation options: examine the benefits of interconnecting electrical networks and the development strategies, review the interconnection design options and the technologies available, identify the operational issues, the security problems of large interconnected systems, the protection issues, consider the impact of the restructuring of the electrical supply industry, assess the political, environmental and social implications of interconnections. reorganized in slovenia from 5-7 april 2004. (author)

  8. Probabilistic interconnection between interdependent networks promotes cooperation in the public goods game

    International Nuclear Information System (INIS)

    Wang, Baokui; Chen, Xiaojie; Wang, Long

    2012-01-01

    Most previous works study the evolution of cooperation in a structured population by commonly employing an isolated single network. However, realistic systems are composed of many interdependent networks coupled with each other, rather than an isolated single one. In this paper, we consider a system including two interacting networks with the same size, entangled with each other by the introduction of probabilistic interconnections. We introduce the public goods game into such a system, and study how the probabilistic interconnection influences the evolution of cooperation of the whole system and the coupling effect between two layers of interdependent networks. Simulation results show that there exists an intermediate region of interconnection probability leading to the maximum cooperation level in the whole system. Interestingly, we find that at the optimal interconnection probability the fraction of internal links between cooperators in two layers is maximal. Also, even if initially there are no cooperators in one layer of interdependent networks, cooperation can still be promoted by probabilistic interconnection, and the cooperation levels in both layers can more easily reach an agreement at the intermediate interconnection probability. Our results may be helpful in understanding cooperative behavior in some realistic interdependent networks and thus highlight the importance of probabilistic interconnection on the evolution of cooperation. (paper)

  9. Reconfigurable Optical Interconnections Via Dynamic Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang (Inventor); Zhou, Shao-Min (Inventor)

    1996-01-01

    A system is presented for optically providing one-to-many irregular interconnections, and strength-adjustable many-to-many irregular interconnections which may be provided with strengths (weights) w(sub ij) using multiple laser beams which address multiple holograms and means for combining the beams modified by the holograms to form multiple interconnections, such as a cross-bar switching network. The optical means for interconnection is based on entering a series of complex computer-generated holograms on an electrically addressed spatial light modulator for real-time reconfigurations, thus providing flexibility for interconnection networks for large-scale practical use. By employing multiple sources and holograms, the number of interconnection patterns achieved is increased greatly.

  10. Study on application of a high-speed trigger-type SFCL (TSFCL) for interconnection of power systems with different reliabilities

    International Nuclear Information System (INIS)

    Kim, Hye Ji; Yoon, Yong Tae

    2016-01-01

    Highlights: • Application of TSFCL to interconnect systems with different reliabilities is proposed. • TSFCL protects a grid by preventing detrimental effects from being delivered through the interconnection line. • A high-speed TSFCL with high impedance for transmission systems is required to be developed. - Abstract: Interconnection of power systems is one effective way to improve power supply reliability. However, differences in the reliability of each power system create a greater obstacle for the stable interconnection of power systems, as after interconnection a high-reliability system is affected by frequent faults in low reliability side systems. Several power system interconnection methods, such as the back-to-back method and the installation of either transformers or series reactors, have been investigated to counteract the damage caused by faults in the other neighboring systems. However, these methods are uneconomical and require complex operational management plans. In this work, a high-speed trigger-type superconducting fault current limiter (TSFCL) with large-impedance is proposed as a solution to maintain reliability and power quality when a high reliability power system is interconnected with a low reliability power system. Through analysis of the reliability index for the numerical examples obtained from a PSCAD/EMTDC simulator, a high-speed TSFCL with a large-impedance is confirmed to be effective for the interconnection between power systems with different reliabilities.

  11. 78 FR 21928 - Demand Response Coalition v. PJM Interconnection, L.L.C.; Notice of Complaint

    Science.gov (United States)

    2013-04-12

    ... DEPARTMENT OF ENERGY Federal Energy Regulatory Commission [Docket No. EL13-57-000] Demand Response... Demand Response Coalition \\1\\ (Complainant) filed a formal complaint against the PJM Interconnection, L.L... Plan Enhancements'') violate section 205 of the FPA and are therefore unenforceable. \\1\\ The Demand...

  12. 47 CFR 90.477 - Interconnected systems.

    Science.gov (United States)

    2010-10-01

    ... part and medical emergency systems in the 450-470 MHz band, interconnection will be permitted only... operating on frequencies in the bands below 800 MHz are not subject to the interconnection provisions of...

  13. Interconnecting heterogeneous database management systems

    Science.gov (United States)

    Gligor, V. D.; Luckenbaugh, G. L.

    1984-01-01

    It is pointed out that there is still a great need for the development of improved communication between remote, heterogeneous database management systems (DBMS). Problems regarding the effective communication between distributed DBMSs are primarily related to significant differences between local data managers, local data models and representations, and local transaction managers. A system of interconnected DBMSs which exhibit such differences is called a network of distributed, heterogeneous DBMSs. In order to achieve effective interconnection of remote, heterogeneous DBMSs, the users must have uniform, integrated access to the different DBMs. The present investigation is mainly concerned with an analysis of the existing approaches to interconnecting heterogeneous DBMSs, taking into account four experimental DBMS projects.

  14. 40 CFR 60.3012 - What should I include in my waste management plan?

    Science.gov (United States)

    2010-07-01

    ..., 2004 Model Rule-Waste Management Plan § 60.3012 What should I include in my waste management plan? A waste management plan must include consideration of the reduction or separation of waste-stream elements.... The plan must identify any additional waste management measures and implement those measures the...

  15. 40 CFR 62.14590 - What should I include in my waste management plan?

    Science.gov (United States)

    2010-07-01

    ... Commenced Construction On or Before November 30, 1999 Waste Management Plan § 62.14590 What should I include in my waste management plan? A waste management plan must include consideration of the reduction or... use of recyclable materials. The plan must identify any additional waste management measures, and the...

  16. 40 CFR 60.2630 - What should I include in my waste management plan?

    Science.gov (United States)

    2010-07-01

    ... or Before November 30, 1999 Model Rule-Waste Management Plan § 60.2630 What should I include in my waste management plan? A waste management plan must include consideration of the reduction or separation... of recyclable materials. The plan must identify any additional waste management measures, and the...

  17. Multilevel Dual Damascene copper interconnections

    Science.gov (United States)

    Lakshminarayanan, S.

    Copper has been acknowledged as the interconnect material for future generations of ICs to overcome the bottlenecks on speed and reliability present with the current Al based wiring. A new set of challenges brought to the forefront when copper replaces aluminum, have to be met and resolved to make it a viable option. Unit step processes related to copper technology have been under development for the last few years. In this work, the application of copper as the interconnect material in multilevel structures with SiO2 as the interlevel dielectric has been explored, with emphasis on integration issues and complete process realization. Interconnect definition was achieved by the Dual Damascene approach using chemical mechanical polishing of oxide and copper. The choice of materials used as adhesion promoter/diffusion barrier included Ti, Ta and CVD TiN. Two different polish chemistries (NH4OH or HNO3 based) were used to form the interconnects. The diffusion barrier was removed during polishing (in the case of TiN) or by a post CMP etch (as with Ti or Ta). Copper surface passivation was performed using boron implantation and PECVD nitride encapsulation. The interlevel dielectric way composed of a multilayer stack of PECVD SiO2 and SixNy. A baseline process sequence which ensured the mechanical and thermal compatibility of the different unit steps was first created. A comprehensive test vehicle was designed and test structures were fabricated using the process flow developed. Suitable modifications were subsequently introduced in the sequence as and when processing problems were encountered. Electrical characterization was performed on the fabricated devices, interconnects, contacts and vias. The structures were subjected to thermal stressing to assess their stability and performance. The measurement of interconnect sheet resistances revealed lower copper loss due to dishing on samples polished using HNO3 based slurry. Interconnect resistances remained stable upto 400o

  18. Reactive power interconnection requirements for PV and wind plants : recommendations to NERC.

    Energy Technology Data Exchange (ETDEWEB)

    McDowell, Jason (General Electric, Schenectady, NY); Walling, Reigh (General Electric, Schenectady, NY); Peter, William (SunPower, Richmond, CA); Von Engeln, Edi (NV Energy, Reno, NV); Seymour, Eric (AEI, Fort Collins, CO); Nelson, Robert (Siemens Wind Turbines, Orlando, FL); Casey, Leo (Satcon, Boston, MA); Ellis, Abraham; Barker, Chris. (SunPower, Richmond, CA)

    2012-02-01

    Voltage on the North American bulk system is normally regulated by synchronous generators, which typically are provided with voltage schedules by transmission system operators. In the past, variable generation plants were considered very small relative to conventional generating units, and were characteristically either induction generator (wind) or line-commutated inverters (photovoltaic) that have no inherent voltage regulation capability. However, the growing level of penetration of non-traditional renewable generation - especially wind and solar - has led to the need for renewable generation to contribute more significantly to power system voltage control and reactive power capacity. Modern wind-turbine generators, and increasingly PV inverters as well, have considerable dynamic reactive power capability, which can be further enhanced with other reactive support equipment at the plant level to meet interconnection requirements. This report contains a set of recommendations to the North-America Electricity Reliability Corporation (NERC) as part of Task 1-3 (interconnection requirements) of the Integration of Variable Generation Task Force (IVGTF) work plan. The report discusses reactive capability of different generator technologies, reviews existing reactive power standards, and provides specific recommendations to improve existing interconnection standards.

  19. An interconnecting bus power optimization method combining interconnect wire spacing with wire ordering

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Hao Bao-Tian; En Yun-Fei; Yang Yin-Tang; Li Yue-Jin

    2011-01-01

    On-chip interconnect buses consume tens of percents of dynamic power in a nanometer scale integrated circuit and they will consume more power with the rapid scaling down of technology size and continuously rising clock frequency, therefore it is meaningful to lower the interconnecting bus power in design. In this paper, a simple yet accurate interconnect parasitic capacitance model is presented first and then, based on this model, a novel interconnecting bus optimization method is proposed. Wire spacing is a process for spacing wires for minimum dynamic power, while wire ordering is a process that searches for wire orders that maximally enhance it. The method, i.e., combining wire spacing with wire ordering, focuses on bus dynamic power optimization with a consideration of bus performance requirements. The optimization method is verified based on various nanometer technology parameters, showing that with 50% slack of routing space, 25.71% and 32.65% of power can be saved on average by the proposed optimization method for a global bus and an intermediate bus, respectively, under a 65-nm technology node, compared with 21.78% and 27.68% of power saved on average by uniform spacing technology. The proposed method is especially suitable for computer-aided design of nanometer scale on-chip buses. (interdisciplinary physics and related areas of science and technology)

  20. A mid-term, market-based power systems planning model

    International Nuclear Information System (INIS)

    Koltsaklis, Nikolaos E.; Dagoumas, Athanasios S.; Georgiadis, Michael C.; Papaioannou, George; Dikaiakos, Christos

    2016-01-01

    Highlights: • A mid-term Energy Planning along with a Unit Commitment model is developed. • The model identifies the optimum interconnection capacity. • Electricity interconnections affect the power mix and the day-ahead spot price. • Renewables’ penetration has impacts on the power reserves and the CO_2 emissions. • Energy policy and fuel pricing can have significant impacts on the power mix. - Abstract: This paper presents a generic Mixed Integer Linear Programming (MILP) model that integrates a Mid-term Energy Planning (MEP) model, which implements generation and transmission system planning at a yearly level, with a Unit Commitment (UC) model, which performs the simulation of the Day-Ahead Electricity Market. The applicability of the proposed model is illustrated in a case study of the Greek interconnected power system. The aim is to evaluate a critical project in the Ten Year Network Development Plan (TYNDP) of the Independent Power Transmission System Operator S.A. (ADMIE), namely the electric interconnection of the Crete Island with the mainland electric system. The proposed modeling framework identifies the implementation (or not) of the interconnection of the Crete Island with the mainland electric system, as well as the optimum interconnection capacity. It also quantifies the effects on the Day-Ahead electricity market and on the energy mix. The paper demonstrates that the model can provide useful insights into the strategic and challenging decisions to be determined by investors and/or policy makers at a national and/or regional level, by providing the optimal energy roadmap and management, as well as clear price signals on critical energy projects under real operating and design constraints.

  1. Modular cryogenic interconnects for multi-qubit devices

    Energy Technology Data Exchange (ETDEWEB)

    Colless, J. I.; Reilly, D. J., E-mail: david.reilly@sydney.edu.au [ARC Centre of Excellence for Engineered Quantum Systems, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2014-11-15

    We have developed a modular interconnect platform for the control and readout of multiple solid-state qubits at cryogenic temperatures. The setup provides 74 filtered dc-bias connections, 32 control and readout connections with −3 dB frequency above 5 GHz, and 4 microwave feed lines that allow low loss (less than 3 dB) transmission 10 GHz. The incorporation of a radio-frequency interposer enables the platform to be separated into two printed circuit boards, decoupling the simple board that is bonded to the qubit chip from the multilayer board that incorporates expensive connectors and components. This modular approach lifts the burden of duplicating complex interconnect circuits for every prototype device. We report the performance of this platform at milli-Kelvin temperatures, including signal transmission and crosstalk measurements.

  2. Manufacturing of planar ceramic interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, B.L.; Coffey, G.W.; Meinhardt, K.D.; Armstrong, T.R. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-12-31

    The fabrication of ceramic interconnects for solid oxide fuel cells (SOFC) and separator plates for electrochemical separation devices has been a perennial challenge facing developers. Electrochemical vapor deposition (EVD), plasma spraying, pressing, tape casting and tape calendering are processes that are typically utilized to fabricate separator plates or interconnects for the various SOFC designs and electrochemical separation devices. For sake of brevity and the selection of a planar fuel cell or gas separation device design, pressing will be the only fabrication technique discussed here. This paper reports on the effect of the characteristics of two doped lanthanum manganite powders used in the initial studies as a planar porous separator for a fuel cell cathode and as a dense interconnect for an oxygen generator.

  3. Optimal interconnection and renewable targets for north-west Europe

    International Nuclear Information System (INIS)

    Lynch, Muireann Á.; Tol, Richard S.J.; O'Malley, Mark J.

    2012-01-01

    We present a mixed-integer, linear programming model for determining optimal interconnection for a given level of renewable generation using a cost minimisation approach. Optimal interconnection and capacity investment decisions are determined under various targets for renewable penetration. The model is applied to a test system for eight regions in Northern Europe. It is found that considerations on the supply side dominate demand side considerations when determining optimal interconnection investment: interconnection is found to decrease generation capacity investment and total costs only when there is a target for renewable generation. Higher wind integration costs see a concentration of wind in high-wind regions with interconnection to other regions. - Highlights: ► We use mixed-integer linear programming to determine optimal interconnection locations for given renewable targets. ► The model is applied to a test system for eight regions in Northern Europe. ► Interconnection reduces costs only when there is a renewable target. ► Wind integration costs affect the interconnection portfolio.

  4. The Interconnections of the LHC Cryomagnets

    CERN Document Server

    Jacquemod, A; Skoczen, Blazej; Tock, J P

    2001-01-01

    The main components of the LHC, the next world-class facility in high-energy physics, are the twin-aperture high-field superconducting cryomagnets to be installed in the existing 26.7-km long tunnel. After installation and alignment, the cryomagnets have to be interconnected. The interconnections must ensure the continuity of several functions: vacuum enclosures, beam pipe image currents (RF contacts), cryogenic circuits, electrical power supply, and thermal insulation. In the machine, about 1700 interconnections between cryomagnets are necessary. The interconnections constitute a unique system that is nearly entirely assembled in the tunnel. For each of them, various operations must be done: TIG welding of cryogenic channels (~ 50 000 welds), induction soldering of main superconducting cables (~ 10 000 joints), ultrasonic welding of auxiliary superconducting cables (~ 20 000 welds), mechanical assembly of various elements, and installation of the multi-layer insulation (~ 200 000 m2). Defective junctions cou...

  5. Epidemics in interconnected small-world networks.

    Science.gov (United States)

    Liu, Meng; Li, Daqing; Qin, Pengju; Liu, Chaoran; Wang, Huijuan; Wang, Feilong

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS) model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  6. Epidemics in interconnected small-world networks.

    Directory of Open Access Journals (Sweden)

    Meng Liu

    Full Text Available Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  7. Solar-cell interconnect design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1984-01-01

    Useful solar cell interconnect reliability design and life prediction algorithms are presented, together with experimental data indicating that the classical strain cycle (fatigue) curve for the interconnect material does not account for the statistical scatter that is required in reliability predictions. This shortcoming is presently addressed by fitting a functional form to experimental cumulative interconnect failure rate data, which thereby yields statistical fatigue curves enabling not only the prediction of cumulative interconnect failures during the design life of an array field, but also the quantitative interpretation of data from accelerated thermal cycling tests. Optimal interconnect cost reliability design algorithms are also derived which may allow the minimization of energy cost over the design life of the array field.

  8. Self-Rerouting and Curative Interconnect Technology (SERCUIT)

    Science.gov (United States)

    2017-12-01

    SPECIAL REPORT RDMR-CS-17-01 SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) Shiv Joshi Concepts to Systems, Inc...Final 4. TITLE AND SUBTITLE Self-Rerouting and Curative Interconnect Technology (SERCUIT) 5. FUNDING NUMBERS 6. AUTHOR(S) Shiv Joshi...concepts2systems.com (p) 434-207-5189 x (f) Click to view full size Title Contract Number SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) W911W6-17-C-0029

  9. Network interconnections: an architectural reference model

    NARCIS (Netherlands)

    Butscher, B.; Lenzini, L.; Morling, R.; Vissers, C.A.; Popescu-Zeletin, R.; van Sinderen, Marten J.; Heger, D.; Krueger, G.; Spaniol, O.; Zorn, W.

    1985-01-01

    One of the major problems in understanding the different approaches in interconnecting networks of different technologies is the lack of reference to a general model. The paper develops the rationales for a reference model of network interconnection and focuses on the architectural implications for

  10. Actual issues concerning nuclear power plants and interconnected grid

    International Nuclear Information System (INIS)

    Medjimorec, D.; Brkic, S.

    2004-01-01

    Nuclear power plants and transmission grid have always been mutually of special relevance. In countries and/or regions where nuclear power plants are located they are almost as a rule counted among strongest nodes of the grid. Hence, they are treated as such from grid point of view in various aspects (operational, planning). In interconnected high-voltage transmission grid of European mainland, usually called UCTE interconnected system, this importance could be shown in a range of issues and several cases, particularly under present situation in which there are numerous demanding and challenging tasks put on transmission system operators, largely due to the opening of electricity markets in the most of European countries. Among these issues definitely worth of mentioning is relevant influence to both commercial paths and physical power flows, and also to exchange programmes between control areas and blocks. In this context there is also relation to cross-border transactions and mechanism applied to them. In respect to security of supply issues and future of nuclear power generation under present regulative framework of most European countries it is needed to comply with connecting conditions (and other stipulations) from national grid codes where different approaches could be observed. Furthermore, nuclear issues significantly influence approach to extension of UCTE system. In certain extent this also applies to pending re-connection of present two synchronous zones of UCTE, particularly to area of broader region directly affected with this complex process. Some of these also reflect to Croatian high-voltage transmission grid as a part of UCTE interconnected system with certain peculiarities.(author)

  11. Epidemics in interconnected small-world networks

    NARCIS (Netherlands)

    Liu, M.; Li, D.; Qin, P.; Liu, C.; Wang, H.; Wang, F.

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks

  12. 40 CFR 60.2901 - What should I include in my waste management plan?

    Science.gov (United States)

    2010-07-01

    ... Analysis Waste Management Plan § 60.2901 What should I include in my waste management plan? A waste management plan must include consideration of the reduction or separation of waste-stream elements such as... must identify any additional waste management measures and implement those measures the source...

  13. Interconnect rise time in superconducting integrating circuits

    International Nuclear Information System (INIS)

    Preis, D.; Shlager, K.

    1988-01-01

    The influence of resistive losses on the voltage rise time of an integrated-circuit interconnection is reported. A distribution-circuit model is used to present the interconnect. Numerous parametric curves are presented based on numerical evaluation of the exact analytical expression for the model's transient response. For the superconducting case in which the series resistance of the interconnect approaches zero, the step-response rise time is longer but signal strength increases significantly

  14. Supplemental Information for New York State Standardized Interconnection Requirements

    Energy Technology Data Exchange (ETDEWEB)

    Ingram, Michael [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Narang, David J. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mather, Barry A. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Kroposki, Benjamin D. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-10-24

    This document is intended to aid in the understanding and application of the New York State Standardized Interconnection Requirements (SIR) and Application Process for New Distributed Generators 5 MW or Less Connected in Parallel with Utility Distribution Systems, and it aims to provide supplemental information and discussion on selected topics relevant to the SIR. This guide focuses on technical issues that have to date resulted in the majority of utility findings within the context of interconnecting photovoltaic (PV) inverters. This guide provides background on the overall issue and related mitigation measures for selected topics, including substation backfeeding, anti-islanding and considerations for monitoring and controlling distributed energy resources (DER).

  15. A pre-feasibility case study on integrated resource planning including renewables

    International Nuclear Information System (INIS)

    Yilmaz, Pelin; Hakan Hocaoglu, M.; Konukman, Alp Er S.

    2008-01-01

    In recent years, economical and environmental constraints force governments and energy policy decision-makers to change the prominent characteristics of the electricity markets. Accordingly, depending on local conditions on the demand side, usage of integrated resource planning approaches in conjunction with renewable technologies has gained more importance. In this respect, an integrated resource planning option, which includes the design and optimization of grid-connected renewable energy plants, should be evaluated to facilitate a cost-effective and green solution to a sustainable future. In this paper, an integrated resource planning case is studied for an educational campus, located in Gebze, Turkey. It is found that for the considered campus, the integrated resource planning scenario that includes renewables as a supply-side option with existing time-of-use tariff may provide a cost-effective energy production, particularly for the high penetration level of the renewables

  16. Provincial land use planning in British Columbia

    International Nuclear Information System (INIS)

    Mitchell, W.

    1998-01-01

    The efforts being made to include Aboriginal communities in land use planning in British Columbia are discussed. British Columbia is in the midst of historic changes with respect to land and resource allocation, use and management. Historic trends in land use allocation and management are contrasted with land use planning and resource management of today. The impact of provincial government moves to double park space within the province, and the Protected Areas Strategy initiative will have on the natural gas and petroleum industry is discussed. New efforts being made to include First Nations directly in land use planning discussions in ways that do not prejudice treaty negotiations, are reviewed. Creation of a new Oil and Gas Commission in the Fort St. John area, is cited as the most recent example of the interconnections between First Nations communities and other public and industry stakeholders in land use planning in the province

  17. X-Ray Microdiffraction as a Probe to Reveal Flux Divergences in Interconnects

    Science.gov (United States)

    Spolenak, R.; Tamura, N.; Patel, J. R.

    2006-02-01

    Most reliability issues in interconnect systems occur at a local scale and many of them include the local build-up of stresses. Typical failure mechanisms are electromigration and stress voiding in interconnect lines and fatigue in surface acoustic wave devices. Thus a local probe is required for the investigation of these phenomena. In this paper the application of the Laue microdiffraction technique to investigate flux divergences in interconnect systems will be described. The deviatoric strain tensor of single grains can be correlated with the local microstructure, orientation and defect density. Especially the latter led to recent results about the correlation of stress build-up and orientation in Cu lines and electromigration-induced grain rotation in Cu and Al lines.

  18. Review of Interconnection Practices and Costs in the Western States

    Energy Technology Data Exchange (ETDEWEB)

    Bird, Lori A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Flores-Espino, Francisco [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Volpi, Christina M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Ardani, Kristen B [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Manning, David [Western Interstate Energy Board (WIEB); McAllister, Richard [Western Interstate Energy Board (WIEB)

    2018-04-27

    The objective of this report is to evaluate the nature of barriers to interconnecting distributed PV, assess costs of interconnection, and compare interconnection practices across various states in the Western Interconnection. The report addresses practices for interconnecting both residential and commercial-scale PV systems to the distribution system. This study is part of a larger, joint project between the Western Interstate Energy Board (WIEB) and the National Renewable Energy Laboratory (NREL), funded by the U.S. Department of Energy, to examine barriers to distributed PV in the 11 states wholly within the Western Interconnection.

  19. Superconducting Multilayer High-Density Flexible Printed Circuit Board for Very High Thermal Resistance Interconnections

    Science.gov (United States)

    de la Broïse, Xavier; Le Coguie, Alain; Sauvageot, Jean-Luc; Pigot, Claude; Coppolani, Xavier; Moreau, Vincent; d'Hollosy, Samuel; Knarosovski, Timur; Engel, Andreas

    2018-05-01

    We have successively developed two superconducting flexible PCBs for cryogenic applications. The first one is monolayer, includes 552 tracks (10 µm wide, 20 µm spacing), and receives 24 wire-bonded integrated circuits. The second one is multilayer, with one track layer between two shielding layers interconnected by microvias, includes 37 tracks, and can be interconnected at both ends by wire bonding or by connectors. The first cold measurements have been performed and show good performances. The novelty of these products is, for the first one, the association of superconducting materials with very narrow pitch and bonded integrated circuits and, for the second one, the introduction of a superconducting multilayer structure interconnected by vias which is, to our knowledge, a world-first.

  20. Identifying influential spreaders in interconnected networks

    International Nuclear Information System (INIS)

    Zhao, Dawei; Li, Lixiang; Huo, Yujia; Yang, Yixian; Li, Shudong

    2014-01-01

    Identifying the most influential spreaders in spreading dynamics is of the utmost importance for various purposes for understanding or controlling these processes. The existing relevant works are limited to a single network. Most real networks are actually not isolated, but typically coupled and affected by others. The properties of epidemic spreading have recently been found to have some significant differences in interconnected networks from those in a single network. In this paper, we focus on identifying the influential spreaders in interconnected networks. We find that the well-known k-shell index loses effectiveness; some insignificant spreaders in a single network become the influential spreaders in the whole interconnected networks while some influential spreaders become no longer important. The simulation results show that the spreading capabilities of the nodes not only depend on their influence for the network topology, but also are dramatically influenced by the spreading rate. Based on this perception, a novel index is proposed for measuring the influential spreaders in interconnected networks. We then support the efficiency of this index with numerical simulations. (paper)

  1. 12 CFR 563b.105 - What information must I include in my business plan?

    Science.gov (United States)

    2010-01-01

    ... information must I include in my business plan? (a) Prior to filing an application for conversion, you must adopt a business plan reflecting your intended plans for deployment of the proposed conversion proceeds. Your business plan is required, under § 563b.150, to be included in your conversion application. At a...

  2. Interconnecting Microgrids via the Energy Router with Smart Energy Management

    Directory of Open Access Journals (Sweden)

    Yingshu Liu

    2017-08-01

    Full Text Available A novel and flexible interconnecting framework for microgrids and corresponding energy management strategies are presented, in response to the situation of increasing renewable-energy penetration and the need to alleviate dependency on energy storage equipment. The key idea is to establish complementary energy exchange between adjacent microgrids through a multiport electrical energy router, according to the consideration that adjacent microgrids may differ substantially in terms of their patterns of energy production and consumption, which can be utilized to compensate for each other’s instant energy deficit. Based on multiport bidirectional voltage source converters (VSCs and a shared direct current (DC power line, the energy router serves as an energy hub, and enables flexible energy flow among the adjacent microgrids and the main grid. The analytical model is established for the whole system, including the energy router, the interconnected microgrids and the main grid. Various operational modes of the interconnected microgrids, facilitated by the energy router, are analyzed, and the corresponding control strategies are developed. Simulations are carried out on the Matlab/Simulink platform, and the results have demonstrated the validity and reliability of the idea for microgrid interconnection as well as the corresponding control strategies for flexible energy flow.

  3. Free-Space Optical Interconnect Employing VCSEL Diodes

    Science.gov (United States)

    Simons, Rainee N.; Savich, Gregory R.; Torres, Heidi

    2009-01-01

    Sensor signal processing is widely used on aircraft and spacecraft. The scheme employs multiple input/output nodes for data acquisition and CPU (central processing unit) nodes for data processing. To connect 110 nodes and CPU nodes, scalable interconnections such as backplanes are desired because the number of nodes depends on requirements of each mission. An optical backplane consisting of vertical-cavity surface-emitting lasers (VCSELs), VCSEL drivers, photodetectors, and transimpedance amplifiers is the preferred approach since it can handle several hundred megabits per second data throughput.The next generation of satellite-borne systems will require transceivers and processors that can handle several Gb/s of data. Optical interconnects have been praised for both their speed and functionality with hopes that light can relieve the electrical bottleneck predicted for the near future. Optoelectronic interconnects provide a factor of ten improvement over electrical interconnects.

  4. Brookhaven segment interconnect

    International Nuclear Information System (INIS)

    Morse, W.M.; Benenson, G.; Leipuner, L.B.

    1983-01-01

    We have performed a high energy physics experiment using a multisegment Brookhaven FASTBUS system. The system was composed of three crate segments and two cable segments. We discuss the segment interconnect module which permits communication between the various segments

  5. Report on electricity interconnection management and use. June 2008

    International Nuclear Information System (INIS)

    2008-06-01

    Apart from some concrete advances in interconnection management, the most striking event of 2007 has to be the emergence of a consensus at European level on the general principles of the target mechanisms for interconnection management. Three major projects currently undergoing development by the TSOs and the exchanges - the setting up of a single auction platform for allocating long- and medium-term products and of 'flow-based' market coupling in the Central-West region (Belgium, Luxemburg, the Netherlands, Germany, France), and in the France-UK-Ireland region, the introduction of reciprocal balancing exchanges on the France-England interconnection - should lay the foundations for the future management of congestion on interconnections in Europe. The completion of these projects, planned for the end of 2008 for the single auction platform project in the Central-West region and for mid-2009 for the other two, will be an important turning point in the construction of the European electricity market. This will be one of the successes of the Regional Initiatives process launched by ERGEG just over two years ago. However, this should not hide the fact that the regulators have experienced many difficulties during the regional integration of the markets and that the market operators have the general impression that this process could progress much more quickly. These difficulties and this relative slowness are mainly explained by: - a lack of consensus on the target market design the national markets would gradually tend towards, - a lack of harmonisation of the powers and competencies of the regulators when it comes to cross-border trades, the immediate consequence of which is a lack of incentives for TSOs to accelerate market integration. Several sizeable challenges await all the stakeholders over the coming months and years if market integration is to be a success: - How can the 'third legislative package' give all the necessary competencies

  6. At the speed of light? electricity interconnections for Europe

    International Nuclear Information System (INIS)

    Nies, S.

    2010-01-01

    Electricity moves almost at the speed of light: 273,000 km per second. The speed of electricity makes it the ultimate 'just in time' commodity. A problem anywhere can be transmitted every where in a nanosecond. Electricity interconnection is a prominent issue in the news, sometimes even featured as a panacea for the shortcomings of the European electricity market - a panacea that will ensure security o supply, solidarity and pave the way for a promising use of renewables in the future. The present study is devoted to electricity interconnections in Europe, their current state and the projects concerning them. The study addresses the following questions: - What is the role of interconnections in the development of a sustainable grid that can emerge from the existing pieces, make optimum use of existing generation capacity, ensure energy security, and offer economies of scales? What is their role in the process of building a different energy concept, one that would be concerned with climate change and thus in favour of the use of renewables? - How are existing interconnections exploited and governed, and how can their exploitation be improved? Does the EU need more and new interconnections; and if so, where and why, and who is going to finance them? Prominent projects as such as Desertec, the debate on DC or AC lines, or the limits of synchronization, as well as the state of a potential East-West electricity linkage between Former Soviet Union and EU, termed UCTE-UPS/IPS, are discussed in the volume. Part I develops definitions and basic notions necessary for the understanding of the subject. It also addresses the independent variables that influence interconnections (here the dependent variable), and recounts the historical legacies and their enduring impact on today's grid. Part II is devoted to the EU legal framework and to the complex landscape of governance and its current state of transition. Part III addresses the management of existing interconnections and

  7. Optical backplane interconnect switch for data processors and computers

    Science.gov (United States)

    Hendricks, Herbert D.; Benz, Harry F.; Hammer, Jacob M.

    1989-01-01

    An optoelectronic integrated device design is reported which can be used to implement an all-optical backplane interconnect switch. The switch is sized to accommodate an array of processors and memories suitable for direct replacement into the basic avionic multiprocessor backplane. The optical backplane interconnect switch is also suitable for direct replacement of the PI bus traffic switch and at the same time, suitable for supporting pipelining of the processor and memory. The 32 bidirectional switchable interconnects are configured with broadcast capability for controls, reconfiguration, and messages. The approach described here can handle a serial interconnection of data processors or a line-to-link interconnection of data processors. An optical fiber demonstration of this approach is presented.

  8. 76 FR 45248 - PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C...

    Science.gov (United States)

    2011-07-28

    ...-002; Docket No. EL11-20-001] PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C.; Supplemental Notice of Staff Technical Conference On June 13, 2011, the Commission issued... Resources Services, Inc., Maryland Public Service Commission, Monitoring Analytics, L.L.C., National Rural...

  9. Electro-optic techniques for VLSI interconnect

    Science.gov (United States)

    Neff, J. A.

    1985-03-01

    A major limitation to achieving significant speed increases in very large scale integration (VLSI) lies in the metallic interconnects. They are costly not only from the charge transport standpoint but also from capacitive loading effects. The Defense Advanced Research Projects Agency, in pursuit of the fifth generation supercomputer, is investigating alternatives to the VLSI metallic interconnects, especially the use of optical techniques to transport the information either inter or intrachip. As the on chip performance of VLSI continues to improve via the scale down of the logic elements, the problems associated with transferring data off and onto the chip become more severe. The use of optical carriers to transfer the information within the computer is very appealing from several viewpoints. Besides the potential for gigabit propagation rates, the conversion from electronics to optics conveniently provides a decoupling of the various circuits from one another. Significant gains will also be realized in reducing cross talk between the metallic routings, and the interconnects need no longer be constrained to the plane of a thin film on the VLSI chip. In addition, optics can offer an increased programming flexibility for restructuring the interconnect network.

  10. National Offshore Wind Energy Grid Interconnection Study

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB Inc; Liu, Shu [ABB Inc; Ibanez, Eduardo [National Renewable Energy Laboratory; Pennock, Ken [AWS Truepower; Reed, Greg [University of Pittsburgh; Hanes, Spencer [Duke Energy

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States. A total of 54GW of offshore wind was assumed to be the target for the analyses conducted. A variety of issues are considered including: the anticipated staging of offshore wind; the offshore wind resource availability; offshore wind energy power production profiles; offshore wind variability; present and potential technologies for collection and delivery of offshore wind energy to the onshore grid; potential impacts to existing utility systems most likely to receive large amounts of offshore wind; and regulatory influences on offshore wind development. The technologies considered the reliability of various high-voltage ac (HVAC) and high-voltage dc (HVDC) technology options and configurations. The utility system impacts of GW-scale integration of offshore wind are considered from an operational steady-state perspective and from a regional and national production cost perspective.

  11. Fusion-bonded fluidic interconnects

    International Nuclear Information System (INIS)

    Fazal, I; Elwenspoek, M C

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are discussed in terms of the homogeneity and strength of fusion bond. High pressure testing shows that the bond strength is large enough for most applications of fluidic interconnects. The bond strength for 525 µm thick silicon, with glass tubes having an outer diameter of 6 mm and with a wall thickness of 2 mm, is more than 60 bars after annealing at a temperature of 800 °C

  12. Colligation, Or the Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Falster, Peter

    1998-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in pure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  13. Colligation or, The Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Franksen, Ole Immanuel; Falster, Peter

    2000-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in oure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  14. DIMACS Workshop on Interconnection Networks and Mapping, and Scheduling Parallel Computations

    CERN Document Server

    Rosenberg, Arnold L; Sotteau, Dominique; NSF Science and Technology Center in Discrete Mathematics and Theoretical Computer Science; Interconnection networks and mapping and scheduling parallel computations

    1995-01-01

    The interconnection network is one of the most basic components of a massively parallel computer system. Such systems consist of hundreds or thousands of processors interconnected to work cooperatively on computations. One of the central problems in parallel computing is the task of mapping a collection of processes onto the processors and routing network of a parallel machine. Once this mapping is done, it is critical to schedule computations within and communication among processor from universities and laboratories, as well as practitioners involved in the design, implementation, and application of massively parallel systems. Focusing on interconnection networks of parallel architectures of today and of the near future , the book includes topics such as network topologies,network properties, message routing, network embeddings, network emulation, mappings, and efficient scheduling. inputs for a process are available where and when the process is scheduled to be computed. This book contains the refereed pro...

  15. Adapting Memory Hierarchies for Emerging Datacenter Interconnects

    Institute of Scientific and Technical Information of China (English)

    江涛; 董建波; 侯锐; 柴琳; 张立新; 孙凝晖; 田斌

    2015-01-01

    Efficient resource utilization requires that emerging datacenter interconnects support both high performance communication and efficient remote resource sharing. These goals require that the network be more tightly coupled with the CPU chips. Designing a new interconnection technology thus requires considering not only the interconnection itself, but also the design of the processors that will rely on it. In this paper, we study memory hierarchy implications for the design of high-speed datacenter interconnects—particularly as they affect remote memory access—and we use PCIe as the vehicle for our investigations. To that end, we build three complementary platforms: a PCIe-interconnected prototype server with which we measure and analyze current bottlenecks; a software simulator that lets us model microarchitectural and cache hierarchy changes;and an FPGA prototype system with a streamlined switchless customized protocol Thunder with which we study hardware optimizations outside the processor. We highlight several architectural modifications to better support remote memory access and communication, and quantify their impact and limitations.

  16. What to include in your birth plan

    Science.gov (United States)

    Pregnancy - birth plan ... Birth plans are guides that parents-to-be make to help their health care providers best support them during ... things to consider before you make a birth plan. This is a great time to learn about ...

  17. 10 CFR 719.10 - What information must be included in the legal management plan?

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 4 2010-01-01 2010-01-01 false What information must be included in the legal management plan? 719.10 Section 719.10 Energy DEPARTMENT OF ENERGY CONTRACTOR LEGAL MANAGEMENT REQUIREMENTS Legal Management Plan § 719.10 What information must be included in the legal management plan? The legal management...

  18. Laser printing of 3D metallic interconnects

    Science.gov (United States)

    Beniam, Iyoel; Mathews, Scott A.; Charipar, Nicholas A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2016-04-01

    The use of laser-induced forward transfer (LIFT) techniques for the printing of functional materials has been demonstrated for numerous applications. The printing gives rise to patterns, which can be used to fabricate planar interconnects. More recently, various groups have demonstrated electrical interconnects from laser-printed 3D structures. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or of pastes containing dispersed metallic particles. However, the generated 3D structures do not posses the same metallic conductivity as a bulk metal interconnect of the same cross-section and length as those formed by wire bonding or tab welding. An alternative is to laser transfer entire 3D structures using a technique known as lase-and-place. Lase-and-place is a LIFT process whereby whole components and parts can be transferred from a donor substrate onto a desired location with one single laser pulse. This paper will describe the use of LIFT to laser print freestanding, solid metal foils or beams precisely over the contact pads of discrete devices to interconnect them into fully functional circuits. Furthermore, this paper will also show how the same laser can be used to bend or fold the bulk metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief for the circuits under flexing or during motion from thermal mismatch. These interconnect "ridges" can span wide gaps (on the order of a millimeter) and accommodate height differences of tens of microns between adjacent devices. Examples of these laser printed 3D metallic bridges and their role in the development of next generation electronics by additive manufacturing will be presented.

  19. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    International Nuclear Information System (INIS)

    Bastidas, D. M.

    2006-01-01

    Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC) instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation. (Author) 66 refs

  20. Formalized Interconnected Guidelines on Cardiovascular Disease Prevention and Those for Management of Diabetes, Dyslipidemia and Hypertension

    Czech Academy of Sciences Publication Activity Database

    Peleška, Jan; Anger, Z.; Buchtela, David; Tomečková, Marie; Veselý, Arnošt; Zvárová, Jana

    24 Suppl. 4, - (2006), s. 172-172 ISSN 0263-6352. [European Meeting on Hypertension /16./. 12.06.2006-15.06.2006, Madrid] R&D Projects: GA AV ČR 1ET200300413 Institutional research plan: CEZ:AV0Z10300504 Keywords : computer presentation * interconnected medical guidelines * cardiovascular prevention Subject RIV: FA - Cardiovascular Disease s incl. Cardiotharic Surgery

  1. Driving Interconnected Networks to Supercriticality

    Directory of Open Access Journals (Sweden)

    Filippo Radicchi

    2014-04-01

    Full Text Available Networks in the real world do not exist as isolated entities, but they are often part of more complicated structures composed of many interconnected network layers. Recent studies have shown that such mutual dependence makes real networked systems potentially exposed to atypical structural and dynamical behaviors, and thus there is an urgent necessity to better understand the mechanisms at the basis of these anomalies. Previous research has mainly focused on the emergence of atypical properties in relation to the moments of the intra- and interlayer degree distributions. In this paper, we show that an additional ingredient plays a fundamental role for the possible scenario that an interconnected network can face: the correlation between intra- and interlayer degrees. For sufficiently high amounts of correlation, an interconnected network can be tuned, by varying the moments of the intra- and interlayer degree distributions, in distinct topological and dynamical regimes. When instead the correlation between intra- and interlayer degrees is lower than a critical value, the system enters in a supercritical regime where dynamical and topological phases are no longer distinguishable.

  2. Financial viability of the Sonora-Baja California interconnection line

    International Nuclear Information System (INIS)

    Alonso, G.; Ortega, G.

    2017-09-01

    In the Development Program of the National Electricity Sector 2015-2029, an electric interconnection line between Sonora and Baja California (Mexico) is proposed, this study analyzes the financial viability of this interconnection line based on the maximum hourly and seasonal energy demand between both regions and proposes alternatives for the supply of electric power that supports the economic convenience of this interconnection line. The results show that additional capacity is required in Sonora to cover the maximum demands of both regions since in the current condition of the National Electric System the interconnection line is not justified. (Author)

  3. Laser printed interconnects for flexible electronics

    Science.gov (United States)

    Pique, Alberto; Beniam, Iyoel; Mathews, Scott; Charipar, Nicholas

    Laser-induced forward transfer (LIFT) can be used to generate microscale 3D structures for interconnect applications non-lithographically. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or dispersed metallic nanoparticles. However, the resulting 3D structures do not achieve the bulk conductivity of metal interconnects of the same cross-section and length as those formed by wire bonding or tab welding. It is possible, however, to laser transfer entire structures using a LIFT technique known as lase-and-place. Lase-and-place allows whole components and parts to be transferred from a donor substrate onto a desired location with one single laser pulse. This talk will present the use of LIFT to laser print freestanding solid metal interconnects to connect individual devices into functional circuits. Furthermore, the same laser can bend or fold the thin metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief due to flexing or thermal mismatch. Examples of these laser printed 3D metallic bridges and their role in the development of next generation flexible electronics by additive manufacturing will be presented. This work was funded by the Office of Naval Research (ONR) through the Naval Research Laboratory Basic Research Program.

  4. Economic and environmental benefits of interconnected systems. The Spanish example

    International Nuclear Information System (INIS)

    Chicharro, A.S.; Dios Alija, R. de

    1996-01-01

    The interconnected systems provide large technical and economic benefits which, evaluated and contrasted with the associated network investment cost, usually produce important net savings. There are continental electrical systems formed by many interconnected subsystems. The optimal size of an interconnection should be defined within an economic background. It is necessary to take into account the global environmental effects. The approach and results of studies carried out by Red Electrica is presented, in order to analyse both economic and environmental benefits resulting from an increase in the present Spanish interconnection capacities. From both economic and environmental points of view, the development of the interconnected systems is highly positive. (author)

  5. MATL : Canada's first merchant power transmission interconnection : experiences and future outlook

    International Nuclear Information System (INIS)

    Wilson, L.

    2006-01-01

    The current status of the Montana Alberta Tie Ltd. (MATL) merchant transmission project was outlined with reference to the business concept, the advantages of the project and market opportunities. Some of the challenges facing the project were discussed along with lessons learned and accomplishments thus far. MATL is preparing to construct a privately funded transmission line between Lethbridge, Alberta and Great Falls, Montana. The project represents the first direct power transmission inter-connection between Montana and Alberta. The 346 km, 230 kV AC transmission line with phase shifting transformer and 300 MW transfer capacity will be a synchronous interconnection and will improve the reliability of the entire electric systems in both Montana and Alberta. The benefits of the interconnected power system include increased reliability and stability of the existing power grids; better import/export capabilities; more competition and options in the marketplace; greater flexibility in scheduling generator maintenance; and, optimal allocation of generation resources. tabs., figs

  6. Visualizing interconnections among climate risks

    Science.gov (United States)

    Tanaka, K.; Yokohata, T.; Nishina, K.; Takahashi, K.; Emori, S.; Kiguchi, M.; Iseri, Y.; Honda, Y.; Okada, M.; Masaki, Y.; Yamamoto, A.; Shigemitsu, M.; Yoshimori, M.; Sueyoshi, T.; Hanasaki, N.; Ito, A.; Sakurai, G.; Iizumi, T.; Nishimori, M.; Lim, W. H.; Miyazaki, C.; Kanae, S.; Oki, T.

    2015-12-01

    It is now widely recognized that climate change is affecting various sectors of the world. Climate change impact on one sector may spread out to other sectors including those seemingly remote, which we call "interconnections of climate risks". While a number of climate risks have been identified in the Intergovernmental Panel on Climate Change (IPCC) Fifth Assessment Report (AR5), there has been no attempt to explore their interconnections comprehensively. Here we present a first and most exhaustive visualization of climate risks drawn based on a systematic literature survey. Our risk network diagrams depict that changes in the climate system impact natural capitals (terrestrial water, crop, and agricultural land) as well as social infrastructures, influencing the socio-economic system and ultimately our access to food, water, and energy. Our findings suggest the importance of incorporating climate risk interconnections into impact and vulnerability assessments and call into question the widely used damage function approaches, which address a limited number of climate change impacts in isolation. Furthermore, the diagram is useful to educate decision makers, stakeholders, and general public about cascading risks that can be triggered by the climate change. Socio-economic activities today are becoming increasingly more inter-dependent because of the rapid technological progress, urbanization, and the globalization among others. Equally complex is the ecosystem that is susceptible to climate change, which comprises interwoven processes affecting one another. In the context of climate change, a number of climate risks have been identified and classified according to regions and sectors. These reports, however, did not fully address the inter-relations among risks because of the complexity inherent in this issue. Climate risks may ripple through sectors in the present inter-dependent world, posing a challenge ahead of us to maintain the resilience of the system. It is

  7. Development of Readout Interconnections for the Si-W Calorimeter of SiD

    Energy Technology Data Exchange (ETDEWEB)

    Woods, M.; Fields, R.G.; Holbrook, B.; Lander, R.L.; Moskaleva, A.; Neher, C.; Pasner, J.; Tripathi, M.; /UC, Davis; Brau, J.E.; Frey, R.E.; Strom, D.; /Oregon U.; Breidenbach, M.; Freytag, D.; Haller, G.; Herbst, R.; Nelson, T.; /SLAC; Schier, S.; Schumm, B.; /UC, Santa Cruz

    2012-09-14

    The SiD collaboration is developing a Si-W sampling electromagnetic calorimeter, with anticipated application for the International Linear Collider. Assembling the modules for such a detector will involve special bonding technologies for the interconnections, especially for attaching a silicon detector wafer to a flex cable readout bus. We review the interconnect technologies involved, including oxidation removal processes, pad surface preparation, solder ball selection and placement, and bond quality assurance. Our results show that solder ball bonding is a promising technique for the Si-W ECAL, and unresolved issues are being addressed.

  8. Cross-border versus cross-sector interconnectivity in renewable energy systems

    International Nuclear Information System (INIS)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection, and the second is cross-sector interconnection, i.e., the integration between different parts of an energy system, for instance heat and electricity. This paper seeks to compare the types of interconnectivity and discuss to which extent they are mutually beneficial. To do this, the study investigates two energy systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity. The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have to be clarified. The first part defines the approach and the second is the construction of the two archetypes. - Highlights: • A method to investigate system integration and system interconnection is suggested. • The implementation is investigated across a Northern and Southern energy system. • The study identifies benefits of system integration and system interconnection. • The performance of the energy system benefits most from system integration.

  9. 40 CFR 60.2065 - What should I include in my waste management plan?

    Science.gov (United States)

    2010-07-01

    ... Management Plan § 60.2065 What should I include in my waste management plan? A waste management plan must... additional waste management measures and implement those measures the source considers practical and feasible, considering the effectiveness of waste management measures already in place, the costs of additional measures...

  10. Electrode and interconnect for miniature fuel cells using direct methanol feed

    Science.gov (United States)

    Narayanan, Sekharipuram R. (Inventor); Valdez, Thomas I. (Inventor); Clara, Filiberto (Inventor)

    2004-01-01

    An improved system for interconnects in a fuel cell. In one embodiment, the membranes are located in parallel with one another, and current flow between them is facilitated by interconnects. In another embodiment, all of the current flow is through the interconnects which are located on the membranes. The interconnects are located between two electrodes.

  11. Current Solutions: Recent Experience in Interconnecting Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, M.

    2003-09-01

    This report catalogues selected real-world technical experiences of utilities and customers that have interconnected distributed energy assets with the electric grid. This study was initiated to assess the actual technical practices for interconnecting distributed generation and had a particular focus on the technical issues covered under the Institute of Electrical and Electronics Engineers (IEEE) 1547(TM) Standard for Interconnecting Distributed Resources With Electric Power Systems.

  12. Signal Integrity Analysis in Single and Bundled Carbon Nanotube Interconnects

    International Nuclear Information System (INIS)

    Majumder, M.K.; Pandya, N.D.; Kaushik, B.K.; Manhas, S.K.

    2013-01-01

    Carbon nanotube (CN T) can be considered as an emerging interconnect material in current nano scale regime. They are more promising than other interconnect materials such as Al or Cu because of their robustness to electromigration. This research paper aims to address the crosstalk-related issues (signal integrity) in interconnect lines. Different analytical models of single- (SWCNT), double- (DWCNT), and multiwalled CNTs (MWCNT) are studied to analyze the crosstalk delay at global interconnect lengths. A capacitively coupled three-line bus architecture employing CMOS driver is used for accurate estimation of crosstalk delay. Each line in bus architecture is represented with the equivalent RLC models of single and bundled SWCNT, DWCNT, and MWCNT interconnects. Crosstalk delay is observed at middle line (victim) when it switches in opposite direction with respect to the other two lines (aggressors). Using the data predicted by ITRS 2012, a comparative analysis on the basis of crosstalk delay is performed for bundled SWCNT/DWCNT and single MWCNT interconnects. It is observed that the overall crosstalk delay is improved by 40.92% and 21.37% for single MWCNT in comparison to bundled SWCNT and bundled DWCNT interconnects, respectively.

  13. The effect of long-distance interconnection on wind power variability

    International Nuclear Information System (INIS)

    Fertig, Emily; Apt, Jay; Jaramillo, Paulina; Katzenstein, Warren

    2012-01-01

    We use time- and frequency-domain techniques to quantify the extent to which long-distance interconnection of wind plants in the United States would reduce the variability of wind power output. Previous work has shown that interconnection of just a few wind plants across moderate distances could greatly reduce the ratio of fast- to slow-ramping generators in the balancing portfolio. We find that interconnection of aggregate regional wind plants would not reduce this ratio further but would reduce variability at all frequencies examined. Further, interconnection of just a few wind plants reduces the average hourly change in power output, but interconnection across regions provides little further reduction. Interconnection also reduces the magnitude of low-probability step changes and doubles firm power output (capacity available at least 92% of the time) compared with a single region. First-order analysis indicates that balancing wind and providing firm power with local natural gas turbines would be more cost-effective than with transmission interconnection. For net load, increased wind capacity would require more balancing resources but in the same proportions by frequency as currently, justifying the practice of treating wind as negative load. (letter)

  14. Method of forming a leak proof plasma sprayed interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Kuo, Lewis J. H.; Vora, Shailesh D.

    1995-01-01

    A dense, substantially gas-tight, electrically conductive interconnection layer is formed on an electrode structure of an electrochemical cell by: (A) providing an electrode structure; (B) forming on a selected portion of the electrode surface, an interconnection layer having the general formula La.sub.1-x M.sub.x Cr.sub.1-y N.sub.y O.sub.3, where M is a dopant selected from the group of Ca, Sr, Ba, and mixtures thereof, and where N is a dopant selected from the group of Mg, Co, Ni, Al, and mixtures thereof, and where x and y are each independently about 0.075-0.25, by thermally spraying, preferably plasma arc spraying, a flux added interconnection spray powder, preferably agglomerated, the flux added powder comprising flux particles, preferably including dopant, preferably (CaO).sub.12. (Al.sub.2 O.sub.3).sub.7 flux particles including Ca and Al dopant, and LaCrO.sub.3 interconnection particles, preferably undoped LaCrO.sub.3, to form a dense and substantially gas-tight interconnection material bonded to the electrode structure by a single plasma spraying step; and, (C) heat treating the interconnection layer at from about 1200.degree. to 1350.degree. C. to further densify and heal the micro-cracks and macro-cracks of the thermally sprayed interconnection layer. The result is a substantially gas-tight, highly doped, electrically conductive interconnection material bonded to the electrode structure. The electrode structure can be an air electrode, and a solid electrolyte layer can be applied to the unselected portion of the air electrode, and further a fuel electrode can be applied to the solid electrolyte, to form an electrochemical cell for generation of electrical power.

  15. 25 CFR 170.411 - What may a long-range transportation plan include?

    Science.gov (United States)

    2010-04-01

    ...) Social and economic development planning to identify transportation improvements or needs to accommodate... 25 Indians 1 2010-04-01 2010-04-01 false What may a long-range transportation plan include? 170.411 Section 170.411 Indians BUREAU OF INDIAN AFFAIRS, DEPARTMENT OF THE INTERIOR LAND AND WATER INDIAN...

  16. CWDM for very-short-reach and optical-backplane interconnections

    Science.gov (United States)

    Laha, Michael J.

    2002-06-01

    Course Wavelength Division Multiplexing (CWDM) provides access to next generation optical interconnect data rates by utilizing conventional electro-optical components that are widely available in the market today. This is achieved through the use of CWDM multiplexers and demultiplexers that integrate commodity type active components, lasers and photodiodes, into small optical subassemblies. In contrast to dense wavelength division multiplexing (DWDM), in which multiple serial data streams are combined to create aggregate data pipes perhaps 100s of gigabits wide, CWDM uses multiple laser sources contained in one module to create a serial equivalent data stream. For example, four 2.5 Gb/s lasers are multiplexed to create a 10 Gb/s data pipe. The advantages of CWDM over traditional serial optical interconnects include lower module power consumption, smaller packaging, and a superior electrical interface. This discussion will detail the concept of CWDM and design parameters that are considered when productizing a CWDM module into an industry standard optical interconnect. Additionally, a scalable parallel CWDM hybrid architecture will be described that allows the transport of large amounts of data from rack to rack in an economical fashion. This particular solution is targeted at solving optical backplane bottleneck problems predicted for the next generation terabit and petabit routers.

  17. Role of Wind Power in Primary Frequency Response of an Interconnection: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y. C.; Gevorgian, V.; Ela, E.; Singhvi, V.; Pourbeik, P.

    2013-09-01

    The electrical frequency of an interconnection must be maintained very close to its nominal level at all times. Large frequency deviations can lead to unintended consequences such as load shedding, instability, and machine damage, among others. Turbine governors of conventional generating units provide primary frequency response (PFR) to ensure that frequency deviations are not significant duringlarge transient events. Increasing penetrations of variable renewable generation, such as wind and solar power, and planned retirements of conventional thermal plants - and thus a reduction in the amount of suppliers with PFR capabilities - causes concerns about a decline of PFR and system inertia in North America. The capability of inverter-coupled wind generation technologies to contribute toPFR and inertia, if appropriately equipped with the necessary control features, can help alleviate concerns. However, these responses differ from those supplied by conventional generation and inertia, and it is not entirely understood how variable renewable generation will affect the system response at different penetration levels. This paper evaluates the impact of wind generation providing PFRand synthetic inertial response on a large interconnection.

  18. Cost based interconnection charges as a way to induce competition

    DEFF Research Database (Denmark)

    Falch, Morten

    The objective of this paper is to analyse the relationship between regulation of interconnection charges and the level of competition. One of the most important issues in the debate on interconnect regulation has been use of forward looking costs for setting of interconnection charges. This debat...... has been ongoing within the EU as well as in US. This paper discusses the European experiences and in particular the Danish experiences with use of cost based interconnection charges, and their impact on competition in the telecom market....

  19. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...... systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity...

  20. Digital optical interconnects for photonic computing

    Science.gov (United States)

    Guilfoyle, Peter S.; Stone, Richard V.; Zeise, Frederick F.

    1994-05-01

    A 32-bit digital optical computer (DOC II) has been implemented in hardware utilizing 8,192 free-space optical interconnects. The architecture exploits parallel interconnect technology by implementing microcode at the primitive level. A burst mode of 0.8192 X 1012 binary operations per sec has been reliably demonstrated. The prototype has been successful in demonstrating general purpose computation. In addition to emulating the RISC instruction set within the UNIX operating environment, relational database text search operations have been implemented on DOC II.

  1. Analysis of interconnecting energy systems over a synchronized life cycle

    International Nuclear Information System (INIS)

    Nian, Victor

    2016-01-01

    Highlights: • A methodology is developed for evaluating a life cycle of interconnected systems. • A new concept of partial temporal boundary is introduced via quantitative formulation. • The interconnecting systems are synchronized through the partial temporal boundary. • A case study on the life cycle of the coal–uranium system is developed. - Abstract: Life cycle analysis (LCA) using the process chain analysis (PCA) approach has been widely applied to energy systems. When applied to an individual energy system, such as coal or nuclear electricity generation, an LCA–PCA methodology can yield relatively accurate results with its detailed process representation based on engineering data. However, there are fundamental issues when applying conventional LCA–PCA methodology to a more complex life cycle, namely, a synchronized life cycle of interconnected energy systems. A synchronized life cycle of interconnected energy systems is established through direct interconnections among the processes of different energy systems, and all interconnecting systems are bounded within the same timeframe. Under such a life cycle formation, there are some major complications when applying conventional LCA–PCA methodology to evaluate the interconnecting energy systems. Essentially, the conventional system and boundary formulations developed for a life cycle of individual energy system cannot be directly applied to a life cycle of interconnected energy systems. To address these inherent issues, a new LCA–PCA methodology is presented in this paper, in which a new concept of partial temporal boundary is introduced to synchronize the interconnecting energy systems. The importance and advantages of these new developments are demonstrated through a case study on the life cycle of the coal–uranium system.

  2. 12 CFR 516.20 - What information must I include in my draft business plan?

    Science.gov (United States)

    2010-01-01

    ... business plan? 516.20 Section 516.20 Banks and Banking OFFICE OF THRIFT SUPERVISION, DEPARTMENT OF THE... What information must I include in my draft business plan? If you must submit a draft business plan... described in the savings association's draft business plan; and (d) Demonstrate how applicable requirements...

  3. Recommended Resources for Planning to Evaluate Program Improvement Efforts (Including the SSIP)

    Science.gov (United States)

    National Center for Systemic Improvement at WestEd, 2015

    2015-01-01

    This document provides a list of recommended existing resources for state Part C and Part B 619 staff and technical assistance (TA) providers to utilize to support evaluation planning for program improvement efforts (including the State Systemic Improvement Plan, SSIP). There are many resources available related to evaluation and evaluation…

  4. Exploring the interconnections between gender, health and nature.

    Science.gov (United States)

    MacBride-Stewart, S; Gong, Y; Antell, J

    2016-12-01

    Public health has recognized that nature is good for health but there are calls for a review of its gendered aspects. This review attempts to develop and explore a broad analytical theme - the differing interconnections between gender, health and nature. The paper summarizes the interconnections that have been subject to extensive academic enquiry between gender and health, health and space, and gender and space. A combination of key terms including place; gender; health; outdoor space; green space; natural environment; national parks; femininity; masculinity; recreation; physical activity; sustainability; ecofeminism; feminism; environmental degradation; and environmental justice were used to search the electronic databases Sociological Abstracts, Web of Science and Scopus to identify relevant articles. We took two approaches for this review to provide an overview and analysis of the range of research in the field, and to present a framework of research that is an analysis of the intersection of gender, health and nature. Four dimensions are distinguished: (1) evaluations of health benefits and 'toxicities' of nature; (2) dimensions and qualities of nature/space; (3) environmental justice including accessibility, availability and usability; and (4) identification of boundaries (symbolic/material) that construct differential relationships between nature, gender and health. This paper offers an understanding of how environmental and social conditions may differentially shape the health of women and men. The dimensions direct analytical attention to the diverse linkages that constitute overlapping and inseparable domains of knowledge and practice, to identify complex interconnections between gender, health and nature. This review therefore analyses assumptions about the health benefits of nature, and its risks, for gender from an in-depth, analytical perspective that can be used to inform policy. Copyright © 2016 The Royal Society for Public Health. Published by

  5. Distributed Energy Resources Interconnection Systems: Technology Review and Research Needs

    Energy Technology Data Exchange (ETDEWEB)

    Friedman, N. R.

    2002-09-01

    Interconnecting distributed energy resources (DER) to the electric utility grid (or Area Electric Power System, Area EPS) involves system engineering, safety, and reliability considerations. This report documents US DOE Distribution and Interconnection R&D (formerly Distributed Power Program) activities, furthering the development and safe and reliable integration of DER interconnected with our nation's electric power systems. The key to that is system integration and technology development of the interconnection devices that perform the functions necessary to maintain the safety, power quality, and reliability of the EPS when DER are connected to it.

  6. Interconnecting with VIPs

    Science.gov (United States)

    Collins, Robert

    2013-01-01

    Interconnectedness changes lives. It can even save lives. Recently the author got to witness and be part of something in his role as a teacher of primary science that has changed lives: it may even have saved lives. It involved primary science teaching--and the climate. Robert Collins describes how it is all interconnected. The "Toilet…

  7. On-chip photonic interconnects a computer architect's perspective

    CERN Document Server

    Nitta, Christopher J; Akella, Venkatesh

    2013-01-01

    As the number of cores on a chip continues to climb, architects will need to address both bandwidth and power consumption issues related to the interconnection network. Electrical interconnects are not likely to scale well to a large number of processors for energy efficiency reasons, and the problem is compounded by the fact that there is a fixed total power budget for a die, dictated by the amount of heat that can be dissipated without special (and expensive) cooling and packaging techniques. Thus, there is a need to seek alternatives to electrical signaling for on-chip interconnection appli

  8. Carbon Nanotubes and Graphene Nanoribbons: Potentials for Nanoscale Electrical Interconnects

    Directory of Open Access Journals (Sweden)

    Swastik Kar

    2013-08-01

    Full Text Available Carbon allotropes have generated much interest among different scientific communities due to their peculiar properties and potential applications in a variety of fields. Carbon nanotubes and more recently graphene have shown very interesting electrical properties along with the possibility of being grown and/or deposited at a desired location. In this Review, we will focus our attention on carbon-based nanostructures (in particular, carbon nanotubes and graphene nanoribbons which could play an important role in the technological quest to replace copper/low-k for interconnect applications. We will provide the reader with a number of possible architectures, including single-wall as well as multi-wall carbon nanotubes, arranged in horizontal and vertical arrays, regarded as individual objects as well as bundles. Modification of their functional properties in order to fulfill interconnect applications requirements are also presented. Then, in the second part of the Review, recently discovered graphene and in particular graphene and few-graphene layers nanoribbons are introduced. Different architectures involving nanostructured carbon are presented and discussed in light of interconnect application in terms of length, chirality, edge configuration and more.

  9. 14 CFR 29.957 - Flow between interconnected tanks.

    Science.gov (United States)

    2010-01-01

    ... AIRCRAFT AIRWORTHINESS STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Powerplant Fuel System § 29.957 Flow between interconnected tanks. (a) Where tank outlets are interconnected and allow fuel to flow between them due to gravity or flight accelerations, it must be impossible for fuel to flow between tanks in...

  10. Preparing tomorrow's network today: RTE at the crossroads of the European electricity system. Cross-border electricity interconnections Key issues and figures - 2014 Edition

    International Nuclear Information System (INIS)

    2014-10-01

    The interconnected electricity transmission network is a key element for ensuring security of supply, the creation of a single market and the integration of renewable energies. RTE and its European partners provide strengthened coordination by the use of interconnections to ensure solidarity between European countries. Interconnections also allow an electricity supplier to sell its energy to a customer located in another country in Europe. They contribute on a European scale to optimising the use of production means and in particular the integration of variable renewable energies. RTE, within the EnTSo-E (European Network of Transmission System Operators for Electricity), contributes to the publication of a common vision of the future of networks by 2030. The needs for new interconnection capacity are identified in the ten-year European network development plan (TYnDP) on the basis of a cost-benefit analysis. France is interconnected to all its neighbours via many cross-border links. However interconnection capacity with the various countries and its use differ widely. The usage profile of the interconnections is specific to each border and varies according to: - the characteristics of the production mix of each country, and in particular the level of production of renewable energies. - the level of consumption, which depends on the season, the type of day (working or non-working) the time of day, etc. - import and export capacity, which may be different depending on the internal constraints of the networks of each country

  11. Next generation space interconnect research and development in space communications

    Science.gov (United States)

    Collier, Charles Patrick

    2017-11-01

    Interconnect or "bus" is one of the critical technologies in design of spacecraft avionics systems that dictates its architecture and complexity. MIL-STD-1553B has long been used as the avionics backbone technology. As avionics systems become more and more capable and complex, however, limitations of MIL-STD-1553B such as insufficient 1 Mbps bandwidth and separability have forced current avionics architects and designers to use combination of different interconnect technologies in order to meet various requirements: CompactPCI is used for backplane interconnect; LVDS or RS422 is used for low and high-speed direct point-to-point interconnect; and some proprietary interconnect standards are designed for custom interfaces. This results in a very complicated system that consumes significant spacecraft mass and power and requires extensive resources in design, integration and testing of spacecraft systems.

  12. Wind/PV Generation for Frequency Regulation and Oscillation Damping in the Eastern Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Univ. of Tennessee, Knoxville, TN (United States); Gracia, Jose R. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Hadley, Stanton W. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Liu, Yilu [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2013-12-01

    This report presents the control of renewable energy sources, including the variable-speed wind generators and solar photovoltaic (PV) generators, for frequency regulation and inter-area oscillation damping in the U.S. Eastern Interconnection (EI). In this report, based on the user-defined wind/PV generator electrical control model and the 16,000-bus Eastern Interconnection dynamic model, the additional controllers for frequency regulation and inter-area oscillation damping are developed and incorporated and the potential contributions of renewable energy sources to the EI system frequency regulation and inter-area oscillation damping are evaluated.

  13. The Enhanced Segment Interconnect for FASTBUS data communications

    International Nuclear Information System (INIS)

    Machen, D.R.; Downing, R.W.; Kirsten, F.A.; Nelson, R.O.

    1987-01-01

    The Enhanced Segment Interconnect concept (ESI) for improved FASTBUS data communications is a development supported by the U.S. Department of Energy under the Small Business Innovation Research (SBIR) program. The ESI will contain both the Segment Interconnect (SI) Tyhpe S-1 and an optional buffered interconnect for store-and-forward data communications; fiber-optic-coupled serial ports will provide optional data paths. The ESI can be applied in large FASTBUS-implemented physics experiments whose data-set or data-transmission distance requirements dictate alternate approaches to data communications. This paper describes the functions of the ESI and the status of its development, now 25% complete

  14. National Offshore Wind Energy Grid Interconnection Study Executive Summary

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  15. National Offshore Wind Energy Grid Interconnection Study Full Report

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  16. Optical Interconnection Via Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang; Zhou, Shaomin

    1995-01-01

    Method of free-space optical interconnection developed for data-processing applications like parallel optical computing, neural-network computing, and switching in optical communication networks. In method, multiple optical connections between multiple sources of light in one array and multiple photodetectors in another array made via computer-generated holograms in electrically addressed spatial light modulators (ESLMs). Offers potential advantages of massive parallelism, high space-bandwidth product, high time-bandwidth product, low power consumption, low cross talk, and low time skew. Also offers advantage of programmability with flexibility of reconfiguration, including variation of strengths of optical connections in real time.

  17. Fusion-bonded fluidic interconnects

    NARCIS (Netherlands)

    Fazal, I.; Elwenspoek, Michael Curt

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are

  18. Optical interconnect for large-scale systems

    Science.gov (United States)

    Dress, William

    2013-02-01

    This paper presents a switchless, optical interconnect module that serves as a node in a network of identical distribution modules for large-scale systems. Thousands to millions of hosts or endpoints may be interconnected by a network of such modules, avoiding the need for multi-level switches. Several common network topologies are reviewed and their scaling properties assessed. The concept of message-flow routing is discussed in conjunction with the unique properties enabled by the optical distribution module where it is shown how top-down software control (global routing tables, spanning-tree algorithms) may be avoided.

  19. Interconnections and market integration in the Irish Single Electricity Market

    International Nuclear Information System (INIS)

    Nepal, Rabindra; Jamasb, Tooraj

    2012-01-01

    Interconnections can be an effective way to increase competition and improve market integration in concentrated wholesale electricity markets with limited number of participants. This paper examines the potential for interconnections and increasing market integration in the Irish Single Electricity Market (SEM). We use a time-varying Kalman filter technique to assess the degree of market integration between SEM and other large, mature and interconnected wholesale electricity markets in Europe including Great Britain (GB). The results indicate no market integration between SEM and other European markets except for Elspot and GB. We show that the current state of market integration between SEM and GB is just 17% indicating potential to improve market integration via increased interconnector capacity. The results indicate that liquidity of wholesale markets might be a crucial factor in the market integration process while our results remain inconclusive in determining whether increased trade of renewables can improve market integration. - Highlights: ► We assess the degree of market integration between SEM and other EU electricity markets. ► Our results indicate no market integration between SEM and other European markets except for Elspot and GB. ► We show that the current state of market integration between SEM and GB is just 17%.

  20. Opto-Electronic and Interconnects Hierarchical Design Automation System (OE-IDEAS)

    National Research Council Canada - National Science Library

    Turowski, M

    2004-01-01

    As microelectronics technology continues to advance, the associated electrical interconnection technology is not likely to keep pace, due to many parasitic effects appearing in metallic interconnections...

  1. A low-cost, manufacturable method for fabricating capillary and optical fiber interconnects for microfluidic devices.

    Science.gov (United States)

    Hartmann, Daniel M; Nevill, J Tanner; Pettigrew, Kenneth I; Votaw, Gregory; Kung, Pang-Jen; Crenshaw, Hugh C

    2008-04-01

    Microfluidic chips require connections to larger macroscopic components, such as light sources, light detectors, and reagent reservoirs. In this article, we present novel methods for integrating capillaries, optical fibers, and wires with the channels of microfluidic chips. The method consists of forming planar interconnect channels in microfluidic chips and inserting capillaries, optical fibers, or wires into these channels. UV light is manually directed onto the ends of the interconnects using a microscope. UV-curable glue is then allowed to wick to the end of the capillaries, fibers, or wires, where it is cured to form rigid, liquid-tight connections. In a variant of this technique, used with light-guiding capillaries and optical fibers, the UV light is directed into the capillaries or fibers, and the UV-glue is cured by the cone of light emerging from the end of each capillary or fiber. This technique is fully self-aligned, greatly improves both the quality and the manufacturability of the interconnects, and has the potential to enable the fabrication of interconnects in a fully automated fashion. Using these methods, including a semi-automated implementation of the second technique, over 10,000 interconnects have been formed in almost 2000 microfluidic chips made of a variety of rigid materials. The resulting interconnects withstand pressures up to at least 800psi, have unswept volumes estimated to be less than 10 femtoliters, and have dead volumes defined only by the length of the capillary.

  2. High-density hybrid interconnect methodologies

    International Nuclear Information System (INIS)

    John, J.; Zimmermann, L.; Moor, P.De; Hoof, C.Van

    2003-01-01

    Full text: The presentation gives an overview of the state-of-the-art of hybrid integration and in particular the IMEC technological approaches that will be able to address future hybrid detector needs. The dense hybrid flip-chip integration of an array of detectors and its dedicated readout electronics can be achieved with a variety of solderbump techniques such as pure Indium or Indium alloys, Ph-In, Ni/PbSn, but also conducting polymers... Particularly for cooled applications or ultra-high density applications, Indium solderbump technology (electroplated or evaporated) is the method of choice. The state-of-the-art of solderbump technologies that are to a high degree independent of the underlying detector material will be presented and examples of interconnect densities between 5x1E4cm-2 and 1x1E6 cm-2 will be demonstrated. For several classes of detectors, flip-chip integration is not allowed since the detectors have to be illuminated from the top. This applies to image sensors for EUV applications such as GaN/AlGaN based detectors and to MEMS-based sensors. In such cases, the only viable interconnection method has to be through the (thinned) detector wafer followed by a solderbump-based integration. The approaches for dense and ultra-dense through-the-wafer interconnect 'vias' will be presented and wafer thinning approaches will be shown

  3. Oahu Wind Integration and Transmission Study (OWITS): Hawaiian Islands Transmission Interconnection Project

    Energy Technology Data Exchange (ETDEWEB)

    Woodford, D.

    2011-02-01

    This report provides an independent review included an initial evaluation of the technical configuration and capital costs of establishing an undersea cable system and examining impacts to the existing electric transmission systems as a result of interconnecting the islands.

  4. A model-based prognostic approach to predict interconnect failure using impedance analysis

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Dae Il; Yoon, Jeong Ah [Dept. of System Design and Control Engineering. Ulsan National Institute of Science and Technology, Ulsan (Korea, Republic of)

    2016-10-15

    The reliability of electronic assemblies is largely affected by the health of interconnects, such as solder joints, which provide mechanical, electrical and thermal connections between circuit components. During field lifecycle conditions, interconnects are often subjected to a DC open circuit, one of the most common interconnect failure modes, due to cracking. An interconnect damaged by cracking is sometimes extremely hard to detect when it is a part of a daisy-chain structure, neighboring with other healthy interconnects that have not yet cracked. This cracked interconnect may seem to provide a good electrical contact due to the compressive load applied by the neighboring healthy interconnects, but it can cause the occasional loss of electrical continuity under operational and environmental loading conditions in field applications. Thus, cracked interconnects can lead to the intermittent failure of electronic assemblies and eventually to permanent failure of the product or the system. This paper introduces a model-based prognostic approach to quantitatively detect and predict interconnect failure using impedance analysis and particle filtering. Impedance analysis was previously reported as a sensitive means of detecting incipient changes at the surface of interconnects, such as cracking, based on the continuous monitoring of RF impedance. To predict the time to failure, particle filtering was used as a prognostic approach using the Paris model to address the fatigue crack growth. To validate this approach, mechanical fatigue tests were conducted with continuous monitoring of RF impedance while degrading the solder joints under test due to fatigue cracking. The test results showed the RF impedance consistently increased as the solder joints were degraded due to the growth of cracks, and particle filtering predicted the time to failure of the interconnects similarly to their actual timesto- failure based on the early sensitivity of RF impedance.

  5. Stability Analysis of Interconnected Fuzzy Systems Using the Fuzzy Lyapunov Method

    Directory of Open Access Journals (Sweden)

    Ken Yeh

    2010-01-01

    Full Text Available The fuzzy Lyapunov method is investigated for use with a class of interconnected fuzzy systems. The interconnected fuzzy systems consist of J interconnected fuzzy subsystems, and the stability analysis is based on Lyapunov functions. Based on traditional Lyapunov stability theory, we further propose a fuzzy Lyapunov method for the stability analysis of interconnected fuzzy systems. The fuzzy Lyapunov function is defined in fuzzy blending quadratic Lyapunov functions. Some stability conditions are derived through the use of fuzzy Lyapunov functions to ensure that the interconnected fuzzy systems are asymptotically stable. Common solutions can be obtained by solving a set of linear matrix inequalities (LMIs that are numerically feasible. Finally, simulations are performed in order to verify the effectiveness of the proposed stability conditions in this paper.

  6. The economic impacts of a submarine HVDC interconnection between Norway and Great Britain

    International Nuclear Information System (INIS)

    Doorman, Gerard L.; Frøystad, Dag Martin

    2013-01-01

    In the present paper we analyze the profitability of different HVDC interconnection alternatives between Norway and Great Britain for present and future scenarios. The analysis is done from a merchant and a social welfare perspective. The analyses include interconnections between Norway and Scotland and Southern Great Britain, respectively, as well as an alternative link to a future offshore wind farm. From a social welfare perspective the northern interconnection alternative is profitable under all sets of assumptions. The southern alternative is profitable under present conditions, but less than the northern alternative. The alternative link to the offshore wind park is not profitable, but this result is highly dependent on market conditions. From a merchant perspective none of the alternatives is profitable, clearly illustrating that leaving investments to commercial parties does not realize all projects that increase social welfare. - Highlights: • Profitability of interconnection between Norway and GB is analyzed using simulation. • The Northern alternative increases social welfare under all assumptions. • None of the alternatives is profitable from a merchant perspective. • A link to a prospective wind farm 200 km from the GB coast is not profitable. • Social welfare increasing infrastructure may not be built on commercial conditions

  7. 75 FR 40815 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-07-14

    ... Interconnection, L.L.C.; Notice of Filing July 7, 2010. Take notice that on July 1, 2010, PJM Interconnection, L.L.C. (PJM) filed revised sheets to Schedule 1 of the Amended and Restated Operating Agreement of PJM Interconnection, L.L.C. (Operating Agreement) and the parallel provisions of Attachment K--Appendix of the PJM...

  8. 75 FR 22773 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-04-30

    ... Interconnection, L.L.C.; Notice of Filing April 23, 2010. Take notice that on April 22, 2010, PJM Interconnection, L.L.C. (PJM) filed revised tariff sheets to its Schedule 1 of the Amended and Restated Operating... (Commission) March 23, 2010 Order on Compliance Filing, PJM Interconnection, L.L.C., 130 FERC ] 61,230 (2010...

  9. 77 FR 34378 - PJM Interconnection, L.L.C.; Notice of Complaint

    Science.gov (United States)

    2012-06-11

    ... Interconnection, L.L.C.; Notice of Complaint Take notice that on June 1, 2012, pursuant to section 206 of the Federal Power Act (FPA), 16 U.S.C. 824(e), PJM Interconnection, L.L.C. (PJM) filed proposed revisions to the Amended and Restated Operating Agreement of PJM Interconnection L.L.C. (Operating Agreement) to...

  10. Circuit and interconnect design for high bit-rate applications

    NARCIS (Netherlands)

    Veenstra, H.

    2006-01-01

    This thesis presents circuit and interconnect design techniques and design flows that address the most difficult and ill-defined aspects of the design of ICs for high bit-rate applications. Bottlenecks in interconnect design, circuit design and on-chip signal distribution for high bit-rate

  11. Welfare and competition effects of electricity interconnection between Ireland and Great Britain

    International Nuclear Information System (INIS)

    Malaguzzi Valeri, Laura

    2009-01-01

    This study analyzes the effects of additional interconnection on welfare and competition in the Irish electricity market. I simulate the wholesale electricity markets of the island of Ireland and Great Britain for 2005. I find that in order for the two markets to be integrated in 2005, additional interconnection would have to be large. The amount of interconnection decreases for high costs of carbon, since this causes the markets to become more similar. This suggests that in the absence of strategic behavior of firms, most of the gains from trade derive not from differences in size between countries, but from technology differences and are strongly influenced by fuel and carbon costs. Social welfare increases with interconnection, although at a decreasing rate. As the amount of interconnection increases, there are also positive effects on competition in Ireland, the less competitive of the two markets. Finally, it is unlikely that private investors will pay for the optimal amount of interconnection since their returns are significantly smaller than the total social benefit of interconnection. (author)

  12. Ultra-Stretchable Interconnects for High-Density Stretchable Electronics

    Directory of Open Access Journals (Sweden)

    Salman Shafqat

    2017-09-01

    Full Text Available The exciting field of stretchable electronics (SE promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for proven standardized (complementary metal-oxide semiconductor (CMOS-type process recipes using bulk integrated circuit (IC microfabrication tools and fine-pitch photolithography patterning. Here, we address this combined challenge of microfabrication with extreme stretchability for high-density SE devices by introducing CMOS-enabled, free-standing, miniaturized interconnect structures that fully exploit their 3D kinematic freedom through an interplay of buckling, torsion, and bending to maximize stretchability. Integration with standard CMOS-type batch processing is assured by utilizing the Flex-to-Rigid (F2R post-processing technology to make the back-end-of-line interconnect structures free-standing, thus enabling the routine microfabrication of highly-stretchable interconnects. The performance and reproducibility of these free-standing structures is promising: an elastic stretch beyond 2000% and ultimate (plastic stretch beyond 3000%, with <0.3% resistance change, and >10 million cycles at 1000% stretch with <1% resistance change. This generic technology provides a new route to exciting highly-stretchable miniature devices.

  13. Interconnection network architectures based on integrated orbital angular momentum emitters

    Science.gov (United States)

    Scaffardi, Mirco; Zhang, Ning; Malik, Muhammad Nouman; Lazzeri, Emma; Klitis, Charalambos; Lavery, Martin; Sorel, Marc; Bogoni, Antonella

    2018-02-01

    Novel architectures for two-layer interconnection networks based on concentric OAM emitters are presented. A scalability analysis is done in terms of devices characteristics, power budget and optical signal to noise ratio by exploiting experimentally measured parameters. The analysis shows that by exploiting optical amplifications, the proposed interconnection networks can support a number of ports higher than 100. The OAM crosstalk induced-penalty, evaluated through an experimental characterization, do not significantly affect the interconnection network performance.

  14. Global On-Chip Differential Interconnects with Optimally-Placed Twists

    NARCIS (Netherlands)

    Mensink, E.; Schinkel, Daniel; Klumperink, Eric A.M.; van Tuijl, Adrianus Johannes Maria; Nauta, Bram

    2005-01-01

    Global on-chip communication is receiving quite some attention as global interconnects are rapidly becoming a speed, power and reliability bottleneck for digital CMOS systems. Recently, we proposed a bus-transceiver test chip in 0.13 μm CMOS using 10 mm long uninterrupted differential interconnects

  15. 76 FR 16405 - Notice of Attendance at PJM INterconnection, L.L.C., Meetings

    Science.gov (United States)

    2011-03-23

    ... INterconnection, L.L.C., Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C., (PJM...: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. ER06-456, PJM Interconnection, L.L.C. Docket...

  16. Time Domain Analysis of Graphene Nanoribbon Interconnects Based on Transmission Line ‎Model

    Directory of Open Access Journals (Sweden)

    S. Haji Nasiri

    2012-03-01

    Full Text Available Time domain analysis of multilayer graphene nanoribbon (MLGNR interconnects, based on ‎transmission line modeling (TLM using a six-order linear parametric expression, has been ‎presented for the first time. We have studied the effects of interconnect geometry along with ‎its contact resistance on its step response and Nyquist stability. It is shown that by increasing ‎interconnects dimensions their propagation delays are increased and accordingly the system ‎becomes relatively more stable. In addition, we have compared time responses and Nyquist ‎stabilities of MLGNR and SWCNT bundle interconnects, with the same external dimensions. ‎The results show that under the same conditions, the propagation delays for MLGNR ‎interconnects are smaller than those of SWCNT bundle interconnects are. Hence, SWCNT ‎bundle interconnects are relatively more stable than their MLGNR rivals.‎

  17. Series interconnected photovoltaic cells and method for making same

    Science.gov (United States)

    Albright, Scot P.; Chamberlin, Rhodes R.; Thompson, Roger A.

    1995-01-01

    A novel photovoltaic module (10) and method for constructing the same are disclosed. The module (10) includes a plurality of photovoltaic cells (12) formed on a substrate (14) and laterally separated by interconnection regions (15). Each cell (12) includes a bottom electrode (16), a photoactive layer (18) and a top electrode layer (20). Adjacent cells (12) are connected in electrical series by way of a conductive-buffer line (22). The buffer line (22) is also useful in protecting the bottom electrode (16) against severing during downstream layer cutting processes.

  18. Electromagnetism and interconnections

    CERN Document Server

    Charruau, S

    2009-01-01

    This book covers the theoretical problems of modeling electrical behavior of the interconnections encountered in everyday electronic products. The coverage shows the theoretical tools of waveform prediction at work in the design of a complex and high-speed digital electronic system. Scientists, research engineers, and postgraduate students interested in electromagnetism, microwave theory, electrical engineering, or the development of simulation tools software for high speed electronic system design automation will find this book an illuminating resource.

  19. CAISSON: Interconnect Network Simulator

    Science.gov (United States)

    Springer, Paul L.

    2006-01-01

    Cray response to HPCS initiative. Model future petaflop computer interconnect. Parallel discrete event simulation techniques for large scale network simulation. Built on WarpIV engine. Run on laptop and Altix 3000. Can be sized up to 1000 simulated nodes per host node. Good parallel scaling characteristics. Flexible: multiple injectors, arbitration strategies, queue iterators, network topologies.

  20. Production and characterization of SLID interconnected n-in-p pixel modules with 75 micron thin silicon sensors

    CERN Document Server

    Andricek, L; Macchiolo, A; Moser, H.G; Nisius, R; Richter, R.H; Terzo, S; Weigell, P

    2014-01-01

    The performance of pixel modules built from 75 micrometer thin silicon sensors and ATLAS read-out chips employing the Solid Liquid InterDiffusion (SLID) interconnection technology is presented. This technology, developed by the Fraunhofer EMFT, is a possible alternative to the standard bump-bonding. It allows for stacking of different interconnected chip and sensor layers without destroying the already formed bonds. In combination with Inter-Chip-Vias (ICVs) this paves the way for vertical integration. Both technologies are combined in a pixel module concept which is the basis for the modules discussed in this paper. Mechanical and electrical parameters of pixel modules employing both SLID interconnections and sensors of 75 micrometer thickness are covered. The mechanical features discussed include the interconnection efficiency, alignment precision and mechanical strength. The electrical properties comprise the leakage currents, tuning characteristics, charge collection, cluster sizes and hit efficiencies. T...

  1. Production and Characterisation of SLID Interconnected n-in-p Pixel Modules with 75 Micrometer Thin Silicon Sensors

    CERN Document Server

    Andricek, L; Macchiolo, A.; Moser, H.-G.; Nisius, R.; Richter, R.H.; Terzo, S.; Weigell, P.

    2014-01-01

    The performance of pixel modules built from 75 micrometer thin silicon sensors and ATLAS read-out chips employing the Solid Liquid InterDiffusion (SLID) interconnection technology is presented. This technology, developed by the Fraunhofer EMFT, is a possible alternative to the standard bump-bonding. It allows for stacking of different interconnected chip and sensor layers without destroying the already formed bonds. In combination with Inter-Chip-Vias (ICVs) this paves the way for vertical integration. Both technologies are combined in a pixel module concept which is the basis for the modules discussed in this paper. Mechanical and electrical parameters of pixel modules employing both SLID interconnections and sensors of 75 micrometer thickness are covered. The mechanical features discussed include the interconnection efficiency, alignment precision and mechanical strength. The electrical properties comprise the leakage currents, tunability, charge collection, cluster sizes and hit efficiencies. Targeting at ...

  2. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Dufva, M; Jensen, T; Kutter, J; Snakenborg, D

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfaces by direct micromilling. Upon UV-assisted bonding the tubing is trapped in the ports of the PMMA chip and forms an integrated, in-plane and adhesive-free interconnection. The interconnections support the average pressure of 6.1 bar and can be made with small dead volumes. A comparison is made to a similar interconnection approach which uses tubing to act as a gasket between a needle and port on the microfluidic chip. (technical note)

  3. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    . The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have......In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating...... renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...

  4. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III - Grid Interconnection System Evaluator

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1. The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  5. Interconnection test framework for the CMS level-1 trigger system

    International Nuclear Information System (INIS)

    Hammer, J.; Magrans de Abril, M.; Wulz, C.E.

    2012-01-01

    The Level-1 Trigger Control and Monitoring System is a software package designed to configure, monitor and test the Level-1 Trigger System of the Compact Muon Solenoid (CMS) experiment at CERN's Large Hadron Collider. It is a large and distributed system that runs over 50 PCs and controls about 200 hardware units. The objective of this paper is to describe and evaluate the architecture of a distributed testing framework - the Interconnection Test Framework (ITF). This generic and highly flexible framework for creating and executing hardware tests within the Level-1 Trigger environment is meant to automate testing of the 13 major subsystems interconnected with more than 1000 links. Features include a web interface to create and execute tests, modeling using finite state machines, dependency management, automatic configuration, and loops. Furthermore, the ITF will replace the existing heterogeneous testing procedures and help reducing both maintenance and complexity of operation tasks. (authors)

  6. Si micro photonics for optical interconnection

    International Nuclear Information System (INIS)

    Wada, K.; Ahn, D.H.; Lim, D.R.; Michel, J.; Kimerling, L.C.

    2006-01-01

    This paper reviews current status of silicon microphotonics and the recent prototype of on-chip optical interconnection. Si microphotonics pursues complementary metal oxide semiconductor (CMOS)-compatibility of photonic devices to reduce the materials diversity eventually to integrate on Si chips. Fractal optical H-trees have been implemented on a chip and found to be a technology breakthrough beyond metal interconnection. It has shown that large RC time constants associated with metal can be eliminated at least long distant data communication on a chip, and eventually improve yield and power issues. This has become the world's first electronic and photonic integrated circuits (EPICs) and the possibility of at least 10 GHz clocking for personal computers has been demonstrated

  7. Robert Aymar seals the last interconnect in the LHC

    CERN Multimedia

    Maximilien Brice

    2007-01-01

    The LHC completes the circle. On 7 November, in a brief ceremony in the LHC tunnel, CERN Director General Robert Aymar (Photo 1) sealed the last interconnect between the main magnets of the Large Hadron Collider (LHC). Jean-Philippe Tock, leader of the Interconnections team, tightens the last bolt (Photos 4-8).

  8. Optical interconnection networks for high-performance computing systems

    International Nuclear Information System (INIS)

    Biberman, Aleksandr; Bergman, Keren

    2012-01-01

    Enabled by silicon photonic technology, optical interconnection networks have the potential to be a key disruptive technology in computing and communication industries. The enduring pursuit of performance gains in computing, combined with stringent power constraints, has fostered the ever-growing computational parallelism associated with chip multiprocessors, memory systems, high-performance computing systems and data centers. Sustaining these parallelism growths introduces unique challenges for on- and off-chip communications, shifting the focus toward novel and fundamentally different communication approaches. Chip-scale photonic interconnection networks, enabled by high-performance silicon photonic devices, offer unprecedented bandwidth scalability with reduced power consumption. We demonstrate that the silicon photonic platforms have already produced all the high-performance photonic devices required to realize these types of networks. Through extensive empirical characterization in much of our work, we demonstrate such feasibility of waveguides, modulators, switches and photodetectors. We also demonstrate systems that simultaneously combine many functionalities to achieve more complex building blocks. We propose novel silicon photonic devices, subsystems, network topologies and architectures to enable unprecedented performance of these photonic interconnection networks. Furthermore, the advantages of photonic interconnection networks extend far beyond the chip, offering advanced communication environments for memory systems, high-performance computing systems, and data centers. (review article)

  9. The variability of interconnected wind plants

    International Nuclear Information System (INIS)

    Katzenstein, Warren; Fertig, Emily; Apt, Jay

    2010-01-01

    We present the first frequency-dependent analyses of the geographic smoothing of wind power's variability, analyzing the interconnected measured output of 20 wind plants in Texas. Reductions in variability occur at frequencies corresponding to times shorter than ∼24 h and are quantified by measuring the departure from a Kolmogorov spectrum. At a frequency of 2.8x10 -4 Hz (corresponding to 1 h), an 87% reduction of the variability of a single wind plant is obtained by interconnecting 4 wind plants. Interconnecting the remaining 16 wind plants produces only an additional 8% reduction. We use step change analyses and correlation coefficients to compare our results with previous studies, finding that wind power ramps up faster than it ramps down for each of the step change intervals analyzed and that correlation between the power output of wind plants 200 km away is half that of co-located wind plants. To examine variability at very low frequencies, we estimate yearly wind energy production in the Great Plains region of the United States from automated wind observations at airports covering 36 years. The estimated wind power has significant inter-annual variability and the severity of wind drought years is estimated to be about half that observed nationally for hydroelectric power.

  10. An RLC interconnect analyzable crosstalk model considering self-heating effect

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Liu Shu-Bin

    2012-01-01

    According to the thermal profile of actual multilevel interconnects, in this paper we propose a temperature distribution model of multilevel interconnects and derive an analytical crosstalk model for the distributed resistance—inductance—capacitance (RLC) interconnect considering effect of thermal profile. According to the 65-nm complementary metal—oxide semiconductor (CMOS) process, we compare the proposed RLC analytical crosstalk model with the Hspice simulation results for different interconnect coupling conditions and the absolute error is within 6.5%. The computed results of the proposed analytical crosstalk model show that RCL crosstalk decreases with the increase of current density and increases with the increase of insulator thickness. This analytical crosstalk model can be applied to the electronic design automation (EDA) and the design optimization for nanometer CMOS integrated circuits. (interdisciplinary physics and related areas of science and technology)

  11. Carbon nanotube based VLSI interconnects analysis and design

    CERN Document Server

    Kaushik, Brajesh Kumar

    2015-01-01

    The brief primarily focuses on the performance analysis of CNT based interconnects in current research scenario. Different CNT structures are modeled on the basis of transmission line theory. Performance comparison for different CNT structures illustrates that CNTs are more promising than Cu or other materials used in global VLSI interconnects. The brief is organized into five chapters which mainly discuss: (1) an overview of current research scenario and basics of interconnects; (2) unique crystal structures and the basics of physical properties of CNTs, and the production, purification and applications of CNTs; (3) a brief technical review, the geometry and equivalent RLC parameters for different single and bundled CNT structures; (4) a comparative analysis of crosstalk and delay for different single and bundled CNT structures; and (5) various unique mixed CNT bundle structures and their equivalent electrical models.

  12. High-speed VCSEL-based optical interconnects

    Science.gov (United States)

    Ishak, Waguih S.

    2001-11-01

    Vertical Cavity Surface Emitting Lasers (VCSEL) have made significant inroads into commercial realization especially in the area of data communications. Single VCSEL devices are key components in Gb Ethernet Transceivers. A multi-element VCSEL array is the key enabling technology for high-speed multi Gb/s parallel optical interconnect modules. In 1996, several companies introduced a new generation of fiber optic products based VCSEL technology such as multimode fiber transceivers for the ANSI Fiber Channel and Gigabit Ethernet IEEE 802.3 standards. VCSELs offer unique advantages over its edge-emitting counterparts in several areas. These include low-cost (LED-like) manufacturability, low current operation and array integrability. As data rates continue to increase, VCSELs offer the advantage of being able to provide the highest modulation bandwidth per milliamp of modulation current. Currently, most of the VCSEL-based products use short (780 - 980 nm) wavelength lasers. However, significant research efforts are taking place at universities and industrial research labs around the world to develop reliable, manufacturable and high-power long (1300 - 1550 nm) wavelength VCSELs. These lasers will allow longer (several km) transmission distances and will help alleviate some of the eye-safety issues. Perhaps, the most important advantage of VCSELs is the ability to form two-dimensional arrays much easier than in the case of edge-emitting lasers. These arrays (single and two-dimensional) will allow a whole new family of applications, specifically in very high-speed computer and switch interconnects.

  13. Effects of advanced process approaches on electromigration degradation of Cu on-chip interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, M.A.

    2007-07-12

    This thesis provides a methodology for the investigation of electromigration (EM) in Cu-based interconnects. An experimental framework based on in-situ scanning electron microscopy (SEM) investigations was developed for that purpose. It is capable to visualize the EM-induced void formation and evolution in multi-level test structures in real time. Different types of interconnects were investigated. Furthermore, stressed and unstressed samples were studied applying advanced physical analysis techniques in order to obtain additional information about the microstructure of the interconnects as well as interfaces and grain boundaries. These data were correlated to the observed degradation phenomena. Correlations of the experimental results to recently established theoretical models were highlighted. Three types of Cu-based interconnects were studied. Pure Cu interconnects were compared to Al-alloyed (CuAl) and CoWP-coated interconnects. The latter two represent potential approaches that address EM-related reliability concerns. It was found that in such interconnects the dominant diffusion path is no longer the Cu/capping layer interface for interconnects as in pure Cu interconnects. Instead, void nucleation occurs at the bottom Cu/barrier interface with significant effects from grain boundaries. Moreover, the in-situ investigations revealed that the initial void nucleation does not occur at the cathode end of the lines but several micrometers away from it. The mean times-to-failure of CuAl and CoWP-coated interconnects were increased by at least one order of magnitude compared to Cu interconnects. The improvements were attributed to the presence of foreign metal atoms at the Cu/capping layer interface. Post-mortem EBSD investigations were used to reveal the microstructure of the tested samples. The data were correlated to the in-situ observations. (orig.)

  14. Cascade-robustness optimization of coupling preference in interconnected networks

    International Nuclear Information System (INIS)

    Zhang, Xue-Jun; Xu, Guo-Qiang; Zhu, Yan-Bo; Xia, Yong-Xiang

    2016-01-01

    Highlights: • A specific memetic algorithm was proposed to optimize coupling links. • A small toy model was investigated to examine the underlying mechanism. • The MA optimized strategy exhibits a moderate assortative pattern. • A novel coupling coefficient index was proposed to quantify coupling preference. - Abstract: Recently, the robustness of interconnected networks has attracted extensive attentions, one of which is to investigate the influence of coupling preference. In this paper, the memetic algorithm (MA) is employed to optimize the coupling links of interconnected networks. Afterwards, a comparison is made between MA optimized coupling strategy and traditional assortative, disassortative and random coupling preferences. It is found that the MA optimized coupling strategy with a moderate assortative value shows an outstanding performance against cascading failures on both synthetic scale-free interconnected networks and real-world networks. We then provide an explanation for this phenomenon from a micro-scope point of view and propose a coupling coefficient index to quantify the coupling preference. Our work is helpful for the design of robust interconnected networks.

  15. GTRgaz: investment plan

    International Nuclear Information System (INIS)

    Anon.

    2007-01-01

    GTRgaz company published on June 2007 its indicative development plan for the 10 years to come. This document serves as a communication vector between GTRgaz and the actors of the market and presents the projects of development of the gas transportation network as defined on the basis of the evolution of French and European markets, and on the knowledge of capacity needs directly or indirectly expressed by the suppliers community. This article summarizes the different points of this plan: reduction of the number of balancing points, development of interconnection capacities, development of output capacities, network free interplay improvement beyond 2013. (J.S.)

  16. The first LHC sector is fully interconnected

    CERN Multimedia

    2006-01-01

    Sector 7-8 is the first sector of the LHC to become fully operational. All the magnets, cryogenic line, vacuum chambers and services are interconnected. The cool down of this sector can soon commence. LHC project leader Lyn Evans, the teams from CERN's AT/MCS, AT/VAC and AT/MEL groups, and the members of the IEG consortium celebrate the completion of the first LHC sector. The 10th of November was a red letter day for the LHC accelerator teams, marking the completion of the first sector of the machine. The magnets of sector 7-8, together with the cryogenic line, the vacuum chambers and the distribution feedboxes (DFBs) are now all completely interconnected. Sector 7-8 has thus been closed and is the first LHC sector to become operational. The interconnection work required several thousand electrical, cryogenic and insulating connections to be made on the 210 interfaces between the magnets in the arc, the 30 interfaces between the special magnets and the interfaces with the cryogenic line. 'This represent...

  17. System interconnection studies using WASP

    Energy Technology Data Exchange (ETDEWEB)

    Bayrak, Y [Turkish Electricity Generation and Transmission Corp., Ankara (Turkey)

    1997-09-01

    The aim of this paper is to describe the application of WASP as a modelling tool for determining the development of two electric systems with interconnections. A case study has been carried out to determine the possibilities of transfer of baseload energy between Turkey and a neighboring country. The objective of this case study is to determine the amount of energy that can be transferred, variations of Loss Probability (LOLP) and unserved energy, and the cost of additional generation with interconnection. The break-even cost will be determined to obtain the minimum charge rate at which TEAS (Turkish Electricity Generation-Transmission Corp.) needs to sell the energy in order to recover the costs. The minimum charge rate for both capacity and energy will be estimated without considering extra capacity additions, except for the ones needed by the Turkish system alone. (author). 2 figs, 3 tabs.

  18. Updating Small Generator Interconnection Procedures for New Market Conditions

    Energy Technology Data Exchange (ETDEWEB)

    Coddington, M.; Fox, K.; Stanfield, S.; Varnado, L.; Culley, T.; Sheehan, M.

    2012-12-01

    Federal and state regulators are faced with the challenge of keeping interconnection procedures updated against a backdrop of evolving technology, new codes and standards, and considerably transformed market conditions. This report is intended to educate policymakers and stakeholders on beneficial reforms that will keep interconnection processes efficient and cost-effective while maintaining a safe and reliable power system.

  19. Impacts of Demand-Side Resources on Electric Transmission Planning

    Energy Technology Data Exchange (ETDEWEB)

    Hadley, Stanton W. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Sanstad, Alan H. [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2015-01-01

    Will demand resources such as energy efficiency (EE), demand response (DR), and distributed generation (DG) have an impact on electricity transmission requirements? Five drivers for transmission expansion are discussed: interconnection, reliability, economics, replacement, and policy. With that background, we review the results of a set of transmission studies that were conducted between 2010 and 2013 by electricity regulators, industry representatives, and other stakeholders in the three physical interconnections within the United States. These broad-based studies were funded by the US Department of Energy and included scenarios of reduced load growth due to EE, DR, and DG. While the studies were independent and used different modeling tools and interconnect-specific assumptions, all provided valuable results and insights. However, some caveats exist. Demand resources were evaluated in conjunction with other factors, and limitations on transmission additions between scenarios made understanding the role of demand resources difficult. One study, the western study, included analyses over both 10- and 20-year planning horizons; the 10-year analysis did not show near-term reductions in transmission, but the 20-year indicated fewer transmission additions, yielding a 36percent capital cost reduction. In the eastern study the reductions in demand largely led to reductions in local generation capacity and an increased opportunity for low-cost and renewable generation to export to other regions. The Texas study evaluated generation changes due to demand, and is in the process of examining demand resource impacts on transmission.

  20. Local Network Wideband Interconnection Alternatives.

    Science.gov (United States)

    1984-01-01

    signal. 3.2.2 Limitations Although satellites offer the advantages of insensitivity to distance, point-to-multipoint communication capability and...Russell, the CATV franchisee for the town of Bedford, has not yit set rates for leasing channels on their network. If this network were interconnected

  1. Back-end interconnection. A generic concept for high volume manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Bosman, J.; Budel, T.; De Kok, C.J.G.M.

    2013-10-15

    The general method to realize series connection in thin film PV modules is monolithical interconnection through a sequence of laser scribes (P1, P2 and P3) and layer depositions. This method however implies that the deposition processes are interrupted several times, an undesirable situation in high volume processing. In order to eliminate this drawback we focus our developments on the so called 'back-end interconnection concept' in which series interconnection takes place AFTER the deposition of the functional layers of the thin film PV device. The process of making a back-end interconnection combines laser scribing, curing, sintering and inkjet processes. These different processes interacts with each other and are investigated in order to create processing strategies that are robust to ensure high volume production. The generic approach created a technology base that can be applied to any thin film PV technology.

  2. Interconnection, Integration, and Interactive Impact Analysis of Microgrids and Distribution Systems

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Ning [Argonne National Lab. (ANL), Argonne, IL (United States); Wang, Jianhui [Argonne National Lab. (ANL), Argonne, IL (United States); Singh, Ravindra [Argonne National Lab. (ANL), Argonne, IL (United States); Lu, Xiaonan [Argonne National Lab. (ANL), Argonne, IL (United States)

    2017-01-01

    Distribution management systems (DMSs) are increasingly used by distribution system operators (DSOs) to manage the distribution grid and to monitor the status of both power imported from the transmission grid and power generated locally by a distributed energy resource (DER), to ensure that power flows and voltages along the feeders are maintained within designed limits and that appropriate measures are taken to guarantee service continuity and energy security. When microgrids are deployed and interconnected to the distribution grids, they will have an impact on the operation of the distribution grid. The challenge is to design this interconnection in such a way that it enhances the reliability and security of the distribution grid and the loads embedded in the microgrid, while providing economic benefits to all stakeholders, including the microgrid owner and operator and the distribution system operator.

  3. Copper Nanowire Production for Interconnect Applications

    Science.gov (United States)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  4. Net Metering and Interconnection Procedures-- Incorporating Best Practices

    Energy Technology Data Exchange (ETDEWEB)

    Jason Keyes, Kevin Fox, Joseph Wiedman, Staff at North Carolina Solar Center

    2009-04-01

    State utility commissions and utilities themselves are actively developing and revising their procedures for the interconnection and net metering of distributed generation. However, the procedures most often used by regulators and utilities as models have not been updated in the past three years, in which time most of the distributed solar facilities in the United States have been installed. In that period, the Interstate Renewable Energy Council (IREC) has been a participant in more than thirty state utility commission rulemakings regarding interconnection and net metering of distributed generation. With the knowledge gained from this experience, IREC has updated its model procedures to incorporate current best practices. This paper presents the most significant changes made to IREC’s model interconnection and net metering procedures.

  5. Cross-border effects of capacity mechanisms in interconnected power systems

    NARCIS (Netherlands)

    Bhagwat, P.C.; Richstein, J.C.; Chappin, E.J.L.; Iychettira, K.K.; de Vries, L.J.

    2017-01-01

    The cross-border effects of a capacity market and a strategic reserve in interconnected electricity markets are modeled using an agent-based modeling methodology. Both capacity mechanisms improve the security of supply and reduce consumer costs. Our results indicate that interconnections do not

  6. A Guidebook on Grid Interconnection and Islanded Operation of Mini-Grid Power Systems Up to 200 kW

    Energy Technology Data Exchange (ETDEWEB)

    Greacen, Chris [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Engel, Richard [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Quetchenbach, Thomas [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2013-04-01

    A Guidebook on Grid Interconnection and Islanded Operation of Mini-Grid Power Systems Up to 200 kW is intended to help meet the widespread need for guidance, standards, and procedures for interconnecting mini-grids with the central electric grid as rural electrification advances in developing countries, bringing these once separate power systems together. The guidebook aims to help owners and operators of renewable energy mini-grids understand the technical options available, safety and reliability issues, and engineering and administrative costs of different choices for grid interconnection. The guidebook is intentionally brief but includes a number of appendices that point the reader to additional resources for indepth information. Not included in the scope of the guidebook are policy concerns about “who pays for what,” how tariffs should be set, or other financial issues that are also paramount when “the little grid connects to the big grid.”

  7. Performance of WCN diffusion barrier for Cu multilevel interconnects

    Science.gov (United States)

    Lee, Seung Yeon; Ju, Byeong-Kwon; Kim, Yong Tae

    2018-04-01

    The electrical and thermal properties of a WCN diffusion barrier have been studied for Cu multilevel interconnects. The WCN has been prepared using an atomic layer deposition system with WF6-CH4-NH3-H2 gases and has a very low resistivity of 100 µΩ cm and 96.9% step coverage on the high-aspect-ratio vias. The thermally stable WCN maintains an amorphous state at 800 °C and Cu/WCN contact resistance remains within a 10% deviation from the initial value after 700 °C. The mean time to failure suggests that the Cu/WCN interconnects have a longer lifetime than Cu/TaN and Cu/WN interconnects because WCN prevents Cu migration owing to the stress evolution from tensile to compressive.

  8. Ring-array processor distribution topology for optical interconnects

    Science.gov (United States)

    Li, Yao; Ha, Berlin; Wang, Ting; Wang, Sunyu; Katz, A.; Lu, X. J.; Kanterakis, E.

    1992-01-01

    The existing linear and rectangular processor distribution topologies for optical interconnects, although promising in many respects, cannot solve problems such as clock skews, the lack of supporting elements for efficient optical implementation, etc. The use of a ring-array processor distribution topology, however, can overcome these problems. Here, a study of the ring-array topology is conducted with an aim of implementing various fast clock rate, high-performance, compact optical networks for digital electronic multiprocessor computers. Practical design issues are addressed. Some proof-of-principle experimental results are included.

  9. Numerical simulation of CTE mismatch and thermal-structural stresses in the design of interconnects

    Science.gov (United States)

    Peter, Geoffrey John M.

    With the ever-increasing chip complexity, interconnects have to be designed to meet the new challenges. Advances in optical lithography have made chip feature sizes available today at 70 nm dimensions. With advances in Extreme Ultraviolet Lithography, X-ray Lithography, and Ion Projection Lithography it is expected that the line width will further decrease to 20 nm or less. With the decrease in feature size, the number of active devices on the chip increases. With higher levels of circuit integration, the challenge is to dissipate the increased heat flux from the chip surface area. Thermal management considerations include coefficient of thermal expansion (CTE) matching to prevent failure between the chip and the board. This in turn calls for improved system performance and reliability of the electronic structural systems. Experience has shown that in most electronic systems, failures are mostly due to CTE mismatch between the chip, board, and the solder joint (solder interconnect). The resulting high thermal-structural stress and strain due to CTE mismatch produces cracks in the solder joints with eventual failure of the electronic component. In order to reduce the thermal stress between the chip, board, and the solder joint, this dissertation examines the effect of inserting wire bundle (wire interconnect) between the chip and the board. The flexibility of the wires or fibers would reduce the stress at the rigid joints. Numerical simulations of two, and three-dimensional models of the solder and wire interconnects are examined. The numerical simulation is linear in nature and is based on linear isotropic material properties. The effect of different wire material properties is examined. The effect of varying the wire diameter is studied by changing the wire diameter. A major cause of electronic equipment failure is due to fatigue failure caused by thermal cycling, and vibrations. A two-dimensional modal and harmonic analysis was simulated for the wire interconnect

  10. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    1984-01-01

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  11. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  12. U.S. Laws and Regulations for Renewable Energy Grid Interconnections

    Energy Technology Data Exchange (ETDEWEB)

    Chernyakhovskiy, Ilya [National Renewable Energy Lab. (NREL), Golden, CO (United States); Tian, Tian [National Renewable Energy Lab. (NREL), Golden, CO (United States); McLaren, Joyce [National Renewable Energy Lab. (NREL), Golden, CO (United States); Miller, Mackay [National Renewable Energy Lab. (NREL), Golden, CO (United States); Geller, Nina [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2016-09-01

    Rapidly declining costs of wind and solar energy technologies, increasing concerns about the environmental and climate change impacts of fossil fuels, and sustained investment in renewable energy projects all point to a not-so-distant future in which renewable energy plays a pivotal role in the electric power system of the 21st century. In light of public pressures and market factors that hasten the transition towards a low-carbon system, power system planners and regulators are preparing to integrate higher levels of variable renewable generation into the grid. Updating the regulations that govern generator interconnections and operations is crucial to ensure system reliability while creating an enabling environment for renewable energy development. This report presents a chronological review of energy laws and regulations concerning grid interconnection procedures in the United States, highlighting the consequences of policies for renewable energy interconnections. Where appropriate, this report places interconnection policies and their impacts on renewable energy within the broader context of power market reform.

  13. Load shedding scheme in the south/southeastern interconnected system

    Energy Technology Data Exchange (ETDEWEB)

    Vieira Filho, Xisto; Couri, J J.G.; Gomes, P; Almeida, P C [ELETROBRAS, Rio de Janeiro, RJ (Brazil)

    1988-12-31

    This paper presents some characteristics of the Brazilian interconnected system and discusses the load shedding scheme in its different stages considering the beginning of operation of the Itaipu power plant. The present situation of the South and Southeastern load shedding scheme combination is also commented. Finally, the interconnected system evolution and the effects on the load shedding schemes are discussed. 4 refs., 5 figs., 2 tabs.

  14. Optoelectronic interconnects for 3D wafer stacks

    Science.gov (United States)

    Ludwig, David; Carson, John C.; Lome, Louis S.

    1996-01-01

    Wafer and chip stacking are envisioned as means of providing increased processing power within the small confines of a three-dimensional structure. Optoelectronic devices can play an important role in these dense 3-D processing electronic packages in two ways. In pure electronic processing, optoelectronics can provide a method for increasing the number of input/output communication channels within the layers of the 3-D chip stack. Non-free space communication links allow the density of highly parallel input/output ports to increase dramatically over typical edge bus connections. In hybrid processors, where electronics and optics play a role in defining the computational algorithm, free space communication links are typically utilized for, among other reasons, the increased network link complexity which can be achieved. Free space optical interconnections provide bandwidths and interconnection complexity unobtainable in pure electrical interconnections. Stacked 3-D architectures can provide the electronics real estate and structure to deal with the increased bandwidth and global information provided by free space optical communications. This paper will provide definitions and examples of 3-D stacked architectures in optoelectronics processors. The benefits and issues of these technologies will be discussed.

  15. Synthesis and Characterization of Three Dimensional Nanostructures Based on Interconnected Carbon Nanomaterials

    Science.gov (United States)

    Koizumi, Ryota

    This thesis addresses various types of synthetic methods for novel three dimensional nanomaterials and nanostructures based on interconnected carbon nanomaterials using solution chemistry and chemical vapor deposition (CVD) methods. Carbon nanotube (CNT) spheres with porous and scaffold structures consisting of interconnected CNTs were synthesized by solution chemistry followed by freeze-drying, which have high elasticity under nano-indentation tests. This allows the CNT spheres to be potentially applied to mechanical dampers. CNTs were also grown on two dimensional materials--such as reduced graphene oxide (rGO) and hexagonal boron nitride (h-BN)--by CVD methods, which are chemically interconnected. CNTs on rGO and h-BN interconnected structures performed well as electrodes for supercapacitors. Furthermore, unique interconnected flake structures of alpha-phase molybdenum carbide were developed by a CVD method. The molybdenum carbide can be used for a catalyst of hydrogen evolution reaction activity as well as an electrode for supercapacitors.

  16. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III -- Grid Interconnection System Evaluator: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper, presented at the IEEE Green Technologies Conference 2013, describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1 (TM). The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  17. Design of a highly parallel board-level-interconnection with 320 Gbps capacity

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.; Bauer, H.

    2012-01-01

    A parallel board-level interconnection design is presented consisting of 32 channels, each operating at 10 Gbps. The hardware uses available optoelectronic components (VCSEL, TIA, pin-diodes) and a combination of planarintegrated free-space optics, fiber-bundles and available MEMS-components, like the DMD™ from Texas Instruments. As a specific feature, we present a new modular inter-board interconnect, realized by 3D fiber-matrix connectors. The performance of the interconnect is evaluated with regard to optical properties and power consumption. Finally, we discuss the application of the interconnect for strongly distributed system architectures, as, for example, in high performance embedded computing systems and data centers.

  18. Regulate or deregulate. Influencing network interconnection charges

    Energy Technology Data Exchange (ETDEWEB)

    Van De Wielle, B.

    2003-06-01

    We study the choice between regulating interconnection charges or delegating their determination to the operators, both in a non-mature and a mature market. Three regulatory regimes are considered: full, cost-based and bill-and-keep. Delegation corresponds to bargaining about the interconnection charges using the regulatory schemes as disagreement outcomes. Applying regulation benefits the consumers. Under full regulation, access charges account for asymmetries and allow a unique Ramsey price. Delegation benefits the operators. In a mature market delegation robs the government of any market influence. In a non-mature market government preferences coincide with those of the largest operator and are disadvantageous for entry.

  19. Compact models and performance investigations for subthreshold interconnects

    CERN Document Server

    Dhiman, Rohit

    2014-01-01

    The book provides a detailed analysis of issues related to sub-threshold interconnect performance from the perspective of analytical approach and design techniques. Particular emphasis is laid on the performance analysis of coupling noise and variability issues in sub-threshold domain to develop efficient compact models. The proposed analytical approach gives physical insight of the parameters affecting the transient behavior of coupled interconnects. Remedial design techniques are also suggested to mitigate the effect of coupling noise. The effects of wire width, spacing between the wires, wi

  20. Analysis of the trade-offs between conventional and superconducting interconnections

    International Nuclear Information System (INIS)

    Frye, R.

    1989-01-01

    Superconductivity can now be achieved at temperatures compatible with semiconductor device operation. This raises the interesting possibility of using the new, high-temperature superconducting ceramics for interconnections in electronic systems. This paper examines some of the consequences of a resistance-free interconnection medium. A problem with conventional conductors in electronic systems is that the resistance of wires increases quadratically as the wire dimensions are scaled down. Below some minimum cross-sectional area, determined by the metal resistivity and wire length, the resistance in these lines begins to severely limit their bandwidth. Superconductors, on the other hand, are not constrained by the same scaling rules. They provide a high bandwidth interconnection at all sizes and lengths. The limitations for superconductors are set by their critical current densities. If line dimensions become too small, a superconductor will no longer support an adequate flow of current. An analysis is presented examining the performance trade-offs for conventional and superconducting interconnections in applications ranging from printed wiring boards to chips. For most semiconductor device-based applications, the potential gains in wiring density offered by superconductors are probably more important than the bandwidth improvements. An important result of the analysis is that it determines the values of critical current density above which superconductors outperform conventional wires in systems of various physical sizes. This identifies particular interconnection technologies for which high-temperature superconductors show the most promise

  1. Interconnected national system

    International Nuclear Information System (INIS)

    Cespedes, Jose Renato

    2000-01-01

    The paper is about the panorama of Colombia with relationship to the electric system, which is compound for hydroelectric and thermal systems; it also includes other topics on their development like expansion plan to year 2010

  2. Chip-package nano-structured copper and nickel interconnections with metallic and polymeric bonding interfaces

    Science.gov (United States)

    Aggarwal, Ankur

    With the semiconductor industry racing toward a historic transition, nano chips with less than 45 nm features demand I/Os in excess of 20,000 that support computing speed in terabits per second, with multi-core processors aggregately providing highest bandwidth at lowest power. On the other hand, emerging mixed signal systems are driving the need for 3D packaging with embedded active components and ultra-short interconnections. Decreasing I/O pitch together with low cost, high electrical performance and high reliability are the key technological challenges identified by the 2005 International Technology Roadmap for Semiconductors (ITRS). Being able to provide several fold increase in the chip-to-package vertical interconnect density is essential for garnering the true benefits of nanotechnology that will utilize nano-scale devices. Electrical interconnections are multi-functional materials that must also be able to withstand complex, sustained and cyclic thermo-mechanical loads. In addition, the materials must be environmentally-friendly, corrosion resistant, thermally stable over a long time, and resistant to electro-migration. A major challenge is also to develop economic processes that can be integrated into back end of the wafer foundry, i.e. with wafer level packaging. Device-to-system board interconnections are typically accomplished today with either wire bonding or solders. Both of these are incremental and run into either electrical or mechanical barriers as they are extended to higher density of interconnections. Downscaling traditional solder bump interconnect will not satisfy the thermo-mechanical reliability requirements at very fine pitches of the order of 30 microns and less. Alternate interconnection approaches such as compliant interconnects typically require lengthy connections and are therefore limited in terms of electrical properties, although expected to meet the mechanical requirements. A novel chip-package interconnection technology is

  3. Vertically aligned multiwalled carbon nanotubes as electronic interconnects

    Science.gov (United States)

    Gopee, Vimal Chandra

    The drive for miniaturisation of electronic circuits provides new materials challenges for the electronics industry. Indeed, the continued downscaling of transistor dimensions, described by Moore’s Law, has led to a race to find suitable replacements for current interconnect materials to replace copper. Carbon nanotubes have been studied as a suitable replacement for copper due to its superior electrical, thermal and mechanical properties. One of the advantages of using carbon nanotubes is their high current carrying capacity which has been demonstrated to be three orders of magnitude greater than that of copper. Most approaches in the implementation of carbon nanotubes have so far focused on the growth in vias which limits their application. In this work, a process is described for the transfer of carbon nanotubes to substrates allowing their use for more varied applications. Arrays of vertically aligned multiwalled carbon nanotubes were synthesised by photo-thermal chemical vapour deposition with high growth rates. Raman spectroscopy was used to show that the synthesised carbon nanotubes were of high quality. The carbon nanotubes were exposed to an oxygen plasma and the nature of the functional groups present was determined using X-ray photoelectron spectroscopy. Functional groups, such as carboxyl, carbonyl and hydroxyl groups, were found to be present on the surface of the multiwalled carbon nanotubes after the functionalisation process. The multiwalled carbon nanotubes were metallised after the functionalisation process using magnetron sputtering. Two materials, solder and sintered silver, were chosen to bind carbon nanotubes to substrates so as to enable their transfer and also to make electrical contact. The wettability of solder to carbon nanotubes was investigated and it was demonstrated that both functionalisation and metallisation were required in order for solder to bond with the carbon nanotubes. Similarly, functionalisation followed by metallisation

  4. Suboptimal Regulation of a Class of Bilinear Interconnected Systems with Finite-Time Sliding Planning Horizons

    Directory of Open Access Journals (Sweden)

    M. de la Sen

    2008-01-01

    Full Text Available This paper focuses on the suboptimization of a class of multivariable discrete-time bilinear systems consisting of interconnected bilinear subsystems with respect to a linear quadratic optimal regulation criterion which involves the use of state weighting terms only. Conditions which ensure the controllability of the overall system are given as a previous requirement for optimization. Three transformations of variables are made on the system equations in order to implement the scheme on an equivalent linear system. This leads to an equivalent representation of the used quadratic performance index that involves the appearance of quadratic weighting terms related to both transformed input and state variables. In this way, a Riccati-matrix sequence, allowing the synthesis of a standard feedback control law, is obtained. Finally, the proposed control scheme is tested on realistic examples.

  5. The myth of interconnected plastids and related phenomena.

    Science.gov (United States)

    Schattat, Martin H; Barton, Kiah A; Mathur, Jaideep

    2015-01-01

    Studies spread over nearly two and a half centuries have identified the primary plastid in autotrophic algae and plants as a pleomorphic, multifunctional organelle comprising of a double-membrane envelope enclosing an organization of internal membranes submerged in a watery stroma. All plastid units have been observed extending and retracting thin stroma-filled tubules named stromules sporadically. Observations on living plant cells often convey the impression that stromules connect two or more independent plastids with each other. When photo-bleaching techniques were used to suggest that macromolecules such as the green fluorescent protein could flow between already interconnected plastids, for many people this impression changed to conviction. However, it was noticed only recently that the concept of protein flow between plastids rests solely on the words "interconnected plastids" for which details have never been provided. We have critically reviewed botanical literature dating back to the 1880s for understanding this term and the phenomena that have become associated with it. We find that while meticulously detailed ontogenic studies spanning nearly 150 years have established the plastid as a singular unit organelle, there is no experimental support for the idea that interconnected plastids exist under normal conditions of growth and development. In this review, while we consider several possibilities that might allow a single elongated plastid to be misinterpreted as two or more interconnected plastids, our final conclusion is that the concept of direct protein flow between plastids is based on an unfounded assumption.

  6. Energy Zones Study: A Comprehensive Web-Based Mapping Tool to Identify and Analyze Clean Energy Zones in the Eastern Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Koritarov, Vladimir [Argonne National Lab. (ANL), Argonne, IL (United States); Kuiper, James [Argonne National Lab. (ANL), Argonne, IL (United States); Hlava, Kevin [Argonne National Lab. (ANL), Argonne, IL (United States); Orr, Andrew [Argonne National Lab. (ANL), Argonne, IL (United States); Rollins, Katherine [Argonne National Lab. (ANL), Argonne, IL (United States); Brunner, Donna [Argonne National Lab. (ANL), Argonne, IL (United States); Green, Jr., Herman [Argonne National Lab. (ANL), Argonne, IL (United States); Makar, Jeffrey [Argonne National Lab. (ANL), Argonne, IL (United States); Ayers, Andrew [Argonne National Lab. (ANL), Argonne, IL (United States); Holm, Michael [Argonne National Lab. (ANL), Argonne, IL (United States); Simunich, Kathy [Argonne National Lab. (ANL), Argonne, IL (United States); Wang, Jianhui [Argonne National Lab. (ANL), Argonne, IL (United States); McLamore, Michael [Argonne National Lab. (ANL), Argonne, IL (United States); Shamsuddin, Shabbir [Argonne National Lab. (ANL), Argonne, IL (United States); Kavicky, James [Argonne National Lab. (ANL), Argonne, IL (United States); Portante, Edgar [Argonne National Lab. (ANL), Argonne, IL (United States); Conzelmann, Guenter [Argonne National Lab. (ANL), Argonne, IL (United States); Molburg, John [Argonne National Lab. (ANL), Argonne, IL (United States); Clark, Corrie [Argonne National Lab. (ANL), Argonne, IL (United States); Snyder, Seth [Argonne National Lab. (ANL), Argonne, IL (United States); Darling, Seth [Argonne National Lab. (ANL), Argonne, IL (United States); Braun, Joseph [Argonne National Lab. (ANL), Argonne, IL (United States); Botterud, Audun [Argonne National Lab. (ANL), Argonne, IL (United States); Gasper, John [Argonne National Lab. (ANL), Argonne, IL (United States); Richmond, Pamela [Argonne National Lab. (ANL), Argonne, IL (United States); Beardsley, Brett [Argonne National Lab. (ANL), Argonne, IL (United States); Schlueter, Scott [Argonne National Lab. (ANL), Argonne, IL (United States); Augustine, Chad [National Renewable Energy Lab. (NREL), Golden, CO (United States); Heimiller, Donna [National Renewable Energy Lab. (NREL), Golden, CO (United States); Hurlbut, David J. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Milbrandt, Anelia [National Renewable Energy Lab. (NREL), Golden, CO (United States); Schneider, Thomas R. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Hadley, Stanton W. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Gracia, Jose R. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Mays, Gary T. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Belles, Randy [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Omitaomu, Olufemi A. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Fernandez, Steven [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Hadjerioua, Boualem [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Stewart, Kevin M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kodysh, Jeffrey [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Smith, Travis [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2013-11-01

    This report describes the work conducted in support of the Eastern Interconnection States’ Planning Council (EISPC) Energy Zones Study and the development of the Energy Zones Mapping Tool performed by a team of experts from three National Laboratories. The multi-laboratory effort was led by Argonne National Laboratory (Argonne), in collaboration with the National Renewable Energy Laboratory (NREL) and Oak Ridge National Laboratory (ORNL).

  7. Energy-water analysis of the 10-year WECC transmission planning study cases.

    Energy Technology Data Exchange (ETDEWEB)

    Tidwell, Vincent Carroll; Passell, Howard David; Castillo, Cesar; Moreland, Barbara

    2011-11-01

    In 2011 the Department of Energy's Office of Electricity embarked on a comprehensive program to assist our Nation's three primary electric interconnections with long term transmission planning. Given the growing concern over water resources in the western U.S. the Western Electricity Coordinating Council (WECC) requested assistance with integrating water resource considerations into their broader electric transmission planning. The result is a project with three overarching objectives: (1) Develop an integrated Energy-Water Decision Support System (DSS) that will enable planners in the Western Interconnection to analyze the potential implications of water stress for transmission and resource planning. (2) Pursue the formulation and development of the Energy-Water DSS through a strongly collaborative process between the Western Electricity Coordinating Council (WECC), Western Governors Association (WGA), the Western States Water Council (WSWC) and their associated stakeholder teams. (3) Exercise the Energy-Water DSS to investigate water stress implications of the transmission planning scenarios put forward by WECC, WGA, and WSWC. The foundation for the Energy-Water DSS is Sandia National Laboratories Energy-Power-Water Simulation (EPWSim) model (Tidwell et al. 2009). The modeling framework targets the shared needs of energy and water producers, resource managers, regulators, and decision makers at the federal, state and local levels. This framework provides an interactive environment to explore trade-offs, and 'best' alternatives among a broad list of energy/water options and objectives. The decision support framework is formulated in a modular architecture, facilitating tailored analyses over different geographical regions and scales (e.g., state, county, watershed, interconnection). An interactive interface allows direct control of the model and access to real-time results displayed as charts, graphs and maps. The framework currently supports

  8. Warpage Characteristics and Process Development of Through Silicon Via-Less Interconnection Technology.

    Science.gov (United States)

    Shen, Wen-Wei; Lin, Yu-Min; Wu, Sheng-Tsai; Lee, Chia-Hsin; Huang, Shin-Yi; Chang, Hsiang-Hung; Chang, Tao-Chih; Chen, Kuan-Neng

    2018-08-01

    In this study, through silicon via (TSV)-less interconnection using the fan-out wafer-level-packaging (FO-WLP) technology and a novel redistribution layer (RDL)-first wafer level packaging are investigated. Since warpage of molded wafer is a critical issue and needs to be optimized for process integration, the evaluation of the warpage issue on a 12-inch wafer using finite element analysis (FEA) at various parameters is presented. Related parameters include geometric dimension (such as chip size, chip number, chip thickness, and mold thickness), materials' selection and structure optimization. The effect of glass carriers with various coefficients of thermal expansion (CTE) is also discussed. Chips are bonded onto a 12-inch reconstituted wafer, which includes 2 RDL layers, 3 passivation layers, and micro bumps, followed by using epoxy molding compound process. Furthermore, an optical surface inspector is adopted to measure the surface profile and the results are compared with the results from simulation. In order to examine the quality of the TSV-less interconnection structure, electrical measurement is conducted and the respective results are presented.

  9. 78 FR 19259 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2013-03-29

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM... proceedings: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. EL08-14, Black Oak Energy LLC, et al...

  10. Ceria based protective coatings for steel interconnects prepared by spray pyrolysis

    DEFF Research Database (Denmark)

    Szymczewska, Dagmara; Molin, Sebastian; Chen, Ming

    2014-01-01

    Stainless steels can be used in solid oxide fuel/electrolysis stacks as interconnects. For successful long term operation they require protective coatings, that lower the corrosion rate and block chemical reactions between the interconnect and adjacent layers of the oxygen or the hydrogen electrode....... One of the promising coating materials for the hydrogen side is ceria. Using standard sintering techniques, ceria sinters at around 1400°C which even for a very short exposure would destroy the interconnect. Therefore in this paper a low temperature deposition method, i.e. spray pyrolysis, is used...

  11. Decentralized automatic generation control of interconnected power systems incorporating asynchronous tie-lines.

    Science.gov (United States)

    Ibraheem; Hasan, Naimul; Hussein, Arkan Ahmed

    2014-01-01

    This Paper presents the design of decentralized automatic generation controller for an interconnected power system using PID, Genetic Algorithm (GA) and Particle Swarm Optimization (PSO). The designed controllers are tested on identical two-area interconnected power systems consisting of thermal power plants. The area interconnections between two areas are considered as (i) AC tie-line only (ii) Asynchronous tie-line. The dynamic response analysis is carried out for 1% load perturbation. The performance of the intelligent controllers based on GA and PSO has been compared with the conventional PID controller. The investigations of the system dynamic responses reveal that PSO has the better dynamic response result as compared with PID and GA controller for both type of area interconnection.

  12. FDTD technique based crosstalk analysis of bundled SWCNT interconnects

    International Nuclear Information System (INIS)

    Duksh, Yograj Singh; Kaushik, Brajesh Kumar; Agarwal, Rajendra P.

    2015-01-01

    The equivalent electrical circuit model of a bundled single-walled carbon nanotube based distributed RLC interconnects is employed for the crosstalk analysis. The accurate time domain analysis and crosstalk effect in the VLSI interconnect has emerged as an essential design criteria. This paper presents a brief description of the numerical method based finite difference time domain (FDTD) technique that is intended for estimation of voltages and currents on coupled transmission lines. For the FDTD implementation, the stability of the proposed model is strictly restricted by the Courant condition. This method is used for the estimation of crosstalk induced propagation delay and peak voltage in lossy RLC interconnects. Both functional and dynamic crosstalk effects are analyzed in the coupled transmission line. The effect of line resistance on crosstalk induced delay, and peak voltage under dynamic and functional crosstalk is also evaluated. The FDTD analysis and the SPICE simulations are carried out at 32 nm technology node for the global interconnects. It is observed that the analytical results obtained using the FDTD technique are in good agreement with the SPICE simulation results. The crosstalk induced delay, propagation delay, and peak voltage obtained using the FDTD technique shows average errors of 4.9%, 3.4% and 0.46%, respectively, in comparison to SPICE. (paper)

  13. 77 FR 3766 - PJM Interconnection, L.L.C.; Notice of Staff Technical Conference

    Science.gov (United States)

    2012-01-25

    ... Interconnection, L.L.C.; Notice of Staff Technical Conference On December 14, 2011, the Commission issued an order... Interconnection, L.L.C.'s (PJM) filing.\\1\\ Take notice that the technical conference will be held on February 14...\\ PJM Interconnection, L.L.C., 137 FERC ] 61,204 (2011) (December 14 Order). All interested parties are...

  14. Bi cluster-assembled interconnects produced using SU8 templates

    International Nuclear Information System (INIS)

    Partridge, J G; Matthewson, T; Brown, S A

    2007-01-01

    Bi clusters with an average diameter of 25 nm have been deposited from an inert gas aggregation source and assembled into thin-film interconnects which are formed between planar electrical contacts and supported on Si substrates passivated with Si 3 N 4 or thermally grown oxide. A layer of SU8 (a negative photoresist based on EPON SU-8 epoxy resin) is patterned using optical or electron-beam lithography, and it defines the position and dimensions of the cluster film. The conduction between the contacts is monitored throughout the deposition/assembly process, and subsequent I(V) characterization is performed in situ. Bi cluster-assembled interconnects have been fabricated with nanoscale widths and with up to 1:1 thickness:width aspect ratios. The conductivity of these interconnects has been increased, post-deposition, using a simple thermal annealing process

  15. Post-Kyoto energy consumption strategies for the Greek interconnected electric system

    International Nuclear Information System (INIS)

    Dagoumas, A.S.; Panapakidis, I.P.; Papagiannis, G.K.; Dokopoulos, P.S.

    2008-01-01

    The liberalization of the Greek electric market (Law 2773/99, updated with Laws 3175/2003 and 3426/2005 for incorporating Directive 2003/54 into the Greek legislation) is in its final structural transformation, which includes the fact that from 1.7.2007 each customer can select its electricity provider. This new status together with the procedure towards the formation of a post-Kyoto plan, raise the need of examining different energy saving strategies in the consumption side for evaluating their economic and environmental consequences. Such strategies may be useful for the decision makers or the electricity retail companies. This paper examines the influence of several post-Kyoto electricity consumption strategies in the Greek interconnected electric system for the period 2005-2025. The aim of the paper is to be used as a decision makers' tool for investigating the potential of electricity consumption policies. The results show that policies related either to seasonal peak demand control, or targeting at the total electric consumption lead to significant gains and emission reduction. Moreover the influence of factors, such as the weather conditions, the discount rate of the energy investments, the fuel prices evolution and the consumers' behavior linkage with oil prices are examined

  16. A Privacy-Preserving Distributed Optimal Scheduling for Interconnected Microgrids

    Directory of Open Access Journals (Sweden)

    Nian Liu

    2016-12-01

    Full Text Available With the development of microgrids (MGs, interconnected operation of multiple MGs is becoming a promising strategy for the smart grid. In this paper, a privacy-preserving distributed optimal scheduling method is proposed for the interconnected microgrids (IMG with a battery energy storage system (BESS and renewable energy resources (RESs. The optimal scheduling problem is modeled to minimize the coalitional operation cost of the IMG, including the fuel cost of conventional distributed generators and the life loss cost of BESSs. By using the framework of the alternating direction method of multipliers (ADMM, a distributed optimal scheduling model and an iteration solution algorithm for the IMG is introduced; only the expected exchanging power (EEP of each MG is required during the iterations. Furthermore, a privacy-preserving strategy for the sharing of the EEP among MGs is designed to work with the mechanism of the distributed algorithm. According to the security analysis, the EEP can be delivered in a cooperative and privacy-preserving way. A case study and numerical results are given in terms of the convergence of the algorithm, the comparison of the costs and the implementation efficiency.

  17. 34 CFR 611.2 - What management plan must be included in a Teacher Quality Enhancement Grants Program application?

    Science.gov (United States)

    2010-07-01

    ... 34 Education 3 2010-07-01 2010-07-01 false What management plan must be included in a Teacher... TEACHER QUALITY ENHANCEMENT GRANTS PROGRAM General Provisions § 611.2 What management plan must be... appropriate, a management plan that includes a proposed multiyear workplan. (b) At a minimum, this workplan...

  18. 77 FR 10505 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2012-02-22

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM..., PJM Interconnection, L.L.C. Docket Nos. ER06-456, ER06-880, ER06-954, ER06-1271, EL07-57, ER07-424...

  19. Chip-Level Electromigration Reliability for Cu Interconnects

    International Nuclear Information System (INIS)

    Gall, M.; Oh, C.; Grinshpon, A.; Zolotov, V.; Panda, R.; Demircan, E.; Mueller, J.; Justison, P.; Ramakrishna, K.; Thrasher, S.; Hernandez, R.; Herrick, M.; Fox, R.; Boeck, B.; Kawasaki, H.; Haznedar, H.; Ku, P.

    2004-01-01

    Even after the successful introduction of Cu-based metallization, the electromigration (EM) failure risk has remained one of the most important reliability concerns for most advanced process technologies. Ever increasing operating current densities and the introduction of low-k materials in the backend process scheme are some of the issues that threaten reliable, long-term operation at elevated temperatures. The traditional method of verifying EM reliability only through current density limit checks is proving to be inadequate in general, or quite expensive at the best. A Statistical EM Budgeting (SEB) methodology has been proposed to assess more realistic chip-level EM reliability from the complex statistical distribution of currents in a chip. To be valuable, this approach requires accurate estimation of currents for all interconnect segments in a chip. However, no efficient technique to manage the complexity of such a task for very large chip designs is known. We present an efficient method to estimate currents exhaustively for all interconnects in a chip. The proposed method uses pre-characterization of cells and macros, and steps to identify and filter out symmetrically bi-directional interconnects. We illustrate the strength of the proposed approach using a high-performance microprocessor design for embedded applications as a case study

  20. Energy conservation through the implementation of cogeneration and grid interconnection

    International Nuclear Information System (INIS)

    Dashash, M. A.

    2007-01-01

    With increasing awareness of energy conservation and environmental protection, the Arab World is moving to further improve energy conversion efficiency. The equivalent of over 2.7 MM bbl is being daily burnt to fuel the thermal power plants that represent 92% of the total Arab power generation. This adds up to close to one billion barrels annually. At a conservative 30$ per barrel, this represents a daily cost of over $81 Million. This paper will introduce two strategies with the ultimate objective to cut-off up to half of the current fuel consumption. Firstly, Cogeneration Technology is able to improve thermal efficiency from the current average of less than 25% to up to 80%. Just 1% improvement in power plant thermal efficiency represents 3 million $/day in fuel cost savings. In addition, a well-designed and operated cogeneration plant will: - Reduce unfriendly emissions by burning less fuel as a result of higher thermal efficiency, - Increase the decentralization of electrical generation, - Improve the reliability of electricity supply. As an example, the Kingdom of Saudi Arabia's experience of implementing cogeneration will be presented, in particular within its hydrocarbon facilities and desalination plants. This will include the existing facilities and the planned and on-going projects. Secondly, by interconnecting the power networks of all the adjacent Arab countries, the following benefits could be reached: - Reduce generation reserves and enhance the system reliability, - Improve the economic efficiency of the electricity power systems, - Provide power exchange and strengthen the supply reliability, - Adopt technological development and use the best modern technologies. At least two factors plead for this direction. On one hand, the four-hour time zone difference from Eastern to Western Arab World makes it easy to exchange power. On the other hand, this will help to reduce the reserve capacity and save on corresponding Capital investment, fuel, and O and M

  1. Virtual interconnection platform initiative scoping study

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kou, Gefei [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Pan, Zuohong [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Liu, Yilu [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); King Jr., Thomas J. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2016-01-01

    Due to security and liability concerns, the research community has limited access to realistic large-scale power grid models to test and validate new operation and control methodologies. It is also difficult for industry to evaluate the relative value of competing new tools without a common platform for comparison. This report proposes to develop a large-scale virtual power grid model that retains basic features and represents future trends of major U.S. electric interconnections. This model will include realistic power flow and dynamics information as well as a relevant geospatial distribution of assets. This model will be made widely available to the research community for various power system stability and control studies and can be used as a common platform for comparing the efficacies of various new technologies.

  2. Impact of Bundle Structure on Performance of on-Chip CNT Interconnects

    International Nuclear Information System (INIS)

    Kuruvilla, N.; Raina, J.P

    2014-01-01

    CNTs are proposed as a promising candidate against copper in deep submicron IC interconnects. Still this technology is in its infancy. Most available literatures on performance predictions of CNT interconnects, have focused only on interconnect geometries using segregated CNTs. Yet during the manufacturing phase, CNTs are obtained usually as a mixture of single-walled and multi-walled CNTs (SWCNTs and MWCNTs). Especially in case of SWCNTs; it is usually available as a mixture of both Semi conducting CNTs and metallic CNTs. This paper attempts to answer whether segregation is inevitable before using them to construct interconnects. This paper attempt to compare the performance variations of bundled CNT interconnects, where bundles are made of segregated CNTs versus mixed CNTs, for future technology nodes using electrical model based analysis. Also a proportionate mixing of different CNTs has been introduced so as to yield a set of criteria to aid the industry in selection of an appropriate bundle structure for use in a specific application with optimum performance. It was found that even the worst case performance of geometries using a mixture of SWCNTs and MWCNTs was better than copper. These results also reveal that, for extracting optimum performance vide cost matrix, the focus should be more on diameter controlled synthesis than on segregation.

  3. Two-dimensional optoelectronic interconnect-processor and its operational bit error rate

    Science.gov (United States)

    Liu, J. Jiang; Gollsneider, Brian; Chang, Wayne H.; Carhart, Gary W.; Vorontsov, Mikhail A.; Simonis, George J.; Shoop, Barry L.

    2004-10-01

    Two-dimensional (2-D) multi-channel 8x8 optical interconnect and processor system were designed and developed using complementary metal-oxide-semiconductor (CMOS) driven 850-nm vertical-cavity surface-emitting laser (VCSEL) arrays and the photodetector (PD) arrays with corresponding wavelengths. We performed operation and bit-error-rate (BER) analysis on this free-space integrated 8x8 VCSEL optical interconnects driven by silicon-on-sapphire (SOS) circuits. Pseudo-random bit stream (PRBS) data sequence was used in operation of the interconnects. Eye diagrams were measured from individual channels and analyzed using a digital oscilloscope at data rates from 155 Mb/s to 1.5 Gb/s. Using a statistical model of Gaussian distribution for the random noise in the transmission, we developed a method to compute the BER instantaneously with the digital eye-diagrams. Direct measurements on this interconnects were also taken on a standard BER tester for verification. We found that the results of two methods were in the same order and within 50% accuracy. The integrated interconnects were investigated in an optoelectronic processing architecture of digital halftoning image processor. Error diffusion networks implemented by the inherently parallel nature of photonics promise to provide high quality digital halftoned images.

  4. Generation adequacy and transmission interconnection in regional electricity markets

    International Nuclear Information System (INIS)

    Cepeda, Mauricio; Saguan, Marcelo; Finon, Dominique; Pignon, Virginie

    2009-01-01

    The power system capacity adequacy has public good features that cannot be entirely solved by electricity markets. Regulatory intervention is then necessary and established methods have been used to assess adequacy and help regulators to fix this market failure. In regional electricity markets, transmission interconnections play an important role in contributing to adequacy. However, the adequacy problem and related policy are typically considered at a national level. This paper presents a simple model to study how the interconnection capacity interacts with generation adequacy. First results indicate that increasing interconnection capacity between systems improves adequacy up to a certain level; further increases do not procure additional adequacy improvements. Furthermore, besides adequacy improvement, increasing transmission capacity under asymmetric adequacy criteria or national system characteristics could create several concerns about externalities. These results imply that regional coordination of national adequacy policies is essential to internalise adequacy of cross-border effects.

  5. A plan for transmission access and pricing

    International Nuclear Information System (INIS)

    Oldak, M.

    1990-01-01

    The National Rural Electric Cooperative Association (NRECA) believes that while access to the interconnected transmission system (grid) is necessary to provide the most efficient and economical development and use of the bulk power supply system, the grid cannot be unconditionally opened. Additionally, access should be provided only under reasonable terms, conditions, and cost-based compensation, within a framework of joint planning and coordinated operations. NRECA describes here its transmission policy, a coordinated planning and utilization model (CPU)

  6. Between structures and norms : Assessing tax increment financing for the Dutch spatial planning toolkit

    NARCIS (Netherlands)

    Root, Liz; Van Der Krabben, Erwin; Spit, Tejo

    2015-01-01

    The aim of the paper is to assess the institutional (mis)fit of tax increment financing for the Dutch spatial planning financial toolkit. By applying an institutionally oriented assessment framework, we analyse the interconnectivity of Dutch municipal finance and spatial planning structures and

  7. Green interconnecting materials for semiconductor industry

    NARCIS (Netherlands)

    Matin, M.A.; Vellinga, W.P.; Geers, M.G.D.; Sawada, K.; Ishida, M.

    2009-01-01

    Interconnecting materials experience a complex thermo-mechanical load in applications. This may lead to the formation of macroscopic cracks resulting from induced stresses of the differences in thermal expansion coefficients on a sample scale (since different materials are involved) and on a grain

  8. An architectural model for network interconnection

    NARCIS (Netherlands)

    van Sinderen, Marten J.; Vissers, C.A.; Kalin, T.

    1983-01-01

    This paper presents a technique of successive decomposition of a common users' activity to illustrate the problems of network interconnection. The criteria derived from this approach offer a structuring principle which is used to develop an architectural model that embeds heterogeneous subnetworks

  9. Characterization of a Cobalt-Tungsten Interconnect

    DEFF Research Database (Denmark)

    Harthøj, Anders; Holt, Tobias; Caspersen, Michael

    2012-01-01

    is to act both as a diffusion barrier for chromium and provide better protection against high temperature oxidation than a pure cobalt coating. This work presents a characterization of a cobalt-tungsten alloy coating electrodeposited on the ferritic steel Crofer 22 H which subsequently was oxidized in air......A ferritic steel interconnect for a solid oxide fuel cell must be coated in order to prevent chromium evaporation from the steel substrate. The Technical University of Denmark and Topsoe Fuel Cell have developed an interconnect coating based on a cobalt-tungsten alloy. The purpose of the coating...... for 300 h at 800 °C. The coating was characterized with Glow Discharge Optical Spectroscopy (GDOES), Scanning Electron Microscopy (SEM) and X-Ray Diffraction (XRD). The oxidation properties were evaluated by measuring weight change of coated samples of Crofer 22 H and Crofer 22 APU as a function...

  10. Production and characterisation of SLID interconnected n-in-p pixel modules with 75 μm thin silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Andricek, L. [Halbleiterlabor der Max-Planck-Gesellschaft, Otto Hahn Ring 6, D-81739 München (Germany); Beimforde, M.; Macchiolo, A.; Moser, H.-G. [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany); Nisius, R., E-mail: Richard.Nisius@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany); Richter, R.H. [Halbleiterlabor der Max-Planck-Gesellschaft, Otto Hahn Ring 6, D-81739 München (Germany); Terzo, S.; Weigell, P. [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany)

    2014-09-11

    The performance of pixel modules built from 75 μm thin silicon sensors and ATLAS read-out chips employing the Solid Liquid InterDiffusion (SLID) interconnection technology is presented. This technology, developed by the Fraunhofer EMFT, is a possible alternative to the standard bump-bonding. It allows for stacking of different interconnected chip and sensor layers without destroying the already formed bonds. In combination with Inter-Chip-Vias (ICVs) this paves the way for vertical integration. Both technologies are combined in a pixel module concept which is the basis for the modules discussed in this paper. Mechanical and electrical parameters of pixel modules employing both SLID interconnections and sensors of 75 μm thickness are covered. The mechanical features discussed include the interconnection efficiency, alignment precision and mechanical strength. The electrical properties comprise the leakage currents, tuning characteristics, charge collection, cluster sizes and hit efficiencies. Targeting at a usage at the high luminosity upgrade of the LHC accelerator called HL-LHC, the results were obtained before and after irradiation up to fluences of 10{sup 16}n{sub eq}/cm{sup 2}.

  11. Comparative Analysis and Considerations for PV Interconnection Standards in the United States and China

    Energy Technology Data Exchange (ETDEWEB)

    None

    2017-01-01

    The main objectives of this report are to evaluate China's photovoltaic (PV) interconnection standards and the U.S. counterparts and to propose recommendations for future revisions to these standards. This report references the 2013 report Comparative Study of Standards for Grid-Connected PV System in China, the U.S. and European Countries, which compares U.S., European, and China's PV grid interconnection standards; reviews various metrics for the characterization of distribution network with PV; and suggests modifications to China's PV interconnection standards and requirements. The recommendations are accompanied by assessments of four high-penetration PV grid interconnection cases in the United States to illustrate solutions implemented to resolve issues encountered at different sites. PV penetration in China and in the United States has significantly increased during the past several years, presenting comparable challenges depending on the conditions of the grid at the point of interconnection; solutions are generally unique to each interconnected PV installation or PV plant.

  12. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    Directory of Open Access Journals (Sweden)

    Bastidas, D. M.

    2006-12-01

    Full Text Available Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. Coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation

    El uso de interconectores metálicos en pilas de combustible de óxido sólido (SOFC en sustitución de materiales cerámicos ha sido posible gracias a la investigación y desarrollo de nuevos materiales metálicos. Inicialmente, el uso de interconectores metálicos fue limitado, debido a la elevada temperatura de trabajo, ocasionando de forma rápida la degradación del material, lo que impedía que fuesen una alternativa. A medida que la temperatura de trabajo de las SOFC descendió, el uso de interconectores metálicos demostró ser una buena alternativa, dado que son más fáciles de fabricar y más baratos que los interconectores cerámicos. Sin embargo, los interconectores metálicos continúan degradándose a pesar de descender la temperatura a la que operan las SOFC y, asimismo, los productos de corrosión favorecen las pérdidas eléctricas de la pila de combustible. Recubrimientos de níquel, cromo, aluminio, zinc, manganeso, itrio y lantano entre el interconector y los electrodos reduce dichas pérdidas eléctricas.

  13. A proposed holistic approach to on-chip, off-chip, test, and package interconnections

    Science.gov (United States)

    Bartelink, Dirk J.

    1998-11-01

    recognize—test is also performed using IC's. A system interconnection is proposed using multiple chips fabricated with conventional silicon processes, including MEMS technology. The system resembles an MCM that can be joined without committing to final assembly to perform at-speed testing. 50-Ohm test probes never load the circuit; only intended neighboring chips are ever connected. A `back-plane' chip provides the connection layers for both inter- and intra-chip signals and also serves as the probe card, in analogy with membrane probes now used for single-chip testing. Intra-chip connections, which require complicated connections during test that exactly match the product, are then properly made and all waveforms and loading conditions under test will be identical to those of the product. The major benefit is that all front-end chip technologies can be merged—logic, memory, RF, even passives. ESD protection is required only on external system connections. Manufacturing test information will accurately characterize process faults and thus avoid the Known-Good-Die problem that has slowed the arrival of conventional MCM's.

  14. Communication Requirements and Interconnect Optimization forHigh-End Scientific Applications

    Energy Technology Data Exchange (ETDEWEB)

    Kamil, Shoaib; Oliker, Leonid; Pinar, Ali; Shalf, John

    2007-11-12

    The path towards realizing peta-scale computing isincreasingly dependent on building supercomputers with unprecedentednumbers of processors. To prevent the interconnect from dominating theoverall cost of these ultra-scale systems, there is a critical need forhigh-performance network solutions whose costs scale linearly with systemsize. This work makes several unique contributions towards attaining thatgoal. First, we conduct one of the broadest studies to date of high-endapplication communication requirements, whose computational methodsinclude: finite-difference, lattice-bolzmann, particle in cell, sparselinear algebra, particle mesh ewald, and FFT-based solvers. Toefficiently collect this data, we use the IPM (Integrated PerformanceMonitoring) profiling layer to gather detailed messaging statistics withminimal impact to code performance. Using the derived communicationcharacterizations, we next present fit-trees interconnects, a novelapproach for designing network infrastructure at a fraction of thecomponent cost of traditional fat-tree solutions. Finally, we propose theHybrid Flexibly Assignable Switch Topology (HFAST) infrastructure, whichuses both passive (circuit) and active (packet) commodity switchcomponents to dynamically reconfigure interconnects to suit thetopological requirements of scientific applications. Overall ourexploration leads to a promising directions for practically addressingthe interconnect requirements of future peta-scale systems.

  15. Simple and reusable fibre-to-chip interconnect with adjustable coupling eficiency

    NARCIS (Netherlands)

    Heideman, Rene; Lambeck, Paul; Parriaux, Olivier M.; Kley, Ernst-Bernhard

    1997-01-01

    A simple, efficient and reusable fiber-to-chip interconnect is presented. The interconnect is based on a V-groove (wet- chemically etched) in silicon, combined with a loose-mode Si3N4-channel waveguide. The loose-mode waveguide is adiabatically tapered to the integrated optical (sensor) circuitry.

  16. Investigation of performance degradation of SOFC using chromium-containing alloy interconnects

    DEFF Research Database (Denmark)

    Beeaff, D.R.; Dinesen, A.; Hendriksen, Peter Vang

    2007-01-01

    The long-term aging of a stack element (fuel cell, current collectors, and interconnect materials) was studied. A pair of tests were made in which one sample contained an interconnect, a high-temperature stainless steel (Crofer 22 APU), treated with an LSMC coating applied to the cathode-side int...

  17. Robust design of head interconnect for hard disk drive

    Science.gov (United States)

    Gao, X. K.; Liu, Q. H.; Liu, Z. J.

    2005-05-01

    Design of head interconnect is one of the important issues for hard disk drives with higher data rate and storage capacity. The impedance of interconnect and electromagnetic coupling influence the quality level of data communication. Thus an insightful study on how the trace configuration affects the impedance and crosstalk is necessary. An effective design approach based on Taguchi's robust design method is employed therefore in an attempt to realize impedance matching and crosstalk minimization with the effects of uncontrollable sources taken into consideration.

  18. Next Generation Space Interconnect Standard (NGSIS): a modular open standards approach for high performance interconnects for space

    Science.gov (United States)

    Collier, Charles Patrick

    2017-04-01

    The Next Generation Space Interconnect Standard (NGSIS) effort is a Government-Industry collaboration effort to define a set of standards for interconnects between space system components with the goal of cost effectively removing bandwidth as a constraint for future space systems. The NGSIS team has selected the ANSI/VITA 65 OpenVPXTM standard family for the physical baseline. The RapidIO protocol has been selected as the basis for the digital data transport. The NGSIS standards are developed to provide sufficient flexibility to enable users to implement a variety of system configurations, while meeting goals for interoperability and robustness for space. The NGSIS approach and effort represents a radical departure from past approaches to achieve a Modular Open System Architecture (MOSA) for space systems and serves as an exemplar for the civil, commercial, and military Space communities as well as a broader high reliability terrestrial market.

  19. Thermo-electric Analysis of the Interconnection of the LHC main Superconducting Bus Bars

    CERN Document Server

    Granieri, P P; Casali, M; Bottura, L; Siemko, A

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering th...

  20. Implementation of interconnect simulation tools in spice

    Science.gov (United States)

    Satsangi, H.; Schutt-Aine, J. E.

    1993-01-01

    Accurate computer simulation of high speed digital computer circuits and communication circuits requires a multimode approach to simulate both the devices and the interconnects between devices. Classical circuit analysis algorithms (lumped parameter) are needed for circuit devices and the network formed by the interconnected devices. The interconnects, however, have to be modeled as transmission lines which incorporate electromagnetic field analysis. An approach to writing a multimode simulator is to take an existing software package which performs either lumped parameter analysis or field analysis and add the missing type of analysis routines to the package. In this work a traditionally lumped parameter simulator, SPICE, is modified so that it will perform lossy transmission line analysis using a different model approach. Modifying SPICE3E2 or any other large software package is not a trivial task. An understanding of the programming conventions used, simulation software, and simulation algorithms is required. This thesis was written to clarify the procedure for installing a device into SPICE3E2. The installation of three devices is documented and the installations of the first two provide a foundation for installation of the lossy line which is the third device. The details of discussions are specific to SPICE, but the concepts will be helpful when performing installations into other circuit analysis packages.

  1. 2: Local area networks as a multiprocessor treatment planning system

    International Nuclear Information System (INIS)

    Neblett, D.L.; Hogan, S.E.

    1987-01-01

    The creation of a local area network (LAN) of interconnected computers provides an environment of multi computer processors that adds a new dimension to treatment planning. A LAN system provides the opportunity to have two or more computers working on the plan in parallel. With high speed interprocessor transfer, events such as the time consuming task of correcting several individual beams for contours and inhomogeneities can be performed simultaneously; thus, effectively creating a parallel multiprocessor treatment planning system

  2. Structure-dependent behavior of stress-induced voiding in Cu interconnects

    International Nuclear Information System (INIS)

    Wu Zhenyu; Yang Yintang; Chai Changchun; Li Yuejin; Wang Jiayou; Li Bin; Liu Jing

    2010-01-01

    Stress modeling and cross-section failure analysis by focused-ion-beam have been used to investigate stress-induced voiding phenomena in Cu interconnects. The voiding mechanism and the effect of the interconnect structure on the stress migration have been studied. The results show that the most concentrated tensile stress appears and voids form at corners of vias on top surfaces of Cu M1 lines. A simple model of stress induced voiding in which vacancies arise due to the increase of the chemical potential under tensile stress and diffuse under the force of stress gradient along the main diffusing path indicates that stress gradient rather than stress itself determines the voiding rate. Cu interconnects with larger vias show less resistance to stress-induced voiding due to larger stress gradient at corners of vias.

  3. Development of a thin film solar cell interconnect for the PowerSphere concept

    International Nuclear Information System (INIS)

    Simburger, Edward J.; Matsumoto, James H.; Giants, Thomas W.; Garcia, Alexander; Liu, Simon; Rawal, Suraj P.; Perry, Alan R.; Marshall, Craig H.; Lin, John K.; Scarborough, Stephen E.; Curtis, Henry B.; Kerslake, Thomas W.; Peterson, Todd T.

    2005-01-01

    Progressive development of microsatellite technologies has resulted in increased demand for lightweight electrical power subsystems including solar arrays. The use of thin film photovoltaics has been recognized as a key solution to meet the power needs. The lightweight cells can generate sufficient power and still meet critical mass requirements. Commercially available solar cells produced on lightweight substrates are being studied as an option to fulfill the power needs. The commercially available solar cells are relatively inexpensive and have a high payoff potential. Commercially available thin film solar cells are primarily being produced for terrestrial applications. The need to convert the solar cell from a terrestrial to a space compatible application is the primary challenge. Solar cell contacts, grids and interconnects need to be designed to be atomic oxygen resistant and withstand rapid thermal cycling environments. A mechanically robust solar cell interconnect is also required in order to withstand handling during fabrication and survive during launch. The need to produce the solar cell interconnects has been identified as a primary goal of the PowerSphere program and is the topic of this paper. Details of the trade study leading to the final design involving the solar cell wrap around contact, flex blanket, welding process, and frame will be presented at the conference

  4. Reliability of spring interconnects for high channel-count polyimide electrode arrays

    Science.gov (United States)

    Khan, Sharif; Ordonez, Juan Sebastian; Stieglitz, Thomas

    2018-05-01

    Active neural implants with a high channel-count need robust and reliable operational assembly for the targeted environment in order to be classified as viable fully implantable systems. The discrete functionality of the electrode array and the implant electronics is vital for intact assembly. A critical interface exists at the interconnection sites between the electrode array and the implant electronics, especially in hybrid assemblies (e.g. retinal implants) where electrodes and electronics are not on the same substrate. Since the interconnects in such assemblies cannot be hermetically sealed, reliable protection against the physiological environment is essential for delivering high insulation resistance and low defusibility of salt ions, which are limited in complexity by current assembly techniques. This work reports on a combination of spring-type interconnects on a polyimide array with silicone rubber gasket insulation for chronically active implantable systems. The spring design of the interconnects on the backend of the electrode array compensates for the uniform thickness of the sandwiched gasket during bonding in assembly and relieves the propagation of extrinsic stresses to the bulk polyimide substrate. The contact resistance of the microflex-bonded spring interconnects with the underlying metallized ceramic test vehicles and insulation through the gasket between adjacent contacts was investigated against the MIL883 standard. The contact and insulation resistances remained stable in the exhausting environmental conditions.

  5. The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems

    Directory of Open Access Journals (Sweden)

    Amlan Ganguly

    2018-02-01

    Full Text Available With aggressive scaling of device geometries, density of manufacturing faults is expected to increase. Therefore, yield of complex Multi-Processor Systems-on-Chips (MP-SoCs will decrease due to higher probability of manufacturing defects especially, in dies with large area. Therefore, disintegration of large SoCs into smaller chips called chiplets will improve yield and cost of complex platform-based systems. This will also provide functional flexibility, modular scalability as well as the capability to integrate heterogeneous architectures and technologies in a single unit. However, with scaling of the number of chiplets in such a system, the shared resources in the system such as the interconnection fabric and memory modules will become performance bottlenecks. Additionally, the integration of heterogeneous chiplets operating at different frequencies and voltages can be challenging. State-of-the-art inter-chip communication requires power-hungry high-speed I/O circuits and data transfer over long wired traces on substrates. This increases energy consumption and latency while decreasing data bandwidth for chip-to-chip communication. In this paper, we explore the advances and the challenges of interconnecting a multi-chip system with millimeter-wave (mm-wave wireless interconnects from a variety of perspectives spanning multiple aspects of the wireless interconnection design. Our discussion on the recent advances include aspects such as interconnection topology, physical layer, Medium Access Control (MAC and routing protocols. We also present some potential paradigm-shifting applications as well as complementary technologies of wireless inter-chip communications.

  6. Functional neuroanatomy of amygdalohippocampal interconnections and their role in learning and memory.

    Science.gov (United States)

    McDonald, Alexander J; Mott, David D

    2017-03-01

    The amygdalar nuclear complex and hippocampal/parahippocampal region are key components of the limbic system that play a critical role in emotional learning and memory. This Review discusses what is currently known about the neuroanatomy and neurotransmitters involved in amygdalo-hippocampal interconnections, their functional roles in learning and memory, and their involvement in mnemonic dysfunctions associated with neuropsychiatric and neurological diseases. Tract tracing studies have shown that the interconnections between discrete amygdalar nuclei and distinct layers of individual hippocampal/parahippocampal regions are robust and complex. Although it is well established that glutamatergic pyramidal cells in the amygdala and hippocampal region are the major players mediating interconnections between these regions, recent studies suggest that long-range GABAergic projection neurons are also involved. Whereas neuroanatomical studies indicate that the amygdala only has direct interconnections with the ventral hippocampal region, electrophysiological studies and behavioral studies investigating fear conditioning and extinction, as well as amygdalar modulation of hippocampal-dependent mnemonic functions, suggest that the amygdala interacts with dorsal hippocampal regions via relays in the parahippocampal cortices. Possible pathways for these indirect interconnections, based on evidence from previous tract tracing studies, are discussed in this Review. Finally, memory disorders associated with dysfunction or damage to the amygdala, hippocampal region, and/or their interconnections are discussed in relation to Alzheimer's disease, posttraumatic stress disorder (PTSD), and temporal lobe epilepsy. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  7. Reliability analysis of magnetic logic interconnect wire subjected to magnet edge imperfections

    Science.gov (United States)

    Zhang, Bin; Yang, Xiaokuo; Liu, Jiahao; Li, Weiwei; Xu, Jie

    2018-02-01

    Nanomagnet logic (NML) devices have been proposed as one of the best candidates for the next generation of integrated circuits thanks to its substantial advantages of nonvolatility, radiation hardening and potentially low power. In this article, errors of nanomagnetic interconnect wire subjected to magnet edge imperfections have been evaluated for the purpose of reliable logic propagation. The missing corner defects of nanomagnet in the wire are modeled with a triangle, and the interconnect fabricated with various magnetic materials is thoroughly investigated by micromagnetic simulations under different corner defect amplitudes and device spacings. The results show that as the defect amplitude increases, the success rate of logic propagation in the interconnect decreases. More results show that from the interconnect wire fabricated with materials, iron demonstrates the best defect tolerance ability among three representative and frequently used NML materials, also logic transmission errors can be mitigated by adjusting spacing between nanomagnets. These findings can provide key technical guides for designing reliable interconnects. Project supported by the National Natural Science Foundation of China (No. 61302022) and the Scientific Research Foundation for Postdoctor of Air Force Engineering University (Nos. 2015BSKYQD03, 2016KYMZ06).

  8. Construction of programmable interconnected 3D microfluidic networks

    International Nuclear Information System (INIS)

    Hunziker, Patrick R; Wolf, Marc P; Wang, Xueya; Zhang, Bei; Marsch, Stephan; Salieb-Beugelaar, Georgette B

    2015-01-01

    Microfluidic systems represent a key-enabling platform for novel diagnostic tools for use at the point-of-care in clinical contexts as well as for evolving single cell diagnostics. The design of 3D microfluidic systems is an active field of development, but construction of true interconnected 3D microfluidic networks is still a challenge, in particular when the goal is rapid prototyping, accurate design and flexibility. We report a novel approach for the construction of programmable 3D microfluidic systems consisting of modular 3D template casting of interconnected threads to allow user-programmable flow paths and examine its structural characteristics and its modular function. To overcome problems with thread template casting reported in the literature, low-surface-energy polymer threads were used, that allow solvent-free production. Connected circular channels with excellent roundness and low diameter variability were created. Variable channel termination allowed programming a flow path on-the-fly, thus rendering the resulting 3D microfluidic systems highly customizable even after production. Thus, construction of programmable/reprogrammable fully 3D microfluidic systems by template casting of a network of interconnecting threads is feasible, leads to high-quality and highly reproducible, complex 3D geometries. (paper)

  9. Accurate Modeling Method for Cu Interconnect

    Science.gov (United States)

    Yamada, Kenta; Kitahara, Hiroshi; Asai, Yoshihiko; Sakamoto, Hideo; Okada, Norio; Yasuda, Makoto; Oda, Noriaki; Sakurai, Michio; Hiroi, Masayuki; Takewaki, Toshiyuki; Ohnishi, Sadayuki; Iguchi, Manabu; Minda, Hiroyasu; Suzuki, Mieko

    This paper proposes an accurate modeling method of the copper interconnect cross-section in which the width and thickness dependence on layout patterns and density caused by processes (CMP, etching, sputtering, lithography, and so on) are fully, incorporated and universally expressed. In addition, we have developed specific test patterns for the model parameters extraction, and an efficient extraction flow. We have extracted the model parameters for 0.15μm CMOS using this method and confirmed that 10%τpd error normally observed with conventional LPE (Layout Parameters Extraction) was completely dissolved. Moreover, it is verified that the model can be applied to more advanced technologies (90nm, 65nm and 55nm CMOS). Since the interconnect delay variations due to the processes constitute a significant part of what have conventionally been treated as random variations, use of the proposed model could enable one to greatly narrow the guardbands required to guarantee a desired yield, thereby facilitating design closure.

  10. LHC beampipe interconnection

    CERN Document Server

    Particle beams circulate for around 10 hours in the Large Hadron Collider (LHC). During this time, the particles make four hundred million revolutions of the machine, travelling a distance equivalent to the diameter of the solar system. The beams must travel in a pipe which is emptied of air, to avoid collisions between the particles and air molecules (which are considerably bigger than protons). The beam pipes are pumped down to an air pressure similar to that on the surface of the moon. Much of the LHC runs at 1.9 degrees above absolute zero. When material is cooled, it contracts. The interconnections must absorb this contraction whilst maintaining electrical connectivity.

  11. Reliable, Low Cost Distributed Generator/Utility System Interconnect: 2001 Annual Report

    Energy Technology Data Exchange (ETDEWEB)

    2003-08-01

    This report details a research program to develop requirements that support the definition, design, and demonstration of a distributed generation-electric power system interconnection interface concept that allows distributed generation to be interconnected to the electric power system in a manner that provides value to end users without compromising reliability and performance.

  12. Modeling of Ni Diffusion Induced Austenite Formation in Ferritic Stainless Steel Interconnects

    DEFF Research Database (Denmark)

    Chen, Ming; Alimadadi, Hossein; Molin, Sebastian

    2017-01-01

    Ferritic stainless steel interconnect plates are widely used in planar solid oxide fuel cell and electrolysis cell stacks. During stack production and operation, nickel from the Ni/yttria stabilized zirconia fuel electrode or from the Ni contact component layer diffuses into the interconnect plate......, causing transformation of the ferritic phase into an austenitic phase in the interface region. This is accompanied with changes in volume, and in mechanical and corrosion properties of the interconnect plates. In this work, kinetic modeling of the inter-diffusion between Ni and FeCr based ferritic...

  13. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Dufva, Martin; Jensen, Thomas Glasdam

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfac...

  14. EEG simulation by 2D interconnected chaotic oscillators

    Energy Technology Data Exchange (ETDEWEB)

    Kubany, Adam, E-mail: adamku@bgu.ac.i [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel); Mhabary, Ziv; Gontar, Vladimir [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel)

    2011-01-15

    Research highlights: ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. An inverse problem solution (PRCGA) is proposed. Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  15. EEG simulation by 2D interconnected chaotic oscillators

    International Nuclear Information System (INIS)

    Kubany, Adam; Mhabary, Ziv; Gontar, Vladimir

    2011-01-01

    Research highlights: → ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. → An inverse problem solution (PRCGA) is proposed. → Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  16. Asynchronous decentralized method for interconnected electricity markets

    International Nuclear Information System (INIS)

    Huang, Anni; Joo, Sung-Kwan; Song, Kyung-Bin; Kim, Jin-Ho; Lee, Kisung

    2008-01-01

    This paper presents an asynchronous decentralized method to solve the optimization problem of interconnected electricity markets. The proposed method decomposes the optimization problem of combined electricity markets into individual optimization problems. The impact of neighboring markets' information is included in the objective function of the individual market optimization problem by the standard Lagrangian relaxation method. Most decentralized optimization methods use synchronous models of communication to exchange updated market information among markets during the iterative process. In this paper, however, the solutions of the individual optimization problems are coordinated through an asynchronous communication model until they converge to the global optimal solution of combined markets. Numerical examples are presented to demonstrate the advantages of the proposed asynchronous method over the existing synchronous methods. (author)

  17. 76 FR 42534 - Mandatory Reliability Standards for Interconnection Reliability Operating Limits; System...

    Science.gov (United States)

    2011-07-19

    ... Reliability Operating Limits; System Restoration Reliability Standards AGENCY: Federal Energy Regulatory... data necessary to analyze and monitor Interconnection Reliability Operating Limits (IROL) within its... Interconnection Reliability Operating Limits, Order No. 748, 134 FERC ] 61,213 (2011). \\2\\ The term ``Wide-Area...

  18. Interconnection of bundled solid oxide fuel cells

    Science.gov (United States)

    Brown, Michael; Bessette, II, Norman F; Litka, Anthony F; Schmidt, Douglas S

    2014-01-14

    A system and method for electrically interconnecting a plurality of fuel cells to provide dense packing of the fuel cells. Each one of the plurality of fuel cells has a plurality of discrete electrical connection points along an outer surface. Electrical connections are made directly between the discrete electrical connection points of adjacent fuel cells so that the fuel cells can be packed more densely. Fuel cells have at least one outer electrode and at least one discrete interconnection to an inner electrode, wherein the outer electrode is one of a cathode and and anode and wherein the inner electrode is the other of the cathode and the anode. In tubular solid oxide fuel cells the discrete electrical connection points are spaced along the length of the fuel cell.

  19. New organization scheme for the energy supply in the not interconnected zones of Colombia

    International Nuclear Information System (INIS)

    Zapata, Josue; Bayona Lugdy

    2001-01-01

    The paper shows a new scheme of solutions in the financial institutional environment and regulatory, in this sense it thinks about the creation from a support unit to the rural energy administration that takes charge of to identify energy solutions and the technical and organizational support of the service of a foundation that manage the obtained resources and a interconnected scheme to the current conditions of the NIZ. In Colombia the not interconnected zones NIZ corresponds those of the country that don't receive electric power service through the national interconnected system, and who interconnection is not economically feasible

  20. Traffic Load on Interconnection Lines of Generalized Double Ring Network Structures

    DEFF Research Database (Denmark)

    Pedersen, Jens Myrup; Riaz, Muhammad Tahir; Madsen, Ole Brun

    2004-01-01

    Generalized Double Ring (N2R) network structures possess a number of good properties, but being not planar they are hard to physically embed in communication networks. However, if some of the lines, the interconnection lines, are implemented by wireless technologies, the remaining structure...... consists of two planar rings, which are easily embedded by fiber or other wired solutions. It is shown that for large N2R structures, the interconnection lines carry notably lower loads than the other lines if shortest-path routing is used, and the effects of two other routing schemes are explored, leading...... to lower load on interconnection lines at the price of larger efficient average distance and diameter....

  1. Electrohydrodynamic direct—writing of conductor—insulator-conductor multi-layer interconnection

    International Nuclear Information System (INIS)

    Zheng Gao-Feng; Pei Yan-Bo; Wang Xiang; Zheng Jian-Yi; Sun Dao-Heng

    2014-01-01

    A multi-layer interconnection structure is a basic component of electronic devices, and printing of the multi-layer interconnection structure is the key process in printed electronics. In this work, electrohydrodynamic direct-writing (EDW) is utilized to print the conductor—insulator—conductor multi-layer interconnection structure. Silver ink is chosen to print the conductor pattern, and a polyvinylpyrrolidone (PVP) solution is utilized to fabricate the insulator layer between the bottom and top conductor patterns. The influences of EDW process parameters on the line width of the printed conductor and insulator patterns are studied systematically. The obtained results show that the line width of the printed structure increases with the increase of the flow rate, but decreases with the increase of applied voltage and PVP content in the solution. The average resistivity values of the bottom and top silver conductor tracks are determined to be 1.34 × 10 −7 Ω·m and 1.39 × 10 −7 Ω·m, respectively. The printed PVP layer between the two conductor tracks is well insulated, which can meet the insulation requirement of the electronic devices. This study offers an alternative, fast, and cost-effective method of fabricating conductor—insulator—conductor multi-layer interconnections in the electronic industry

  2. Fundamentals of reliability engineering applications in multistage interconnection networks

    CERN Document Server

    Gunawan, Indra

    2014-01-01

    This book presents fundamentals of reliability engineering with its applications in evaluating reliability of multistage interconnection networks. In the first part of the book, it introduces the concept of reliability engineering, elements of probability theory, probability distributions, availability and data analysis.  The second part of the book provides an overview of parallel/distributed computing, network design considerations, and more.  The book covers a comprehensive reliability engineering methods and its practical aspects in the interconnection network systems. Students, engineers, researchers, managers will find this book as a valuable reference source.

  3. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem

    2017-11-21

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  4. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem; Khan, S. M.; Nour, Maha A.; Rehman, M. U.; Rojas, J. P.; Hussain, Muhammad Mustafa

    2017-01-01

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  5. Nonfragile Guaranteed Cost Control and Optimization for Interconnected Systems of Neutral Type

    Directory of Open Access Journals (Sweden)

    Heli Hu

    2013-01-01

    Full Text Available The design and optimization problems of the nonfragile guaranteed cost control are investigated for a class of interconnected systems of neutral type. A novel scheme, viewing the interconnections with time-varying delays as effective information but not disturbances, is developed to decrease the conservatism. Many techniques on decomposing and magnifying the matrices are utilized to obtain the guaranteed cost of the considered system. Also, an algorithm is proposed to solve the nonlinear problem of the interconnected matrices. Based on this algorithm, the minimization of the guaranteed cost of the considered system is obtained by optimization. Further, the state feedback control is extended to the case in which the underlying system is dependent on uncertain parameters. Finally, two numerical examples are given to illustrate the proposed method, and some comparisons are made to show the advantages of the schemes of dealing with the interconnections.

  6. On the Distribution of Lightning Current among Interconnected Grounding Systems in Medium Voltage Grids

    Directory of Open Access Journals (Sweden)

    Guido Ala

    2018-03-01

    Full Text Available This paper presents the results of a first investigation on the effects of lightning stroke on medium voltage installations’ grounding systems, interconnected with the metal shields of the Medium Voltage (MV distribution grid cables or with bare buried copper ropes. The study enables us to evaluate the distribution of the lightning current among interconnected ground electrodes in order to estimate if the interconnection, usually created to reduce ground potential rise during a single-line-to-ground fault, can give place to dangerous situations far from the installation hit by the lightning stroke. Four different case studies of direct lightning stroke are presented and discussed: (1 two secondary substations interconnected by the cables’ shields; (2 two secondary substations interconnected by a bare buried conductor; (3 a high voltage/medium voltage station connected with a secondary substation by the medium voltage cables’ shields; (4 a high voltage/medium voltage station connected with a secondary substation by a bare buried conductor. The results of the simulations show that a higher peak-lowering action on the lighting-stroke current occurs due to the use of bare conductors as interconnection elements in comparison to the cables’ shields.

  7. Development of Interconnect Technologies for Particle Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Mani [Univ. of California, Davis, CA (United States)

    2015-01-29

    This final report covers the three years of this grant, for the funding period 9/1/2010 - 8/31/2013. The project consisted of generic detector R&D work at UC Davis, with an emphasis on developing interconnect technologies for applications in HEP. Much of the work is done at our Facility for Interconnect Technologies (FIT) at UC Davis. FIT was established using ARRA funds, with further studies supported by this grant. Besides generic R&D work at UC Davis, FIT is engaged in providing bump bonding help to several DOE supported detector R&D efforts. Some of the developmental work was also supported by funding from other sources: continuing CMS project funds and the Linear Collider R&D funds. The latter program is now terminated. The three year program saw a good deal of progress on several fronts, which are reported here.

  8. Interconnected porous hydroxyapatite ceramics for bone tissue engineering

    Science.gov (United States)

    Yoshikawa, Hideki; Tamai, Noriyuki; Murase, Tsuyoshi; Myoui, Akira

    2008-01-01

    Several porous calcium hydroxyapatite (HA) ceramics have been used clinically as bone substitutes, but most of them possessed few interpore connections, resulting in pathological fracture probably due to poor bone formation within the substitute. We recently developed a fully interconnected porous HA ceramic (IP-CHA) by adopting the ‘foam-gel’ technique. The IP-CHA had a three-dimensional structure with spherical pores of uniform size (average 150 μm, porosity 75%), which were interconnected by window-like holes (average diameter 40 μm), and also demonstrated adequate compression strength (10–12 MPa). In animal experiments, the IP-CHA showed superior osteoconduction, with the majority of pores filled with newly formed bone. The interconnected porous structure facilitates bone tissue engineering by allowing the introduction of mesenchymal cells, osteotropic agents such as bone morphogenetic protein or vasculature into the pores. Clinically, we have applied the IP-CHA to treat various bony defects in orthopaedic surgery, and radiographic examinations demonstrated that grafted IP-CHA gained radiopacity more quickly than the synthetic HA in clinical use previously. We review the accumulated data on bone tissue engineering using the novel scaffold and on clinical application in the orthopaedic field. PMID:19106069

  9. Enhancing Ecoefficiency in Shrimp Farming through Interconnected Ponds

    Directory of Open Access Journals (Sweden)

    Ramón Héctor Barraza-Guardado

    2015-01-01

    Full Text Available The future development of shrimp farming needs to improve its ecoefficiency. The purpose of this study was to evaluate water quality, flows, and nitrogen balance and production parameters on a farm with interconnected pond design to improve the efficiency of the semi-intensive culture of Litopenaeus vannamei ponds. The study was conducted in 21 commercial culture ponds during 180 days at densities of 30–35 ind m−2 and daily water exchange <2%. Our study provides evidence that by interconnecting ponds nutrient recycling is favored by promoting the growth of primary producers of the pond as chlorophyll a. Based on the mass balance and flow of nutrients this culture system reduces the flow of solid, particulate organic matter, and nitrogen compounds to the environment and significantly increases the efficiency of water (5 to 6.5 m3 kg−1 cycle−1, when compared with traditional culture systems. With this culture system it is possible to recover up to 34% of the total nitrogen entering the system, with production in excess of 4,000 kg ha−1 shrimp. We believe that the production system with interconnected ponds is a technically feasible model to improve ecoefficiency production of shrimp farming.

  10. A Methodology for Physical Interconnection Decisions of Next Generation Transport Networks

    DEFF Research Database (Denmark)

    Gutierrez Lopez, Jose Manuel; Riaz, M. Tahir; Madsen, Ole Brun

    2011-01-01

    of possibilities when designing the physical network interconnection. This paper develops and presents a methodology in order to deal with aspects related to the interconnection problem of optical transport networks. This methodology is presented as independent puzzle pieces, covering diverse topics going from......The physical interconnection for optical transport networks has critical relevance in the overall network performance and deployment costs. As telecommunication services and technologies evolve, the provisioning of higher capacity and reliability levels is becoming essential for the proper...... development of Next Generation Networks. Currently, there is a lack of specific procedures that describe the basic guidelines to design such networks better than "best possible performance for the lowest investment". Therefore, the research from different points of view will allow a broader space...

  11. Patterned electrodeposition of interconnects using microcontact printing

    NARCIS (Netherlands)

    Hovestad, A.; Rendering, H.; Maijenburg, A.W.

    2012-01-01

    Microcontact printing combined with electroless deposition is a potential low cost technique to make electrical interconnects for opto-electronic devices. Microcontact printed inhibitors locally prevent electroless deposition resulting in a pre-defined pattern of metal tracks. The inhibition of

  12. High-performance parallel processors based on star-coupled wavelength division multiplexing optical interconnects

    Science.gov (United States)

    Deri, Robert J.; DeGroot, Anthony J.; Haigh, Ronald E.

    2002-01-01

    As the performance of individual elements within parallel processing systems increases, increased communication capability between distributed processor and memory elements is required. There is great interest in using fiber optics to improve interconnect communication beyond that attainable using electronic technology. Several groups have considered WDM, star-coupled optical interconnects. The invention uses a fiber optic transceiver to provide low latency, high bandwidth channels for such interconnects using a robust multimode fiber technology. Instruction-level simulation is used to quantify the bandwidth, latency, and concurrency required for such interconnects to scale to 256 nodes, each operating at 1 GFLOPS performance. Performance scales have been shown to .apprxeq.100 GFLOPS for scientific application kernels using a small number of wavelengths (8 to 32), only one wavelength received per node, and achievable optoelectronic bandwidth and latency.

  13. Planning for seven generations: Energy planning of American Indian tribes

    International Nuclear Information System (INIS)

    Brookshire, Daniel; Kaza, Nikhil

    2013-01-01

    The prevalence of energy resources on American Indian lands, the links between energy management and tribal sovereignty, and recent federal government incentives make tribal energy planning an interesting case study for community energy planning in the US. This paper studies the strategic energy planning efforts, energy resource development, and energy efficiency policies established by tribes within the continental US. The paper analyzes the results of a survey of various tribes′ energy resource development and planning efforts and supplements the responses with publicly available information on resources, economics, and demographics. We find that incentives and advisory services from the federal government are key to developing the capacity of the tribes to pursue energy planning and energy resource development. These incentives largely avoid the misdeeds of past federal policy by promoting tribal control over energy planning and energy resource development efforts. Tribes with formal energy plans or visions are more likely to develop energy resources than tribes without them and are engaged in a more comprehensive and sustainable approach to energy resource development and energy efficiency. - Highlights: • American Indian tribal energy planning is an understudied topic. • Tribal energy planning is interconnected with tribal sovereignty and sustainability. • We report the results of a survey of energy planning and development efforts. • Federal Government assistance is critical to the efforts of the tribes. • Tribes with energy plans take a more comprehensive approach to energy resource development

  14. Comparing Germany's and California's Interconnection Processes for PV Systems (White Paper)

    Energy Technology Data Exchange (ETDEWEB)

    Tweedie, A.; Doris, E.

    2011-07-01

    Establishing interconnection to the grid is a recognized barrier to the deployment of distributed energy generation. This report compares interconnection processes for photovoltaic projects in California and Germany. This report summarizes the steps of the interconnection process for developers and utilities, the average length of time utilities take to process applications, and paperwork required of project developers. Based on a review of the available literature, this report finds that while the interconnection procedures and timelines are similar in California and Germany, differences in the legal and regulatory frameworks are substantial.

  15. Development of a New Insulation Approach for the LHC Main 13 kA Interconnection Splices

    CERN Document Server

    Lackner, F; Fessia, P; Lopez, R; Prin, H; Tock, J Ph

    2012-01-01

    In the long LHC (Large Hadron Collider) shutdown in 2013 it is foreseen to intervene on all the 13 kA interconnections in order to guarantee the necessary margin and redundancy to provide safe LHC operation at 7 TeV per beam. This implies reinforcement of the present interconnection configuration including a new insulation scheme of the busbars. The purpose of the new insulation model is to provide dielectric insulation with at least the same performance as its predecessor currently installed in the LHC machine, but in addition to contain the Lorentz forces. This paper describes the analytic and empirical approach of development to reach a new insulation concept based on state of the art materials and manufacturing techniques.

  16. Perturbed cooperative-state feedback strategy for model predictive networked control of interconnected systems.

    Science.gov (United States)

    Tran, Tri; Ha, Q P

    2018-01-01

    A perturbed cooperative-state feedback (PSF) strategy is presented for the control of interconnected systems in this paper. The subsystems of an interconnected system can exchange data via the communication network that has multiple connection topologies. The PSF strategy can resolve both issues, the sensor data losses and the communication network breaks, thanks to the two components of the control including a cooperative-state feedback and a perturbation variable, e.g., u i =K ij x j +w i . The PSF is implemented in a decentralized model predictive control scheme with a stability constraint and a non-monotonic storage function (ΔV(x(k))≥0), derived from the dissipative systems theory. Numerical simulation for the automatic generation control problem in power systems is studied to illustrate the effectiveness of the presented PSF strategy. Copyright © 2017 ISA. Published by Elsevier Ltd. All rights reserved.

  17. Roll and pitch independently tuned interconnected suspension: modelling and dynamic analysis

    Science.gov (United States)

    Xu, Guangzhong; Zhang, Nong; Roser, Holger M.

    2015-12-01

    In this paper, a roll and pitch independently tuned hydraulically interconnected passive suspension is presented. Due to decoupling of vibration modes and the improved lateral and longitudinal stability, the stiffness of individual suspension spring can be reduced for improving ride comfort and road grip. A generalised 14 degree-of-freedom nonlinear vehicle model with anti-roll bars is established to investigate the vehicle ride and handling dynamic responses. The nonlinear fluidic model of the hydraulically interconnected suspension is developed and integrated with the full vehicle model to investigate the anti-roll and anti-pitch characteristics. Time domain analysis of the vehicle model with the proposed suspension is conducted under different road excitations and steering/braking manoeuvres. The dynamic responses are compared with conventional suspensions to demonstrate the potential of enhanced ride and handling performance. The results illustrate the model-decoupling property of the hydraulically interconnected system. The anti-roll and anti-pitch performance could be tuned independently by the interconnected systems. With the improved anti-roll and anti-pitch characteristics, the bounce stiffness and ride damping can be optimised for better ride comfort and tyre grip.

  18. Opto-VLSI-based reconfigurable free-space optical interconnects architecture

    DEFF Research Database (Denmark)

    Aljada, Muhsen; Alameh, Kamal; Chung, Il-Sug

    2007-01-01

    is the Opto-VLSI processor which can be driven by digital phase steering and multicasting holograms that reconfigure the optical interconnects between the input and output ports. The optical interconnects architecture is experimentally demonstrated at 2.5 Gbps using high-speed 1×3 VCSEL array and 1......×3 photoreceiver array in conjunction with two 1×4096 pixel Opto-VLSI processors. The minimisation of the crosstalk between the output ports is achieved by appropriately aligning the VCSEL and PD elements with respect to the Opto-VLSI processors and driving the latter with optimal steering phase holograms....

  19. Life Cycle Assessment in Spatial Planning

    DEFF Research Database (Denmark)

    Bidstrup, Morten; Pizzol, Massimo; Schmidt, Jannick Højrup

    2015-01-01

    Spatial planning establishes conditions for societal patterns of production and consumption. However, the assigned Strategic Environmental Assessments (SEA) tend to have a too narrow focus. In particular, there is a need for applying a system perspective in SEA, extending assessment beyond...... towards operationalising LCA in SEA by adjusting LCA methodology to focus on the ways planners and planning processes can influence the environmental impacts of interconnected activities. The proposed procedure was tested on a case study of Danish extraction planning, and it was found to generate new...... knowledge for decision support. The procedure enabled identification of key systemic impacts, as well as it enabled formulation of recommendations for how to address these impacts in planning processes. On a more general level, this article demonstrates an application of LCA which until now has received...

  20. 42 CFR 417.155 - How the HMO option must be included in the health benefits plan.

    Science.gov (United States)

    2010-10-01

    ... printed materials that meet the requirements of § 417.124(b). (ii) Access may not be more restrictive or... benefits plan. 417.155 Section 417.155 Public Health CENTERS FOR MEDICARE & MEDICAID SERVICES, DEPARTMENT... Organizations in Employee Health Benefits Plans § 417.155 How the HMO option must be included in the health...

  1. Thermo-electric analysis of the interconnection of the LHC main superconducting bus bars

    Science.gov (United States)

    Granieri, P. P.; Breschi, M.; Casali, M.; Bottura, L.; Siemko, A.

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering the magnets. We determine the dependence of the critical defect length on different parameters as the heat transfer towards the cooling helium bath, the quality of manufacturing, the operating conditions and the protection system parameters, and discuss the relevant mechanisms.

  2. Planning for large-scale accidents: learning from the Three Mile Island accident

    International Nuclear Information System (INIS)

    Fischer, D.W.

    1981-01-01

    Decision-making issues raised at the Three Mile Island nuclear accident in Pennsylvania are explored. The organizations involved, their interconnections, and decisions are described. The underlying issues bearing on allocation of effort to pre-accident planning and actual accident responses are also noted. Finally, a framework from this effort is used for guiding the planning of operations for future accidents. (author)

  3. Integrated optoelectronic materials and circuits for optical interconnects

    International Nuclear Information System (INIS)

    Hutcheson, L.D.

    1988-01-01

    Conventional interconnect and switching technology is rapidly becoming a critical issue in the realization of systems using high speed silicon and GaAs based technologies. In recent years clock speeds and on-chip density for VLSI/VHSIC technology has made packaging these high speed chips extremely difficult. A strong case can be made for using optical interconnects for on-chip/on-wafer, chip-to-chip and board-to-board high speed communications. GaAs integrated optoelectronic circuits (IOC's) are being developed in a number of laboratories for performing Input/Output functions at all levels. In this paper integrated optoelectronic materials, electronics and optoelectronic devices are presented. IOC's are examined from the standpoint of what it takes to fabricate the devices and what performance can be expected

  4. Individual pore and interconnection size analysis of macroporous ceramic scaffolds using high-resolution X-ray tomography

    Energy Technology Data Exchange (ETDEWEB)

    Jerban, Saeed, E-mail: saeed.jerban@usherbrooke.ca; Elkoun, Saïd, E-mail: Said.Elkoun@usherbrooke.ca

    2016-08-15

    The pore interconnection size of β-tricalcium phosphate scaffolds plays an essential role in the bone repair process. Although, the μCT technique is widely used in the biomaterial community, it is rarely used to measure the interconnection size because of the lack of algorithms. In addition, discrete nature of the μCT introduces large systematic errors due to the convex geometry of interconnections. We proposed, verified and validated a novel pore-level algorithm to accurately characterize the individual pores and interconnections. Specifically, pores and interconnections were isolated, labeled, and individually analyzed with high accuracy. The technique was verified thoroughly by visually inspecting and verifying over 3474 properties of randomly selected pores. This extensive verification process has passed a one-percent accuracy criterion. Scanning errors inherent in the discretization, which lead to both dummy and significantly overestimated interconnections, have been examined using computer-based simulations and additional high-resolution scanning. Then accurate correction charts were developed and used to reduce the scanning errors. Only after the corrections, both the μCT and SEM-based results converged, and the novel algorithm was validated. Material scientists with access to all geometrical properties of individual pores and interconnections, using the novel algorithm, will have a more-detailed and accurate description of the substitute architecture and a potentially deeper understanding of the link between the geometric and biological interaction. - Highlights: •An algorithm is developed to analyze individually all pores and interconnections. •After pore isolating, the discretization errors in interconnections were corrected. •Dummy interconnections and overestimated sizes were due to thin material walls. •The isolating algorithm was verified through visual inspection (99% accurate). •After correcting for the systematic errors, algorithm was

  5. 41 CFR 102-37.150 - What must a State legislature include in the plan?

    Science.gov (United States)

    2010-07-01

    ... set forth in Appendix B of this part. It may also include in the plan other provisions not inconsistent with the purposes of title 40 of the United States Code and the requirements of this part. [67 FR...

  6. 75 FR 6020 - Electrical Interconnection of the Lower Snake River Wind Energy Project

    Science.gov (United States)

    2010-02-05

    ... DEPARTMENT OF ENERGY Bonneville Power Administration Electrical Interconnection of the Lower Snake River Wind Energy Project AGENCY: Bonneville Power Administration (BPA), Department of Energy (DOE... (BPA) has decided to offer Puget Sound Energy Inc., a Large Generator Interconnection Agreement for...

  7. Determining optimal interconnection capacity on the basis of hourly demand and supply functions of electricity

    International Nuclear Information System (INIS)

    Keppler, Jan Horst; Meunier, William; Coquentin, Alexandre

    2017-01-01

    Interconnections for cross-border electricity flows are at the heart of the project to create a common European electricity market. At the time, increase in production from variable renewables clustered during a limited numbers of hours reduces the availability of existing transport infrastructures. This calls for higher levels of optimal interconnection capacity than in the past. In complement to existing scenario-building exercises such as the TYNDP that respond to the challenge of determining optimal levels of infrastructure provision, the present paper proposes a new empirically-based methodology to perform Cost-Benefit analysis for the determination of optimal interconnection capacity, using as an example the French-German cross-border trade. Using a very fine dataset of hourly supply and demand curves (aggregated auction curves) for the year 2014 from the EPEX Spot market, it constructs linearized net export (NEC) and net import demand curves (NIDC) for both countries. This allows assessing hour by hour the welfare impacts for incremental increases in interconnection capacity. Summing these welfare increases over the 8 760 hours of the year, this provides the annual total for each step increase of interconnection capacity. Confronting welfare benefits with the annual cost of augmenting interconnection capacity indicated the socially optimal increase in interconnection capacity between France and Germany on the basis of empirical market micro-data. (authors)

  8. Systems theory of interconnected port contact systems

    NARCIS (Netherlands)

    Eberard, D.; Maschke, B.M.; Schaft, A.J. van der

    2005-01-01

    Port-based network modeling of a large class of complex physical systems leads to dynamical systems known as port-Hamiltonian systems. The key ingredient of any port-Hamiltonian system is a power-conserving interconnection structure (mathematically formalized by the geometric notion of a Dirac

  9. Ultra-precision fabrication of high density micro-optical backbone interconnections for data center and mobile application

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Wagner, T.; Werner, C.

    2012-10-01

    A microoptical 3D interconnection scheme and fabricated samples of this fiberoptical multi-channel interconnec- tion with an actual capacity of 144 channels were shown. Additionally the aspects of micrometer-fabrication of such microoptical interconnection modules in the view of alignment-tolerances were considered. For the realiza- tion of the interconnection schemes, the approach of planar-integrated free space optics (PIFSO) is used with its well known advantages. This approach offers the potential for complex interconnectivity, and yet compact size.

  10. Report on technological survey in fiscal 1999. Demonstration test for smoothing grid interconnection (Collection of information by surveys in overseas countries); 1999 nendo keito renkei enkatsuka jissho shiken chosa hokokusho. Kaigai chosa ni yoru joho shushu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Surveys were performed on the institutional aspects of establishment and operation of grid interconnection guidelines in the countries advanced in introduction of discrete power supply systems. The survey items for America include: (1) summary of the status related to grid interconnection, (2) grid interconnection process, (3) methods for paying expenses for increasing power transmission facilities by means of grid interconnection, (4) dispute processing, (5) information release, and (6) software. The survey items for England, Germany, and France include: (1) summary of electricity business, (2) regulation patterns in electricity business, (3) summary of grid operating organizations, (4) connection to grid interconnection systems, and (5) the future liberalization programs. America is establishing standards for grid interconnection in discrete power supplies including photovoltaic power generation and energy storage under SCC21 of IEEE, whose conclusion will be drawn in the end of 2000. The Energy Department has an intention to give the standards the legal bases to operate them under unified requirements. Germany, England and France have all established standards for operating the grid interconnection. Market liberalization for electric power retailing is advancing in the order of America, England, Germany, and France. (NEDO)

  11. Overvoltages related to distributed generation-power system interconnection transformer

    Energy Technology Data Exchange (ETDEWEB)

    Zamanillo, G.R.; Gomez, J.C.; Florena, E.F. [Rio Cuarto National University (IPSEP/UNRC), Cordoba (Argentina). Electric Power Systems Protection Institute], Email: jcgomez@ing.unrc.edu.ar

    2009-07-01

    The energy crisis that experiences the world drives to carry to an extreme, the use of all energy sources which are available. The sources need to be connected to the electric network in their next point, requiring of electric-electronic interfaces. The traditional electric power systems are changing their characteristics, in what concerns to structure, operation and on overvoltage generation. This change is not taking place in coordinated form among the involved sectors. The interconnection of a Distributed Generator (DG) directly with the power system is objectionable and risky. It is required of an interconnection transformer which performs several functions. Rigid specifications do not exist in this respect, for the variety of systems in use in the world, nevertheless there are utilities recommendations. Overvoltages caused by the DG, which arise due to the change of structure of the electric system, are explained. The transformer connection selection, presents positive and negative aspects that impact the utility and the user in a different or many times in an antagonistic way. The phenomenon of balanced and unbalanced ferroresonance overvoltage is studied. This phenomenon can takes place when using DG, either with synchronous or asynchronous generator, and for any type of connection of the transformer. The necessary conditions so that the phenomenon appears are presented. Eight interconnection transformer connection ways were studied. It is concluded that the solutions to reach by means of the employment of the DG, offer technical-economic advantages so much to the utility as to the user. It is also concluded in this work that the more advisable interconnection type is function of the system connection type. (author)

  12. Investigation and experimental validation of the contribution of optical interconnects in the SYMPHONIE massively parallel computer

    International Nuclear Information System (INIS)

    Scheer, Patrick

    1998-01-01

    Progress in microelectronics lead to electronic circuits which are increasingly integrated, with an operating frequency and an inputs/outputs count larger than the ones supported by printed circuit board and back-plane technologies. As a result, distributed systems with several boards cannot fully exploit the performance of integrated circuits. In synchronous parallel computers, the situation is worsen since the overall system performances rely on the efficiency of electrical interconnects between the integrated circuits which include the processing elements (PE). The study of a real parallel computer named SYMPHONIE shows for instance that the system operating frequency is far smaller than the capabilities of the microelectronics technology used for the PE implementation. Optical interconnections may cancel these limitations by providing more efficient connections between the PE. Especially, free-space optical interconnections based on vertical-cavity surface-emitting lasers (VCSEL), micro-lens and PIN photodiodes are compatible with the required features of the PE communications. Zero bias modulation of VCSEL with CMOS-compatible digital signals is studied and experimentally demonstrated. A model of the propagation of truncated gaussian beams through micro-lenses is developed. It is then used to optimise the geometry of the detection areas. A dedicated mechanical system is also proposed and implemented for integrating free-space optical interconnects in a standard electronic environment, representative of the one of parallel computer systems. A specially designed demonstrator provides the experimental validation of the above physical concepts. (author) [fr

  13. Smart Antenna Skins, including Conformal Array, MMICs and Applications

    NARCIS (Netherlands)

    Bogaart, F.L.M. van den

    2000-01-01

    Low-cost technologies are presented for future space-borne and airborne SAR systems. These technologies include state-of-the art highly integrated circuits to miniaturise front-end, solutions to lower-cost interconnection technologies, new beamforming aspects and new architectures. The MMICs address

  14. Planning manual for utility application of WECS

    Energy Technology Data Exchange (ETDEWEB)

    Park, G.L. (ed.)

    1979-06-01

    A two-part approach for evaluating the feasibility of wind electric conversion systems (WECS) for utility application is presented and explained. Assessment of wind energy potential, sites, interconnection, and capital and production costing is included. The first part is a brief preliminary procedure used to determine whether the expense and effort of a detailed investigation is justified. The preliminary procedure requires assumptions which limit its accuracy. If the result indicates that WECS have probable potential for a specific utility application, then a set of modifications to detailed conventional planning procedures is developed. The modifications include discussion of wind velocity estimation and effects of site features on wind velocity, institutional problems, siting, choosing generation cases with WECS, reliability considerations, production costing, and operating problems. Appendices include an annotated bibliography, wind measurement methods, procedure for estimating WECS capacity factor, and a method for generating correlated wind velocity samples for use in production cost programs.

  15. Natural gas and electrical interconnections in the Mediterranean Basin

    International Nuclear Information System (INIS)

    Grenon, M.

    1992-01-01

    Intermediate and long term socio-economical and energetic scenarios have shown that mediterranean basin countries will know a great growth of energy demand, particularly power demand. The first part of this paper describes the main projects for the establishment of interconnected natural gas systems through Mediterranean sea, by pipelines (Algeria-Tunisia-Libya project, Algeria-Morocco-Spain project, Libya-Italy project). The second part describes the main projects of electrical networks with the establishment of undersea links between Spain and Morocco, and between Italy and Tunisia; beefing up the interconnections between the North African countries; and developing ties in the Near East (from Egypt to Turkey)

  16. Strategic Planning and Decision Analysis: Presentation of the COSIMA Software System

    DEFF Research Database (Denmark)

    This paper presents a composite decision support system, COSIMA, programmed in MS Excel. COSIMA provides assistance to the decision maker as concerns complex decisions and strategic planning. The COSIMA software is designed as interconnected modules which make it possible to conduct Cost-Benefit...

  17. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... poor by sharing innovations, best practices and indigenous knowledge using ... A third thematic network - on knowledge management strategies - will play an ...

  18. Security analysis of interconnected AC/DC systems

    DEFF Research Database (Denmark)

    Eriksson, Robert

    2015-01-01

    This paper analyses N-1 security in an interconnected ac/dc transmission system using power transfer distribution factors (PTDFs). In the case of a dc converter outage the power needs to be redistributed among the remaining converter to maintain power balance and operation of the dc grid...... any line or transformer limits. Simulations were performed in a model of the Nordic power system where a dc grid is placed on top. The simulation supports the method as a tool to consider transfer limits in the grid to avoid violate the same and increase the security after a converter outage........ The redistribution of power has a sudden effect on the power-flow in the interconnected ac system. This may cause overloading of lines and transformers resulting in disconnection of equipment, and as a consequence cascading failure. The PTDF is used as a method to analyze and avoid violating limits by in the dc...

  19. Minimum short-circuit ratios for grid interconnection of wind farms with induction generators

    Energy Technology Data Exchange (ETDEWEB)

    Reginatto, Romeu; Rocha, Carlos [Western Parana State University (UNIOESTE), Foz do Iguacu, PR (Brazil). Center for Engineering and Exact Sciences], Emails: romeu@unioeste.br, croberto@unioeste.br

    2009-07-01

    This paper concerns the problem of determining the minimum value for the short-circuit ratio which is adequate for the interconnection of a given wind farms to a given grid point. First, a set of 3 criteria is defined in order to characterize the quality/safety of the interconnection: acceptable terminal voltage variations, a minimum active power margin, and an acceptable range for the internal voltage angle. Then, the minimum short circuit ratio requirement is determined for 6 different induction generator based wind turbines, both fixed-speed (with and without reactive power compensation) and variable-speed (with the following control policies: reactive power, power factor, and terminal voltage regulation). The minimum short-circuit ratio is determined and shown in graphical results for the 6 wind turbines considered, for X/R in the range 0-15, also analyzing the effect of more/less stringent tolerances for the interconnection criteria. It is observed that the tighter the tolerances the larger the minimum short-circuit ratio required. For the same tolerances in the interconnection criteria, a comparison of the minimum short circuit ratio required for the interconnection of both squirrel-cage and doubly-fed induction generators is presented, showing that the last requires much smaller values for the short-circuit ratio. (author)

  20. The GIOD Project-Globally Interconnected Object Databases

    CERN Document Server

    Bunn, J J; Newman, H B; Wilkinson, R P

    2001-01-01

    The GIOD (Globally Interconnected Object Databases) Project, a joint effort between Caltech and CERN, funded by Hewlett Packard Corporation, has investigated the use of WAN-distributed Object Databases and Mass Storage systems for LHC data. A prototype small- scale LHC data analysis center has been constructed using computing resources at Caltechs Centre for advanced Computing Research (CACR). These resources include a 256 CPU HP Exemplar of ~4600 SPECfp95, a 600 TByte High Performance Storage System (HPSS), and local/wide area links based on OC3 ATM. Using the exemplar, a large number of fully simulated CMS events were produced, and used to populate an object database with a complete schema for raw, reconstructed and analysis objects. The reconstruction software used for this task was based on early codes developed in preparation for the current CMS reconstruction program, ORCA. (6 refs).

  1. Report on the use of the French-German interconnection in 2006

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2007-07-01

    For years, most of the European electricity interconnections were managed using administrative mechanisms (priority lists or pro-rata), and a priority of access was granted to long-term contracts between incumbent operators. With the liberalization process, cross-border power flows tended to increase, and congestions occurred more frequently, calling for efficient congestion management methods. Furthermore, the European Regulation 1228/2003 on conditions for access to the network for cross-border exchanges in electricity came into force. Among other issues, it stipulated that 'network congestion problems shall be addressed with non-discriminatory market based solutions which give efficient economic signals to the market participants and transmission system operators involved'. In other words, cross-border capacity auctions had to be implemented. Due to repeated critical situations in December 2004 the past pro-rata procedure used for Germany to France exports was replaced as from 5 April 2005 by the introduction of a one-sided explicit auction for the day-ahead capacities by RWE Transportnetz Strom GmbH and EnBW Transportnetze AG. In addition the capacities have been auctioned quarterly and monthly since 1 July 2005. During the summer of 2005, the Federal Network Agency and the Commission de Regulation de l'energie (CRE) set the priority for further developing the auctions in 2006 by having a coordinated congestion management mechanism for both directions. The general principles of the auction design were publicly consulted by the regulators together with further questions on cross-border exchanges in the autumn of 2005. The market participants' statements were assessed by the regulatory authorities and considered through the development of a common Road-map for the congestion management methods at the French-German border, published on 3 November 2005. In addition to the implementation of explicit auctions, this road-map included the

  2. Report on the use of the French-German interconnection in 2006

    International Nuclear Information System (INIS)

    2007-01-01

    For years, most of the European electricity interconnections were managed using administrative mechanisms (priority lists or pro-rata), and a priority of access was granted to long-term contracts between incumbent operators. With the liberalization process, cross-border power flows tended to increase, and congestions occurred more frequently, calling for efficient congestion management methods. Furthermore, the European Regulation 1228/2003 on conditions for access to the network for cross-border exchanges in electricity came into force. Among other issues, it stipulated that 'network congestion problems shall be addressed with non-discriminatory market based solutions which give efficient economic signals to the market participants and transmission system operators involved'. In other words, cross-border capacity auctions had to be implemented. Due to repeated critical situations in December 2004 the past pro-rata procedure used for Germany to France exports was replaced as from 5 April 2005 by the introduction of a one-sided explicit auction for the day-ahead capacities by RWE Transportnetz Strom GmbH and EnBW Transportnetze AG. In addition the capacities have been auctioned quarterly and monthly since 1 July 2005. During the summer of 2005, the Federal Network Agency and the Commission de Regulation de l'energie (CRE) set the priority for further developing the auctions in 2006 by having a coordinated congestion management mechanism for both directions. The general principles of the auction design were publicly consulted by the regulators together with further questions on cross-border exchanges in the autumn of 2005. The market participants' statements were assessed by the regulatory authorities and considered through the development of a common Road-map for the congestion management methods at the French-German border, published on 3 November 2005. In addition to the implementation of explicit auctions, this road-map included the establishment of a secondary

  3. Thermoelectric Coolers with Sintered Silver Interconnects

    Science.gov (United States)

    Kähler, Julian; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2014-06-01

    The fabrication and performance of a sintered Peltier cooler (SPC) based on bismuth telluride with sintered silver interconnects are described. Miniature SPC modules with a footprint of 20 mm2 were assembled using pick-and-place pressure-assisted silver sintering at low pressure (5.5 N/mm2) and moderate temperature (250°C to 270°C). A modified flip-chip bonder combined with screen/stencil printing for paste transfer was used for the pick-and-place process, enabling high positioning accuracy, easy handling of the tiny bismuth telluride pellets, and immediate visual process control. A specific contact resistance of (1.4 ± 0.1) × 10-5 Ω cm2 was found, which is in the range of values reported for high-temperature solder interconnects of bismuth telluride pellets. The realized SPCs were evaluated from room temperature to 300°C, considerably outperforming the operating temperature range of standard commercial Peltier coolers. Temperature cycling capability was investigated from 100°C to 235°C over more than 200 h, i.e., 850 cycles, during which no degradation of module resistance or cooling performance occurred.

  4. 78 FR 73239 - Small Generator Interconnection Agreements and Procedures

    Science.gov (United States)

    2013-12-05

    ... Electronics Engineers (IEEE) Standard 1547 for Interconnecting Distributed Resources with Electric Power... discriminatory manner.\\38\\ \\37\\ The Electricity Consumers Resource Council, American Chemistry Council, American...

  5. Study of complete interconnect reliability for a GaAs MMIC power amplifier

    Science.gov (United States)

    Lin, Qian; Wu, Haifeng; Chen, Shan-ji; Jia, Guoqing; Jiang, Wei; Chen, Chao

    2018-05-01

    By combining the finite element analysis (FEA) and artificial neural network (ANN) technique, the complete prediction of interconnect reliability for a monolithic microwave integrated circuit (MMIC) power amplifier (PA) at the both of direct current (DC) and alternating current (AC) operation conditions is achieved effectively in this article. As a example, a MMIC PA is modelled to study the electromigration failure of interconnect. This is the first time to study the interconnect reliability for an MMIC PA at the conditions of DC and AC operation simultaneously. By training the data from FEA, a high accuracy ANN model for PA reliability is constructed. Then, basing on the reliability database which is obtained from the ANN model, it can give important guidance for improving the reliability design for IC.

  6. Electric power grid interconnection in Northeast Asia

    International Nuclear Information System (INIS)

    Yun, Won-Cheol; Zhang, Zhong Xiang

    2006-01-01

    In spite of regional closeness, energy cooperation in Northeast Asia has remained unexplored. However, this situation appears to be changing. The government of South Korea seems to be very enthusiastic for power grid interconnection between the Russian Far East and South Korea to overcome difficulties in finding new sites for building power facilities to meet its need for increased electricity supplies. This paper analyzes the feasibility of this electric power grid interconnection route. The issues addressed include electricity market structures; the prospects for electric power industry restructuring in the Russian Federation and South Korea; the political issues related to North Korea; the challenges for the governments involved and the obstacles anticipated in moving this project forward; project financing and the roles and concerns from multilateral and regional banks; and institutional framework for energy cooperation. While there are many technical issues that need to be resolved, we think that the great challenge lies in the financing of this commercial project. Thus, the governments of the Russian Federation and South Korea involved in the project need to foster the development of their internal capital markets and to create confidence with international investors. To this end, on energy side, this involves defining a clear energy policy implemented by independent regulators, speeding up the already started but delayed reform process of restructuring electric power industry and markets, and establishing a fair and transparent dispute resolution mechanism in order to reduce non-commercial risks to a minimum. The paper argues that establishing a framework for energy cooperation in this region will contribute positively towards that end, although views differ regarding its specific form. Finally, given that North Korea has a crucial transit role to play and faces a very unstable political situation, it is concluded that moving the project forward needs to be

  7. Viewing Integrated-Circuit Interconnections By SEM

    Science.gov (United States)

    Lawton, Russel A.; Gauldin, Robert E.; Ruiz, Ronald P.

    1990-01-01

    Back-scattering of energetic electrons reveals hidden metal layers. Experiment shows that with suitable operating adjustments, scanning electron microscopy (SEM) used to look for defects in aluminum interconnections in integrated circuits. Enables monitoring, in situ, of changes in defects caused by changes in temperature. Gives truer picture of defects, as etching can change stress field of metal-and-passivation pattern, causing changes in defects.

  8. SDN Data Center Performance Evaluation of Torus and Hypercube Interconnecting Schemes

    DEFF Research Database (Denmark)

    Andrus, Bogdan-Mihai; Vegas Olmos, Juan José; Mehmeri, Victor

    2015-01-01

    — By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures......— By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures...

  9. Preliminary investigation of interconnected systems interactions for the safety injection system of Indian Point-3

    International Nuclear Information System (INIS)

    Alesso, H.P.; Lappa, D.A.; Smith, C.F.; Sacks, I.J.

    1983-01-01

    The rich diversity of ideas and techniques for analyzing interconnected systems interaction has presented the NRC with the problem of identifying methods appropriate for their own review and audit. This report presents the findings of a preliminary study using the Digraph Matrix Analysis method to evaluate interconnected systems interactions for the safety injection system of Indian Point-3. The analysis effort in this study was subjected to NRC constraints regarding the use of Boolean logic, the construction of simplified plant representations or maps, and the development of heuristic measures as specified by the NRC. The map and heuristic measures were found to be an unsuccessful approach. However, from the effort to model and analyze the Indian Point-3 safety injection system, including Boolean logic in the model, singleton and doubleton cut-sets were identified. It is recommended that efforts excluding Boolean logic and utilizing the NRC heuristic measures not be pursed further and that the Digraph Matrix approach (or other comparable risk assessment technique) with Boolean logic included to conduct the audit of the Indian Point-3 systems interaction study

  10. TEM sample preparation by FIB for carbon nanotube interconnects

    International Nuclear Information System (INIS)

    Ke, Xiaoxing; Bals, Sara; Romo Negreira, Ainhoa; Hantschel, Thomas; Bender, Hugo; Van Tendeloo, Gustaaf

    2009-01-01

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  11. TEM sample preparation by FIB for carbon nanotube interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Ke, Xiaoxing, E-mail: xiaoxing.ke@ua.ac.be [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Bals, Sara [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Romo Negreira, Ainhoa [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Metallurgy and Materials Engineering Department, KU Leuven, Kasteelpark Arenberg 44, Leuven B-3001 (Belgium); Hantschel, Thomas; Bender, Hugo [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Van Tendeloo, Gustaaf [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium)

    2009-10-15

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  12. Conductive polymer/metal composites for interconnect of flexible devices

    Science.gov (United States)

    Kawakita, Jin; Hashimoto Shinoda, Yasuo; Shuto, Takanori; Chikyow, Toyohiro

    2015-06-01

    An interconnect of flexible and foldable devices based on advanced electronics requires high electrical conductivity, flexibility, adhesiveness on a plastic substrate, and efficient productivity. In this study, we investigated the applicability of a conductive polymer/metal composite to the interconnect of flexible devices. By combining an inkjet process and a photochemical reaction, micropatterns of a polypyrrole/silver composite were formed on flexible plastic substrates with an average linewidth of approximately 70 µm within 10 min. The conductivity of the composite was improved to 6.0 × 102 Ω-1·cm-1. From these results, it is expected that the conducting polymer/metal composite can be applied to the microwiring of flexible electronic devices.

  13. Ultra-stretchable Interconnects for high-density stretchable electronics

    NARCIS (Netherlands)

    Shafqat, S.; Hoefnagels, J.P.M.; Savov, A.; Joshi, S.; Dekker, R.; Geers, M.G.D.

    2017-01-01

    The exciting field of stretchable electronics (SE) promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for

  14. Power System Study for Renewable Energy Interconnection in Malaysia

    International Nuclear Information System (INIS)

    Askar, O F; Ramachandaramurthy, V K

    2013-01-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  15. Power System Study for Renewable Energy Interconnection in Malaysia

    Science.gov (United States)

    Askar, O. F.; Ramachandaramurthy, V. K.

    2013-06-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  16. Linking customer satisfaction, quality, and strategic planning

    OpenAIRE

    Reis, Dayr; Peña, Leticia

    2000-01-01

    By acknowledging and dissecting the interconnected roles of customer satisfaction, quality, and strategic planning, this paper provides an analytical framework for creating a customer-driven organization and culture. It shows how quality starts and ends with the customer. Companies that are achieving long-term continuous improvement in quality tailored to customer satisfaction possess lasting characteristics such as customer orientation, customer consciousness, and customer responsiveness. In...

  17. Microgrids and distributed generation systems: Control, operation, coordination and planning

    Science.gov (United States)

    Che, Liang

    Distributed Energy Resources (DERs) which include distributed generations (DGs), distributed energy storage systems, and adjustable loads are key components in microgrid operations. A microgrid is a small electric power system integrated with on-site DERs to serve all or some portion of the local load and connected to the utility grid through the point of common coupling (PCC). Microgrids can operate in both grid-connected mode and island mode. The structure and components of hierarchical control for a microgrid at Illinois Institute of Technology (IIT) are discussed and analyzed. Case studies would address the reliable and economic operation of IIT microgrid. The simulation results of IIT microgrid operation demonstrate that the hierarchical control and the coordination strategy of distributed energy resources (DERs) is an effective way of optimizing the economic operation and the reliability of microgrids. The benefits and challenges of DC microgrids are addressed with a DC model for the IIT microgrid. We presented the hierarchical control strategy including the primary, secondary, and tertiary controls for economic operation and the resilience of a DC microgrid. The simulation results verify that the proposed coordinated strategy is an effective way of ensuring the resilient response of DC microgrids to emergencies and optimizing their economic operation at steady state. The concept and prototype of a community microgrid that interconnecting multiple microgrids in a community are proposed. Two works are conducted. For the coordination, novel three-level hierarchical coordination strategy to coordinate the optimal power exchanges among neighboring microgrids is proposed. For the planning, a multi-microgrid interconnection planning framework using probabilistic minimal cut-set (MCS) based iterative methodology is proposed for enhancing the economic, resilience, and reliability signals in multi-microgrid operations. The implementation of high-reliability microgrids

  18. Lateral buckling and mechanical stretchability of fractal interconnects partially bonded onto an elastomeric substrate

    International Nuclear Information System (INIS)

    Fu, Haoran; Xu, Sheng; Rogers, John A.; Xu, Renxiao; Huang, Yonggang; Jiang, Jianqun; Zhang, Yihui

    2015-01-01

    Fractal-inspired designs for interconnects that join rigid, functional devices can ensure mechanical integrity in stretchable electronic systems under extreme deformations. The bonding configuration of such interconnects with the elastomer substrate is crucial to the resulting deformation modes, and therefore the stretchability of the entire system. In this study, both theoretical and experimental analyses are performed for postbuckling of fractal serpentine interconnects partially bonded to the substrate. The deformation behaviors and the elastic stretchability of such systems are systematically explored, and compared to counterparts that are not bonded at all to the substrate

  19. Environmental benefits of electricity grid interconnections in Northeast Asia

    International Nuclear Information System (INIS)

    Streets, D.G.

    2003-01-01

    From an environmental perspective, electricity grid interconnections in Northeast Asia make sense. Cities in Northeast China, Mongolia, the Democratic People's Republic of Korea (DPRK), and the Republic of Korea (ROK) suffer from poor air quality due to the extensive use of coal-fired power generation. Rural communities suffer from a deficit of electricity, forcing reliance on coal and biofuels for cooking and heating in the home, which causes health-damaging indoor air pollution. Regional air pollution from acid rain and ozone is widespread. In addition, Japan is finding it hard to meet its commitment under the Kyoto Protocol. Yet, just across their borders in far eastern Russia are extensive, clean energy resources: hydroelectricity and natural gas, and (potentially) nuclear power and tidal power. It would be environmentally beneficial to generate electricity cleanly in far eastern Russia and transmit the electricity across the borders into China, Mongolia, the DPRK, the ROK, and Japan, thereby displacing coal-fired electricity generation. We estimate that currently planned projects could alleviate the problems of two to five Chinese cities, with the potential for much larger benefits in the future. (author)

  20. Experimental demonstration of titanium nitride plasmonic interconnects

    DEFF Research Database (Denmark)

    Kinsey, N.; Ferrera, M.; Naik, G. V.

    2014-01-01

    An insulator-metal-insulator plasmonic interconnect using TiN, a CMOS-compatible material, is proposed and investigated experimentally at the telecommunication wavelength of 1.55 mu m. The TiN waveguide was shown to obtain propagation losses less than 0.8 dB/mm with a mode size of 9.8 mu m...

  1. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... and indigenous knowledge using information and communication technologies (ICTs) ... for research proposals on the aforementioned topics, action-research projects, ... Evaluating knowledge-sharing methods to improve land utilization and ...

  2. Interconnection France-England; Interconnexion France-Angleterre

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-07-01

    These documents defines the interconnection France-England rules for the 2000 MW DC submarine cable directly linking the transmission networks of England and Wales and France. Rights to use Interconnector capacity from 1 April 2001 are to be offered through competitive tenders and auctions, full details of which are set out in the Rules. The contract and a guide to the application form are provided. (A.L.B.)

  3. Production and characterisation of SLID interconnected n-in-p pixel modules with 75 μm thin silicon sensors

    CERN Document Server

    Andricek, L; Macchiolo, A; Moser, H.G; Nisius, R; Richter, R.H; Terzo, S; Weigell, P

    2014-01-01

    sensors of 75 μm thickness are covered. The mechanical features discussed include the interconnection efficiency, alignment precision and mechanical strength. The electrical properties comprise the leakage currents, tuning characteristics, charge collection, cluster sizes and hit efficiencies. Targeting at a ...

  4. Cross-border electricity exchanges. Use and management of interconnections in 2012

    International Nuclear Information System (INIS)

    2013-06-01

    This report provides an overview of the use and management of interconnections between the French power transmission system and those in its border countries. This report measures the effectiveness of existing mechanisms and intends to provide an overview of the role of interconnections in the European Electricity Market and the manner in which they are actually used. Furthermore, this document provides an opportunity to reiterate recent and upcoming progress towards the implementation of target-models. Part 1 presents a set of indicators used to provide a general overview of capacities available on the market, the manner in which they are acquired and used by market players and the consequences of this use in terms of congestion income. Part 2 sets out a more detailed approach for each capacity acquisition time frame. It highlights the differences between mechanisms at each of the French interconnections and, more importantly, confirms the relevance of the target-models

  5. LaCrO{sub 3}-dispersed Cr for metallic interconnect of planar SOFC

    Energy Technology Data Exchange (ETDEWEB)

    Song, Rak-Hyun; Shin, Dong Ryul [Korea Institute of Energy Research, Taejon (Korea, Republic of); Dokiya, Masayuki [National Institute of Materials and Chemical Research, Ibaraki (Japan)

    1996-12-31

    In the planar SOFC, the interconnect materials plays two roles as an electrical connection and as a gas separation plate in a cell stack. The interconnect materials must be chemically stable in reducing and oxidizing environments, and have high electronic conductivity, high thermal conductivity, matching thermal expansion with an electrolyte, high mechanical strength, good fabricability, and gas tightness. Lanthanum chromite so far has been mainly used as interconnect materials in planar SOFC. However, the ceramic materials are very weak in mechanical strength and have poor machining property as compared with metal. Also the metallic materials have high electronic conductivity and high thermal conductivity. Recently some researchers have studied metallic interconnects such as Al{sub 2}O{sub 3}/Inconel 600 cermet, Ni-20Cr coated with (LaSr)CoO{sub 3}, and Y{sub 2}O{sub 3-} or La{sub 2}O{sub 3}-dispersed Cr alloy. These alloys have still some problems because Ni-based alloys have high thermal expansion, the added Al{sub 2}O{sub 3}, Y{sub 2}O{sub 3} and La{sub 2}O{sub 3} to metals have no electronic conductivity, and the oxide formed on the surface of Cr alloy has high volatility. To solve these problems, in this study, LaCrO{sub 3}-dispersed Cr for metallic interconnect of planar SOFC was investigated. The LaCrO{sub 3}-dispersed Cr can be one candidate of metallic interconnect because LaCrO{sub 3} possesses electronic conductivity and Cr metal has relatively low thermal expansion. The content of 25 vol.% LaCrO{sub 3} Was selected on the basis of a theoretically calculated thermal expansion. The thermal expansion, electrical and oxidation properties were examined and the results were discussed as related to SOFC requirements.

  6. Integrated Circuit Interconnect Lines on Lossy Silicon Substrate with Finite Element Method

    OpenAIRE

    Sarhan M. Musa,; Matthew N. O. Sadiku

    2014-01-01

    The silicon substrate has a significant effect on the inductance parameter of a lossy interconnect line on integrated circuit. It is essential to take this into account in determining the transmission line electrical parameters. In this paper, a new quasi-TEM capacitance and inductance analysis of multiconductor multilayer interconnects is successfully demonstrated using finite element method (FEM). We specifically illustrate the electrostatic modeling of single and coupled in...

  7. Towards energy aware optical networks and interconnects

    Science.gov (United States)

    Glesk, Ivan; Osadola, Tolulope; Idris, Siti

    2013-10-01

    In a today's world, information technology has been identified as one of the major factors driving economic prosperity. Datacenters businesses have been growing significantly in the past few years. The equipments in these datacenters need to be efficiently connected to each other and also to the outside world in order to enable effective exchange of information. This is why there is need for highly scalable, energy savvy and reliable network connectivity infrastructure that is capable of accommodating the large volume of data being exchanged at any time within the datacenter network and the outside network in general. These devices that can ensure such effective connectivity currently require large amount of energy in order to meet up with these increasing demands. In this paper, an overview of works being done towards realizing energy aware optical networks and interconnects for datacenters is presented. Also an OCDMA approach is discussed as potential multiple access technique for future optical network interconnections. We also presented some challenges that might inhibit effective implementation of the OCDMA multiplexing scheme.

  8. Action plan for photovoltaic standards

    Energy Technology Data Exchange (ETDEWEB)

    Oldach, R.

    1999-07-01

    This report examines the present situation regarding international standards governing photovoltaic (PV) systems and components, and seeks to identify barriers to the commercialisation of PV systems in the UK due to the absence of standards and codes of practice, and develop an action plan to overcome these barriers. An overview of standardisation bodies and standard generation mechanisms is presented, and the PV cells and modules, stand-alone PV systems, utility interconnection with PV systems, and building integration of PV are reviewed.

  9. Coupling electromagnetic pulse-shaped waves into wire-like interconnection structures with a non-linear protection – Time domain calculations by the PEEC method

    Directory of Open Access Journals (Sweden)

    G. Wollenberg

    2004-01-01

    Full Text Available An interconnection system whose loads protected by a voltage suppressor and a low-pass filter against overvoltages caused by coupling pulse-shaped electromagnetic waves is analyzed. The external wave influencing the system is assumed as a plane wave with HPM form. The computation is provided by a full-wave PEEC model for the interconnection structure incorporated in the SPICE code. Thus, nonlinear elements of the protection circuit can be included in the calculation. The analysis shows intermodulation distortions and penetrations of low frequency interferences caused by intermodulations through the protection circuits. The example examined shows the necessity of using full-wave models for interconnections together with non-linear circuit solvers for simulation of noise immunity in systems protected by nonlinear devices.

  10. On Interconnections of Infinite-dimensional Port-Hamiltonian Systems

    NARCIS (Netherlands)

    Pasumarthy, Ramkrishna; Schaft, Arjan J. van der

    2004-01-01

    Network modeling of complex physical systems leads to a class of nonlinear systems called port-Hamiltonian systems, which are defined with respect to a Dirac structure (a geometric structure which formalizes the power-conserving interconnection structure of the system). A power conserving

  11. On interconnections of infinite-dimensional port-Hamiltonian systems

    NARCIS (Netherlands)

    Ramkrishna Pasumarthy, R.P.; van der Schaft, Arjan

    2004-01-01

    Network modeling of complex physical systems leads to a class of nonlinear systems called port-Hamiltonian systems, which are defined with respect to a Dirac structure (a geometric structure which formalizes the power-conserving interconnection structure of the system). A power conserving

  12. Optimal interconnect ATPG under a ground-bounce constraint

    NARCIS (Netherlands)

    Hollmann, H.D.L.; Marinissen, E.J.; Vermeulen, B.

    In order to prevent ground bounce, Automatic Test Pattern Generation (ATPG) algorithms for wire interconnects have recently been extended with the capability to restrict the maximal Hamming distance between any two consecutive test patterns to a user-defined integer, referred to as the

  13. A high-speed interconnect network using ternary logic

    DEFF Research Database (Denmark)

    Madsen, Jens Kargaard; Long, S. I.

    1995-01-01

    This paper describes the design and implementation of a high-speed interconnect network (ICN) for a multiprocessor system using ternary logic. By using ternary logic and a fast point-to-point communication technique called STARI (Self-Timed At Receiver's Input), the communication between...

  14. Essays on optimal capacity and optimal regulation of interconnection infrastructures

    Science.gov (United States)

    Boffa, Federico

    The integration between geographically differentiated markets or between vertically related industries generate effects on welfare that depend on the structure of the underlying markets. My thesis investigates the impact of geographical interconnection on welfare, and illustrates welfare-enhancing modes of regulation of vertically integrated industries and of geographically integrated markets. The first chapter analyzes the effects of interconnection between two formerly fully-separated markets under the assumptions that producers in the two markets are capacity-constrained, and tacitly collude whenever it is rational for them to do so. I find that there exists a set of assumptions under which interconnection brings about greater collusion, hence it reduces overall welfare. The second chapter analyzes the optimal interconnection capacity allocation mechanism for a benevolent electricity regulator when generation is not competitive. The regulator's intervention should not only ensure that interconnection capacity is efficiently allocated to the most efficient firms, but it should also induce a higher welfare in the upstream generation market. In a two-node setting, with one firm per node, I show that the regulatory intervention becomes more effective as the cost asymmetries between the two firms become more pronounced. The third chapter illustrates a regulation mechanism for vertically related industries. Ownership shares of the upstream industry (that displays economies of scale) are allocated to the downstream (competitive) firms in proportion to their shares in the final goods market. I show that the mechanism combines the benefits of vertical integration with those of vertical separation. The advantages of vertical integration consist in avoiding double marginalization, and in internalizing the reduction in average cost resulting from the upstream increase in output; on the other hand, vertical separation allows to preserve the competitiveness of the downstream

  15. Optical interconnect technologies for high-bandwidth ICT systems

    Science.gov (United States)

    Chujo, Norio; Takai, Toshiaki; Mizushima, Akiko; Arimoto, Hideo; Matsuoka, Yasunobu; Yamashita, Hiroki; Matsushima, Naoki

    2016-03-01

    The bandwidth of information and communication technology (ICT) systems is increasing and is predicted to reach more than 10 Tb/s. However, an electrical interconnect cannot achieve such bandwidth because of its density limits. To solve this problem, we propose two types of high-density optical fiber wiring for backplanes and circuit boards such as interface boards and switch boards. One type uses routed ribbon fiber in a circuit board because it has the ability to be formed into complex shapes to avoid interfering with the LSI and electrical components on the board. The backplane is required to exhibit high density and flexibility, so the second type uses loose fiber. We developed a 9.6-Tb/s optical interconnect demonstration system using embedded optical modules, optical backplane, and optical connector in a network apparatus chassis. We achieved 25-Gb/s transmission between FPGAs via the optical backplane.

  16. Three-dimensional interconnected nickel phosphide networks with hollow microstructures and desulfurization performance

    International Nuclear Information System (INIS)

    Zhang, Shuna; Zhang, Shujuan; Song, Limin; Wu, Xiaoqing; Fang, Sheng

    2014-01-01

    Graphical abstract: Three-dimensional interconnected nickel phosphide networks with hollow microstructures and desulfurization performance. - Highlights: • Three-dimensional Ni 2 P has been prepared using foam nickel as a template. • The microstructures interconnected and formed sponge-like porous networks. • Three-dimensional Ni 2 P shows superior hydrodesulfurization activity. - Abstract: Three-dimensional microstructured nickel phosphide (Ni 2 P) was fabricated by the reaction between foam nickel (Ni) and phosphorus red. The as-prepared Ni 2 P samples, as interconnected networks, maintained the original mesh structure of foamed nickel. The crystal structure and morphology of the as-synthesized Ni 2 P were characterized by X-ray diffraction, scanning electron microscopy, automatic mercury porosimetry and X-ray photoelectron spectroscopy. The SEM study showed adjacent hollow branches were mutually interconnected to form sponge-like networks. The investigation on pore structure provided detailed information for the hollow microstructures. The growth mechanism for the three-dimensionally structured Ni 2 P was postulated and discussed in detail. To investigate its catalytic properties, SiO 2 supported three-dimensional Ni 2 P was prepared successfully and evaluated for the hydrodesulfurization (HDS) of dibenzothiophene (DBT). DBT molecules were mostly hydrogenated and then desulfurized by Ni 2 P/SiO 2

  17. Load Frequency Control of AC Microgrid Interconnected Thermal Power System

    Science.gov (United States)

    Lal, Deepak Kumar; Barisal, Ajit Kumar

    2017-08-01

    In this paper, a microgrid (MG) power generation system is interconnected with a single area reheat thermal power system for load frequency control study. A new meta-heuristic optimization algorithm i.e. Moth-Flame Optimization (MFO) algorithm is applied to evaluate optimal gains of the fuzzy based proportional, integral and derivative (PID) controllers. The system dynamic performance is studied by comparing the results with MFO optimized classical PI/PID controllers. Also the system performance is investigated with fuzzy PID controller optimized by recently developed grey wolf optimizer (GWO) algorithm, which has proven its superiority over other previously developed algorithm in many interconnected power systems.

  18. Feasibility study on the interconnection of traction and other power line infrastructures; Machbarkeitsstudie zur Verknuepfung von Bahn- und Energieleitungsinfrastrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Hofmann, Lutz [Hannover Univ. (Germany). Inst. fuer Energieversorgung und Hochspannungstechnik; Stephan, Arnd [Technische Univ. Dresden (Germany). Professur Elektrische Bahnen; Weyer, Hartmut [Technische Univ. Clausthal, Clausthal-Zellerfeld (Germany). Inst. fuer Deutsches und Internationales Berg- und Energierecht

    2013-06-15

    It was the purpose of the feasibility study to find out if and to what extent the existing transmission route potentials of the 16.7 Hz traction power network (DB Energie GmbH) can be used for the installation of new transmission lines of the standard 50 Hz power supply system. Of importance is here the question if and in which way the integration of new three-phase or DC overhead lines or cable systems in existing traction power routes is technically feasible, and to what extent such interconnection offers a potential for the acceleration of the planning and approval processes. (orig.)

  19. Security challenges for cooperative and interconnected mobility systems

    NARCIS (Netherlands)

    Bijlsma, T.; Kievit, S. de; Sluis, H.J.D. van de; Nunen, E. van; Passchier, I.; Luiijf, H.A.M.

    2013-01-01

    Software is becoming an important part of the innovation for vehicles. In addition, the systems in vehicles become interconnected and also get external connections, to the internet and Vehicular Ad hoc NETworks (VANETs). These trends form a combined security and safety threat, because recent

  20. Scalability analysis methodology for passive optical interconnects in data center networks using PAM

    Science.gov (United States)

    Lin, R.; Szczerba, Krzysztof; Agrell, Erik; Wosinska, Lena; Tang, M.; Liu, D.; Chen, J.

    2017-11-01

    A framework is developed for modeling the fundamental impairments in optical datacenter interconnects, i.e., the power loss and the receiver noises. This framework makes it possible, to analyze the trade-offs between data rates, modulation order, and number of ports that can be supported in optical interconnect architectures, while guaranteeing that the required signal-to-noise ratios are satisfied. To the best of our knowledge, this important assessment methodology is not yet available. As a case study, the trade-offs are investigated for three coupler-based top-of-rack interconnect architectures, which suffer from serious insertion loss. The results show that using single-port transceivers with 10 GHz bandwidth, avalanche photodiode detectors, and quadratical pulse amplitude modulation, more than 500 ports can be supported.

  1. Analysis of drought impacts on electricity production in the Western and Texas interconnections of the United States.

    Energy Technology Data Exchange (ETDEWEB)

    Harto, C. B.; Yan, Y. E.; Demissie, Y. K.; Elcock, D.; Tidwell, V. C.; Hallett, K.; Macknick, J.; Wigmosta, M. S.; Tesfa, T. K. (Environmental Science Division); (Sandia National Laboratory); (National Renewable Energy Laboratory); (Pacific Northwest National Laboratory)

    2012-02-09

    Electricity generation relies heavily on water resources and their availability. To examine the interdependence of energy and water in the electricity context, the impacts of a severe drought to assess the risk posed by drought to electricity generation within the western and Texas interconnections has been examined. The historical drought patterns in the western United States were analyzed, and the risk posed by drought to electricity generation within the region was evaluated. The results of this effort will be used to develop scenarios for medium- and long-term transmission modeling and planning efforts by the Western Electricity Coordination Council (WECC) and the Electric Reliability Council of Texas (ERCOT). The study was performed in response to a request developed by the Western Governors Association in conjunction with the transmission modeling teams at the participating interconnections. It is part of a U.S. Department of Energy-sponsored, national laboratory-led research effort to develop tools related to the interdependency of energy and water as part of a larger interconnection-wide transmission planning project funded under the American Recovery and Reinvestment Act. This study accomplished three main objectives. It provided a thorough literature review of recent studies of drought and the potential implications for electricity generation. It analyzed historical drought patterns in the western United States and used the results to develop three design drought scenarios. Finally, it quantified the risk to electricity generation for each of eight basins for each of the three drought scenarios and considered the implications for transmission planning. Literature on drought impacts on electricity generation describes a number of examples where hydroelectric generation capacity has been limited because of drought but only a few examples of impact on thermoelectric generation. In all documented cases, shortfalls of generation were met by purchasing power

  2. Chapter 5. The strategic plans of the Company

    International Nuclear Information System (INIS)

    1998-01-01

    In the fifth chapter of this CD ROM the strategic plans of the Slovak Electric, Plc. (Slovenske elektrarne, a.s.), are presented. It consist of next paragraphs (1) The programme of strategic changes (Declaration of the programme; The need for change; Major tasks; The management structure; Interconnections between the PSC target areas; The PSC projects); (2) The development of the Company (The major objectives of the Company; The energy plan of Slovakia; Analysis of development Alternatives; Results of the analysis; Economic comparison of the alternatives; Development of generation, The information system; Strategic goals and legislation). (3) The quality control system

  3. Chapter 5. The strategic plans of the Company

    International Nuclear Information System (INIS)

    1997-01-01

    In the fifth chapter of this CD ROM the strategic plans of the Slovak Electric, Plc. (Slovenske elektrarne, a.s.), are presented. It consist of next paragraphs (1) The programme of strategic changes (Declaration of the programme; The need for change; Major tasks; The management structure; Interconnections between the PSC target areas; The PSC projects); (2) The development of the Company (The major objectives of the Company; The energy plan of Slovakia; Analysis of development Alternatives; Results of the analysis; Economic comparison of the alternatives; Development of generation, The information system; Strategic goals and legislation). (3) The quality control system

  4. A reference model for space data system interconnection services

    Science.gov (United States)

    Pietras, John; Theis, Gerhard

    1993-01-01

    The widespread adoption of standard packet-based data communication protocols and services for spaceflight missions provides the foundation for other standard space data handling services. These space data handling services can be defined as increasingly sophisticated processing of data or information received from lower-level services, using a layering approach made famous in the International Organization for Standardization (ISO) Open System Interconnection Reference Model (OSI-RM). The Space Data System Interconnection Reference Model (SDSI-RM) incorporates the conventions of the OSIRM to provide a framework within which a complete set of space data handling services can be defined. The use of the SDSI-RM is illustrated through its application to data handling services and protocols that have been defined by, or are under consideration by, the Consultative Committee for Space Data Systems (CCSDS).

  5. Floating dielectric slab optical interconnection between metal-dielectric interface surface plasmon polariton waveguides.

    Science.gov (United States)

    Kang, Minsu; Park, Junghyun; Lee, Il-Min; Lee, Byoungho

    2009-01-19

    A simple and effective optical interconnection which connects two distanced single metal-dielectric interface surface plasmon waveguides by a floating dielectric slab waveguide (slab bridge) is proposed. Transmission characteristics of the suggested structure are numerically studied using rigorous coupled wave analysis, and design rules based on the study are given. In the wave-guiding part, if the slab bridge can support more than the fundamental mode, then the transmission efficiency of the interconnection shows strong periodic dependency on the length of the bridge, due to the multi-mode interference (MMI) effect. Otherwise, only small fluctuation occurs due to the Fabry-Pérot effect. In addition, light beating happens when the slab bridge is relatively short. In the wave-coupling part, on the other hand, gap-assisted transmission occurs at each overlapping region as a consequence of mode hybridization. Periodic dependency on the length of the overlap region also appears due to the MMI effect. According to these results, we propose design principles for achieving both high transmission efficiency and stability with respect to the variation of the interconnection distance, and we show how to obtain the transmission efficiency of 68.3% for the 1mm-long interconnection.

  6. Design and Training of Limited-Interconnect Architectures

    Science.gov (United States)

    1991-07-16

    and signal processing. Neuromorphic (brain like) models, allow an alternative for achieving real-time operation tor such tasks, while having a...compact and robust architecture. Neuromorphic models consist of interconnections of simple computational nodes. In this approach, each node computes a...operational performance. I1. Research Objectives The research objectives were: 1. Development of on- chip local training rules specifically designed for

  7. Health and the environment: Examining some interconnections

    International Nuclear Information System (INIS)

    Nair, G.; Castelino, J.; Parr, R.M.

    1994-01-01

    In various ways, the IAEA is working with national and international agencies to broaden scientific understanding of the interconnections between the environment and human health. Often nuclear and related technologies are applied in the search for answers to complex and puzzling questions. This article highlights some of that work, illustrating the dimensions of both the problems and the potential solutions

  8. Review of Defence Plans in Europe: Current Status, Strengths and Opportunities

    DEFF Research Database (Denmark)

    De Boeck, Steven; Van Hertem, Dirk; Das, Kaushik

    2016-01-01

    interconnected, a contingency in one area can affect the whole power system and possibly lead to a wide area black out. Therefore adequate defence plans need to be designed and in place to handle these situations. This paper starts with an overview of the terminology used in defence plans. Subsequently...... the current status of defence plans in Europe and the preferred sequence of actions to mitigate contingencies, is given based on a survey conducted among several European TSOs. Furthermore his paper gives an overview of how the ongoing changes with renewables, phasor measurement units (PMUs), power flow...

  9. Astrophysics Laboratory-Based Lecture Material Development of Solarscope with Integration and Interconnection

    Directory of Open Access Journals (Sweden)

    Asih Melati

    2015-12-01

    Full Text Available The development of laboratory-based lecture materials with integrated and interconnected value is a requirement for study and practical materials and in line with the vision and mission of UIN Sunan Kalijaga. As a result, the optimization of laboratory’s equipment is urgently needed. Although UIN Sunan Kalijaga Laboratory have had Solarscope telescope – which have a guidebook in German language – for six years, it was not optimally used even it can be used to satisfy the desires to observe astronomical objects economically, accurately and easy to operate. Based on above, this research propose to create a lab-work module for Solarscope with integration and interconnection value. This research used 4D methodology (Define, Design, Develop and Disseminate and have passed the assessment and validation phase from material, media and integrated-interconnected value experts. The data analysis of the module which was mapped by Sukarja into 5 scale mark resulted in good grade in the module assessment by material experts with 80% from the ideal mark with most of the complaint is in the formula typing which is not clear in its derivative. The module assessment by media experts scored very good grade with 88.89% from the ideal mark regarding the content and the figures of the module. Lastly, from the integrated-interconnected value experts marked in good grade with 73.50% from the ideal mark and suggested the addition of supported Al-Qur’an verses and relevant exclamation of the Al-Qur’an’s passages. With all of these assessment results, this module can be used as the material of astrophysics lab-work and for supporting students’ researches with integration-interconnection value and enhance the university’s book collection which will support the vision and mission of UIN Sunan Kalijaga

  10. Interconnection of psychology, color and design

    OpenAIRE

    Minchuk, A. M.; Kudryashova, Aleksandra Vladimirovna

    2016-01-01

    The paper presents the direct interconnection between color, design and psychology on the basis of theoretical and historical analysis. It describes the peculiarities of how peopleperceive color. In the paper some of the historical details concerning the way our ancestors used color are presented and the modern scientific discoveries in the field of psychology, which give the evidence of the great psychological, emotional and physical influence of color on a person are shown as well. The pape...

  11. Multi-gigabit optical interconnects for next-generation on-board digital equipment

    Science.gov (United States)

    Venet, Norbert; Favaro, Henri; Sotom, Michel; Maignan, Michel; Berthon, Jacques

    2017-11-01

    Parallel optical interconnects are experimentally assessed as a technology that may offer the high-throughput data communication capabilities required to the next-generation on-board digital processing units. An optical backplane interconnect was breadboarded, on the basis of a digital transparent processor that provides flexible connectivity and variable bandwidth in telecom missions with multi-beam antenna coverage. The unit selected for the demonstration required that more than tens of Gbit/s be supported by the backplane. The demonstration made use of commercial parallel optical link modules at 850 nm wavelength, with 12 channels running at up to 2.5 Gbit/s. A flexible optical fibre circuit was developed so as to route board-to-board connections. It was plugged to the optical transmitter and receiver modules through 12-fibre MPO connectors. BER below 10-14 and optical link budgets in excess of 12 dB were measured, which would enable to integrate broadcasting. Integration of the optical backplane interconnect was successfully demonstrated by validating the overall digital processor functionality.

  12. Report on the Mediterranean Solar Plan

    International Nuclear Information System (INIS)

    2009-01-01

    The first part of this report presents the Mediterranean Solar Plan (MSP) as an ambitious political initiative which aims at creating a better context for the Northern (Mediterranean) countries which are looking for a secure energy supply, and for the Southern and Eastern (Mediterranean) countries where demand is strongly increasing. It highlights the fact that the cost of this plan is indeed important but still limited regarding the regional scale. Its success therefore needs projects with sufficient profitability to attract investors and to be realised within an adapted law environment. The report also outlines that the plan needs a regional vision and a cooperative approach between North and South, that it will have a strong impact of electric interconnections all around the Mediterranean Sea, and that its governance needs to be clarified to maintain the political momentum created by its co-presidents

  13. 77 FR 63757 - Extension of the Commission's Rules Regarding Outage Reporting to Interconnected Voice Over...

    Science.gov (United States)

    2012-10-17

    ... telephone subscriptions in the United States were users of interconnected VoIP providers--an increase of 21... Commission's Rules Regarding Outage Reporting to Interconnected Voice Over Internet Protocol Service Providers and Broadband Internet Service Providers AGENCY: Federal Communications Commission. ACTION: Final...

  14. Epidemic spreading on interconnected networks.

    Science.gov (United States)

    Saumell-Mendiola, Anna; Serrano, M Ángeles; Boguñá, Marián

    2012-08-01

    Many real networks are not isolated from each other but form networks of networks, often interrelated in nontrivial ways. Here, we analyze an epidemic spreading process taking place on top of two interconnected complex networks. We develop a heterogeneous mean-field approach that allows us to calculate the conditions for the emergence of an endemic state. Interestingly, a global endemic state may arise in the coupled system even though the epidemics is not able to propagate on each network separately and even when the number of coupling connections is small. Our analytic results are successfully confronted against large-scale numerical simulations.

  15. Electricity and gas interconnections in France. A tool for the construction of an integrated European market

    International Nuclear Information System (INIS)

    2016-06-01

    The French Energy Regulator (CRE) is publishing its report on French electricity and gas interconnections. The report makes two main conclusions: French electricity and natural gas networks are well interconnected with their counterparts in neighbouring countries and the use of interconnections has been significantly improved over the last 10 years. In terms of electricity, France's average export capacity is 13.5 GW, i.e. more than 10% of its production capacity. France is very well integrated in the European gas market and is a transit country to Spain and Italy. It has boosted its interconnection capacity in gas by 40% in 10 years. Interconnections are vital to the internal energy market and help trade between Member States. They enable European consumers to benefit from cost-effective energy by diversifying sources of supply. Since it was created, the CRE has played a leading role in this area, by fostering the development of interconnections at the French borders and by making them more efficiently used. After major efforts, the question of creating new interconnections (which constitute complex and costly projects) is now being raised. In terms of gas, the Midcat Project (a new gas interconnection between France and Spain) provides a good illustration of this question. The project will cost almost 3 billion Euros, two billion of which is being funded by France, and the decision to launch it should not be taken lightly without robust cost-benefit analyses. These studies must, in particular, identify and quantify the benefits for each country concerned as well as for the European Union, and organise the project funding in relation to these benefits. As concerns the interconnection project in the Bay of Biscay between France and Spain, overcoming technical uncertainties is an essential prerequisite before commenting on the opportunities it offers in terms of the costs and benefits that it might generate. In compliance with the law, the CRE acts on behalf of

  16. Estimation of tritium radiotracer activity for interconnection study in geothermal field

    International Nuclear Information System (INIS)

    Rasi Prasetio; Satrio

    2016-01-01

    Tritium radiotracer (3H) has been applied widely in many geothermal fields around the world. This application was done by injecting radiotracer with certain amount of activity into reinjection well in order to investigate interconnection between reinjection well with surrounding production wells. The activity of injected radiotracer must meets the field condition and the volume of reservoir, detection limit of instrument, as well as safety aspect for the workers and environment from radioactive hazard. The planning of injection process must consider the maximum permissible concentration (MPC) and minimum detection limit (MDL). Based on calculation, tritium radiotracer injection in Kamojang geothermal field can be done with minimal activity of 0.15 Ci and maximum 22100 Ci, while in Lahendong field minimum activity of 0.65 Ci and maximum 7230 Ci. In these two injection studies, tritium was detected in monitoring wells between MDL and MPC limit. By using this estimation calculation, the activity of tritium that released into the environment within safety limit, thus monitoring wells with undetectable tritium infer no connectivity between those wells with reinjection well. (author)

  17. Highly graphitized laterally interconnected SWCNT network synthesis via a sandwich-grown method

    International Nuclear Information System (INIS)

    Teng, I-Ju; Chen, Kai-Ling; Wang, Li-Chun; Kuo, Cheng-Tzu; Hsu, Hui-Lin; Jian, Sheng-Rui; Chen, Jung-Hsuan; Wang, Wei-Hsiang

    2011-01-01

    We present a sandwich-grown method for growing laterally interconnected single-walled carbon nanotube (SWCNT) networks with a high degree of graphitization by microwave plasma chemical vapour deposition (MPCVD). An Al 2 O 3 -supported Fe catalyst precursor layer deposited on an oxidized Si substrate with an upper Si cover is first pretreated in pure hydrogen, and then exposed to a gas mixture of methane/hydrogen for growth process at a lower growth temperature and a faster rate. The effects of various parameters, such as catalyst film thickness, gas flow rate, working pressure, growth time and plasma power, on the morphologies and structural characteristics of the SWCNT networks are investigated, and therefore provide the essential conditions for direct growth of laterally interconnected SWCNT networks. Analytical results demonstrate that the SWCNT-based lateral architecture comprises a mixture of graphene-sheet-wrapped catalyst particles and laterally interconnected nanotubes, isolated or branched or assembled into bundles. The results also show that the formation of the laterally interconnected SWCNT networks is related to the sandwich-like stack approach and the addition of an Al 2 O 3 layer in the MPCVD process. The successful growth of lateral SWCNT networks provides new experimental information for simply and efficiently preparing lateral SWCNTs on unpatterned substrates, and opens a pathway to create network-structured nanotube-based devices.

  18. Encoded low swing for ultra low power interconnect

    NARCIS (Netherlands)

    Krishnan, R.; Pineda de Gyvez, J.

    2003-01-01

    We present a novel encoded-low swing technique for ultra low power interconnect. Using this technique and an efficient circuit implementation, we achieve an average of 45.7% improvement in the power-delay product over the schemes utilizing low swing techniques alone, for random bit streams. Also, we

  19. Testing of Ni-plated ferritic steel interconnect in SOFC stacks

    DEFF Research Database (Denmark)

    Nielsen, K.A.; Dinesen, A.R.; Korcakova, L.

    2006-01-01

    heating to 1,030 °C. During this time, 20–70 μm thick surface layers of austenitic steel were formed, which were covered by a 1–4 μm chromia layer on the anode side and by a layer of mixed Cr-Fe-Ni-spinels over a 1–4 μm chromia layer on the cathode side. The microstructure and composition...... of the protective scale on the cathode side was susceptible to pitting-type corrosion patterns, which may limit the life expectancy to less than 2,000 hours for the 200 μm thick interconnect tested. The initial area-specific resistances (ASR) at the interconnect/cathode current collector interface...

  20. Decentralized H∞ Control of Interconnected Systems with Time-varying Delays

    Directory of Open Access Journals (Sweden)

    Amal Zouhri

    2017-01-01

    Full Text Available This paper focuses on the problem of delay dependent stability/stabilization of interconnected systems with time-varying delays. The approach is based on a new Lyapunov-Krasovskii functional. A decentralized delay-dependent stability analysis is performed to characterize linear matrix inequalities (LMIs based on the conditions under which every local subsystem of the linear interconnected delay system is asymptotically stable. Then we design a decentralized state-feedback stabilization scheme such that the family of closedloop feedback subsystems enjoys the delay-dependent asymptotic stability for each subsystem. The decentralized feedback gains are determined by convex optimization over LMIs. All the developed results are tested on a representative example and compared with some recent previous ones.

  1. Implications of Model Structure and Detail for Utility Planning: Scenario Case Studies Using the Resource Planning Model

    Energy Technology Data Exchange (ETDEWEB)

    Mai, Trieu [National Renewable Energy Lab. (NREL), Golden, CO (United States); Barrows, Clayton [National Renewable Energy Lab. (NREL), Golden, CO (United States); Lopez, Anthony [National Renewable Energy Lab. (NREL), Golden, CO (United States); Hale, Elaine [National Renewable Energy Lab. (NREL), Golden, CO (United States); Dyson, Mark [National Renewable Energy Lab. (NREL), Golden, CO (United States); Eurek, Kelly [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2015-04-01

    In this report, we analyze the impacts of model configuration and detail in capacity expansion models, computational tools used by utility planners looking to find the least cost option for planning the system and by researchers or policy makers attempting to understand the effects of various policy implementations. The present analysis focuses on the importance of model configurations — particularly those related to capacity credit, dispatch modeling, and transmission modeling — to the construction of scenario futures. Our analysis is primarily directed toward advanced tools used for utility planning and is focused on those impacts that are most relevant to decisions with respect to future renewable capacity deployment. To serve this purpose, we develop and employ the NREL Resource Planning Model to conduct a case study analysis that explores 12 separate capacity expansion scenarios of the Western Interconnection through 2030.

  2. Teacher Attitudes on Including Students with Behavior Intervention Plans in a High-School Inclusive Classroom

    Science.gov (United States)

    Tucker, Thurman D.

    2017-01-01

    This research examined attitudes to determine factors influencing teachers' attitudes toward including students with behavior intervention plans in inclusive high-school classrooms. For Research Question 1 one-way ANOVAs analyzed quantitative data with no significant differences found and qualitative data discovered common patterns that BIPs are…

  3. Interconnected ponds operation for flood hazard distribution

    Science.gov (United States)

    Putra, S. S.; Ridwan, B. W.

    2016-05-01

    The climatic anomaly, which comes with extreme rainfall, will increase the flood hazard in an area within a short period of time. The river capacity in discharging the flood is not continuous along the river stretch and sensitive to the flood peak. This paper contains the alternatives on how to locate the flood retention pond that are physically feasible to reduce the flood peak. The flood ponds were designed based on flood curve number criteria (TR-55, USDA) with the aim of rapid flood peak capturing and gradual flood retuning back to the river. As a case study, the hydrologic condition of upper Ciliwung river basin with several presumed flood pond locations was conceptually designed. A fundamental tank model that reproducing the operation of interconnected ponds was elaborated to achieve the designed flood discharge that will flows to the downstream area. The flood hazard distribution status, as the model performance criteria, will be computed within Ciliwung river reach in Manggarai Sluice Gate spot. The predicted hazard reduction with the operation of the interconnected retention area result had been bench marked with the normal flow condition.

  4. Fabrication of interconnected microporous biomaterials with high hydroxyapatite nanoparticle loading

    International Nuclear Information System (INIS)

    Zhang Wei; Yao Donggang; Zhang Qingwei; Lelkes, Peter I; Zhou, Jack G

    2010-01-01

    Hydroxyapatite (HA) is known to promote osteogenicity and enhance the mechanical properties of biopolymers. However, incorporating a large amount of HA into a porous biopolymer still remains a challenge. In the present work, a new method was developed to produce interconnected microporous poly(glycolic-co-lactic acid) (PLGA) with high HA nanoparticle loading. First, a ternary blend comprising PLGA/PS (polystyrene)/HA (40/40/20 wt%) was prepared by melt blending under conditions for formation of a co-continuous phase structure. Next, a dynamic annealing stage under small-strain oscillation was applied to the blend to facilitate nanoparticle redistribution. Finally, the PS phase was sacrificially extracted, leaving a porous matrix. The results from different characterizations suggested that the applied small-strain oscillation substantially accelerated the migration of HA nanoparticles during annealing from the PS phase to the PLGA phase; nearly all HA particles were uniformly presented in the PLGA phase after a short period of annealing. After dissolution of the PS phase, a PLGA material with interconnected microporous structure was successfully produced, with a high HA loading above 30 wt%. The mechanisms beneath the experimental observations, particularly on the enhanced particle migration process, were discussed, and strategies for producing highly particle loaded biopolymers with interconnected microporous structures were proposed.

  5. A Distributed Robust Dispatch Approach for Interconnected Systems with a High Proportion of Wind Power Penetration

    Directory of Open Access Journals (Sweden)

    Jianwen Ren

    2018-04-01

    Full Text Available This paper proposes a distributed robust dispatch approach to solve the economic dispatch problem of the interconnected systems with a high proportion of wind power penetration. First of all, the basic principle of synchronous alternating direction method of multipliers (SADMM is introduced to solve the economic dispatch problem of the two interconnected regions. Next, the polyhedron set of the robust optimization method is utilized to describe the wind power output. To adjust the conservativeness of the polyhedron set, an adjustment factor of robust conservativeness is introduced. Subsequently, considering the operation characteristics of the DC tie line between the interconnected regions, an economic dispatch model with a high proportion of wind power penetration is established and parallel iteration based on SADMM is used to solve the model. In each iteration, the optimized power of DC tie lines is exchanged between the regions without requiring the participation of the superior dispatch center. Finally, the validity of the proposed model is verified by the examples of the 2-area 6-node interconnected system and the interconnection of several modified New England 39-node systems. The results show that the proposed model can meet the needs of the independent dispatch of regional power grids, effectively deal with the uncertainty of wind power output, and maximize the wind power consumption under the condition of ensuring the safe operation of the interconnected systems.

  6. Barriers and drivers of new interconnections between EU and non-EU electricity systems. Economic and regulatory aspects

    International Nuclear Information System (INIS)

    Van Werven, M.J.N.; Van Oostvoorn, F.

    2006-05-01

    Interconnection of different electricity systems offers several advantages and benefits. In the first place it provides reliability and increases the robustness of the system. Furthermore, it increases economic efficiency and reduces the possibility to abuse market power. Price differences are the signal that efficiency gains can be obtained. To make a sound decision whether to invest in new interconnection capacity, the causes behind the price differences should be well understood. Price differences must originate from structural, long-term causes. Differences in primary resources, fuel mix and load patterns are such causes. It is important to note that price differences that result from the difference between regulatory structures (lack of level playing field) may not be structural and therefore may not justify investment in interconnection capacity. Next to advantages and benefits, interconnection is faced with costs and barriers. Firstly, there are investment costs, which are high for building new interconnections, and there are energy losses that are caused by transporting electricity. A third possible barrier is congestion within the EU, which impedes the imported electricity to freely flow to demand areas (and hinders the export of electricity to neighbouring regions). Furthermore, interconnection may create loop flows. In addition, interconnection could lead to an increasing import dependency, which may create political resistance. And finally, there may be opposition from residents in the areas where the transmission and interconnection lines have to be built. Concerning regulatory issues, trade between markets is more likely to be impeded or distorted if market designs and rules between countries/regions differ substantially. Regulatory issues that are of relevance comprise rules concerning the timing of gate closure, imbalance arrangements, the firmness of transmission access rights, the type of tariff regulation, unbundling, the ownership of

  7. Unavailability of critical SCADA communication links interconnecting a power grid and a Telco network

    International Nuclear Information System (INIS)

    Bobbio, A.; Bonanni, G.; Ciancamerla, E.; Clemente, R.; Iacomini, A.; Minichino, M.; Scarlatti, A.; Terruggia, R.; Zendri, E.

    2010-01-01

    The availability of power supply to power grid customers depends upon the availability of services of supervision, control and data acquisition (SCADA) system, which constitutes the nervous system of a power grid. In turn, SCADA services depend on the availability of the interconnected networks supporting such services. We propose a service oriented stochastic modelling methodology to investigate the availability of large interconnected networks, based on the hierarchical application of different modelling formalisms to different parts of the networks. Interconnected networks are decomposed according to the specific services delivered until the failure and repair mechanisms of the decomposed elementary blocks can be identified. We represent each network by a convenient stochastic modelling formalism, able to capture the main technological issues and to cope with realistic assumptions about failure and recovery mechanisms. This procedure confines the application of the more intensive computational techniques to those subsystems that actually require it. The paper concentrates on an actual failure scenario, occurred in Rome in January 2004 that involved the outage of critical SCADA communication links, interconnecting a power grid and a Telco network.

  8. Unavailability of critical SCADA communication links interconnecting a power grid and a Telco network

    Energy Technology Data Exchange (ETDEWEB)

    Bobbio, A. [Dipartimento di Informatica, Universita del Piemonte Orientale, Viale Michel 11, 15121 Alessandria (Italy); Bonanni, G.; Ciancamerla, E. [ENEA - CRE Casaccia, Via Anguillarese 301, 00060 Roma (Italy); Clemente, R. [Telecom Italia Mobile, Via Isonzo112, 10141 Torino (Italy); Iacomini, A. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy); Minichino, M., E-mail: minichino@casaccia.enea.i [ENEA - CRE Casaccia, Via Anguillarese 301, 00060 Roma (Italy); Scarlatti, A. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy); Terruggia, R. [Dipartimento di Informatica, Universita del Piemonte Orientale, Viale Michel 11, 15121 Alessandria (Italy); Zendri, E. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy)

    2010-12-15

    The availability of power supply to power grid customers depends upon the availability of services of supervision, control and data acquisition (SCADA) system, which constitutes the nervous system of a power grid. In turn, SCADA services depend on the availability of the interconnected networks supporting such services. We propose a service oriented stochastic modelling methodology to investigate the availability of large interconnected networks, based on the hierarchical application of different modelling formalisms to different parts of the networks. Interconnected networks are decomposed according to the specific services delivered until the failure and repair mechanisms of the decomposed elementary blocks can be identified. We represent each network by a convenient stochastic modelling formalism, able to capture the main technological issues and to cope with realistic assumptions about failure and recovery mechanisms. This procedure confines the application of the more intensive computational techniques to those subsystems that actually require it. The paper concentrates on an actual failure scenario, occurred in Rome in January 2004 that involved the outage of critical SCADA communication links, interconnecting a power grid and a Telco network.

  9. Nano/CMOS architectures using a field-programmable nanowire interconnect

    International Nuclear Information System (INIS)

    Snider, Gregory S; Williams, R Stanley

    2007-01-01

    A field-programmable nanowire interconnect (FPNI) enables a family of hybrid nano/CMOS circuit architectures that generalizes the CMOL (CMOS/molecular hybrid) approach proposed by Strukov and Likharev, allowing for simpler fabrication, more conservative process parameters, and greater flexibility in the choice of nanoscale devices. The FPNI improves on a field-programmable gate array (FPGA) architecture by lifting the configuration bit and associated components out of the semiconductor plane and replacing them in the interconnect with nonvolatile switches, which decreases both the area and power consumption of the circuit. This is an example of a more comprehensive strategy for improving the efficiency of existing semiconductor technology: placing a level of intelligence and configurability in the interconnect can have a profound effect on integrated circuit performance, and can be used to significantly extend Moore's law without having to shrink the transistors. Compilation of standard benchmark circuits onto FPNI chip models shows reduced area (8 x to 25 x), reduced power, slightly lower clock speeds, and high defect tolerance-an FPNI chip with 20% defective junctions and 20% broken nanowires has an effective yield of 75% with no significant slowdown along the critical path, compared to a defect-free chip. Simulations show that the density and power improvements continue as both CMOS and nano fabrication parameters scale down, although the maximum clock rate decreases due to the high resistance of very small (<10 nm) metallic nanowires

  10. Processing and Prolonged 500 C Testing of 4H-SiC JFET Integrated Circuits with Two Levels of Metal Interconnect

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.; Krasowski, Michael J.; Prokop, Norman F.

    2015-01-01

    Complex integrated circuit (IC) chips rely on more than one level of interconnect metallization for routing of electrical power and signals. This work reports the processing and testing of 4H-SiC junction field effect transistor (JFET) prototype IC's with two levels of metal interconnect capable of prolonged operation at 500 C. Packaged functional circuits including 3- and 11-stage ring oscillators, a 4-bit digital to analog converter, and a 4-bit address decoder and random access memory cell have been demonstrated at 500 C. A 3-stage oscillator functioned for over 3000 hours at 500 C in air ambient. Improved reproducibility remains to be accomplished.

  11. Improved cost–benefit analysis for market-based transmission planning, a European perspective

    International Nuclear Information System (INIS)

    Papaemmanouil, A.; Bertling Tjernberg, L.; Tuan, L.A.; Andersson, G.

    2013-01-01

    This paper addresses the problem of transmission planning in interconnected power systems under the uncertainty of future generation parks and fast varying marginal production costs. The decision maker has to consider many different aspects during the definition of different transmission planning strategies that sometimes might even be contradicting. Major contributions are the incorporation of energy policy measurements in the evaluation process of candidate transmission plans and the inclusion of short- and long-term uncertainties. The proposed methodology, so-called C-TRAP, is based on a semi-dynamic heuristic approach that solves the social welfare maximization problem for several discrete steps considering different preferences for energy policy and transmission network reinforcements. The flexibility provided through the heuristic analysis is very important for decision makers in the new uncertain environment in power systems. - Highlights: • Consideration of environmental policy in the decision of transmission expansion plans. • Semi-dynamic heuristic approach including societal, economic and availability standards. • Market-based approach using nodal pricing. • The amount of reduced unserved load is not equal to the amount of capacity increase of a line. • Less environmental costs lead usually to higher congestion costs due to overly power trading

  12. Methodology for assessing the impacts of distributed generation interconnection

    Directory of Open Access Journals (Sweden)

    Luis E. Luna

    2011-06-01

    Full Text Available This paper proposes a methodology for identifying and assessing the impact of distributed generation interconnection on distribution systems using Monte Carlo techniques. This methodology consists of two analysis schemes: a technical analysis, which evaluates the reliability conditions of the distribution system; on the other hand, an economic analysis that evaluates the financial impacts on the electric utility and its customers, according to the system reliability level. The proposed methodology was applied to an IEEE test distribution system, considering different operation schemes for the distributed generation interconnection. The application of each one of these schemes provided significant improvements regarding the reliability and important economic benefits for the electric utility. However, such schemes resulted in negative profitability levels for certain customers, therefore, regulatory measures and bilateral contracts were proposed which would provide a solution for this kind of problem.

  13. 34 CFR 364.42 - What objectives and information must be included in the State plan?

    Science.gov (United States)

    2010-07-01

    ... 34 Education 2 2010-07-01 2010-07-01 false What objectives and information must be included in the State plan? 364.42 Section 364.42 Education Regulations of the Offices of the Department of Education (Continued) OFFICE OF SPECIAL EDUCATION AND REHABILITATIVE SERVICES, DEPARTMENT OF EDUCATION STATE...

  14. 77 FR 9225 - Allegheny Electric Cooperative, Inc., et al. v. PJM Interconnection, L.L.C.; Organization of PJM...

    Science.gov (United States)

    2012-02-16

    ...-58-010] Allegheny Electric Cooperative, Inc., et al. v. PJM Interconnection, L.L.C.; Organization of PJM States, Inc., et al. v. PJM Interconnection, L.L.C.; Notice of Filing Take notice that on February... by section 18.17.4 of the Amended and Restated Operating Agreement of PJM Interconnection, L.L.C. and...

  15. Optimizing perioperative decision making: improved information for clinical workflow planning.

    Science.gov (United States)

    Doebbeling, Bradley N; Burton, Matthew M; Wiebke, Eric A; Miller, Spencer; Baxter, Laurence; Miller, Donald; Alvarez, Jorge; Pekny, Joseph

    2012-01-01

    Perioperative care is complex and involves multiple interconnected subsystems. Delayed starts, prolonged cases and overtime are common. Surgical procedures account for 40-70% of hospital revenues and 30-40% of total costs. Most planning and scheduling in healthcare is done without modern planning tools, which have potential for improving access by assisting in operations planning support. We identified key planning scenarios of interest to perioperative leaders, in order to examine the feasibility of applying combinatorial optimization software solving some of those planning issues in the operative setting. Perioperative leaders desire a broad range of tools for planning and assessing alternate solutions. Our modeled solutions generated feasible solutions that varied as expected, based on resource and policy assumptions and found better utilization of scarce resources. Combinatorial optimization modeling can effectively evaluate alternatives to support key decisions for planning clinical workflow and improving care efficiency and satisfaction.

  16. New transmission planning methodology for requesting proposals for wind generation

    Science.gov (United States)

    Isaacs, Andrew L.

    The increasing interest in renewable energy technologies during the last decade has caused conventional transmission and generation expansion planning methodologies to be strained and in some cases abandoned. This is due both to the quantity of generator interconnection requests and the constraints imposed by deregulated energy industry structures. One technique used to control the influx of renewable generation while maintaining competitive principles is a Request for Proposals (RFP). However, lack of transmission planning due to a disconnection between generation and transmission owners, difficulty in identifying viable projects, and high risk for proponents stand as obstacles to the goals of an RFP. This research proposes a procedure which minimizes the effect of these obstacles; meeting the purchaser requirements for low price and combining conventional planning concepts with feedback from competitive structures. The general features of the method include definition of generation limits and study area, expansion plan design, transmission cost evaluation, optimal selection of requested generation levels, and final selection of successful proponents. The method is shown to be effective in creating an RFP where proponents are well-informed and provided with cost certainty to reduce bid price, buyers are able to determine end costs of their energy, and good expansion planning principles are maintained. A case study using a real system in New Mexico demonstrates these concepts.

  17. New plans for increasing transmission and intertie capacity : moving towards a more integrated power market in Atlantic Canada

    International Nuclear Information System (INIS)

    Roberge, F.

    2003-01-01

    This PowerPoint presentation provided a brief review of the electric power industry in Quebec after deregulation. It included an outline of non-regulated activity and regulated activities in terms of power generation, transmission, distribution and customer service. Both the transmission and distribution components of the power industry in Quebec are regulated by the Regie de l'energie. Transmission networks offer access to all wholesalers. Quebec does not have an energy spot market and electricity prices are currently very low. Hydro-Quebec TransEnergie was created in 1997 as a functionally independent open access transmission provider with high reliability standards. TransEnergie can address seams issues with neighbouring networks. The three types of services offered in terms of tariffs were also discussed in this presentation along with data regarding Hydro-Quebec assets, revenue and net income. Hydro-Quebec's relation with the Atlantic provinces were also described. Interconnection with New Brunswick totals 785 MW. No new interconnection is planned. 1 tab., 4 figs

  18. 14 CFR 25.957 - Flow between interconnected tanks.

    Science.gov (United States)

    2010-01-01

    ... AIRCRAFT AIRWORTHINESS STANDARDS: TRANSPORT CATEGORY AIRPLANES Powerplant Fuel System § 25.957 Flow between interconnected tanks. If fuel can be pumped from one tank to another in flight, the fuel tank vents and the fuel transfer system must be designed so that no structural damage to the tanks can occur because of overfilling. ...

  19. Lyapunov-based Stability of Feedback Interconnections of Negative Imaginary Systems

    KAUST Repository

    Ghallab, Ahmed G.

    2017-10-19

    Feedback control systems using sensors and actuators such as piezoelectric sensors and actuators, micro-electro-mechanical systems (MEMS) sensors and opto-mechanical sensors, are allowing new advances in designing such high precision technologies. The negative imaginary control systems framework allows for robust control design for such high precision systems in the face of uncertainties due to unmodelled dynamics. The stability of the feedback interconnection of negative imaginary systems has been well established in the literature. However, the proofs of stability feedback interconnection which are used in some previous papers have a shortcoming due to a matrix inevitability issue. In this paper, we provide a new and correct Lyapunov-based proof of one such result and show that the result is still true.

  20. Lyapunov-based Stability of Feedback Interconnections of Negative Imaginary Systems

    KAUST Repository

    Ghallab, Ahmed G.; Mabrok, Mohamed; Petersen, Ian R.

    2017-01-01

    Feedback control systems using sensors and actuators such as piezoelectric sensors and actuators, micro-electro-mechanical systems (MEMS) sensors and opto-mechanical sensors, are allowing new advances in designing such high precision technologies. The negative imaginary control systems framework allows for robust control design for such high precision systems in the face of uncertainties due to unmodelled dynamics. The stability of the feedback interconnection of negative imaginary systems has been well established in the literature. However, the proofs of stability feedback interconnection which are used in some previous papers have a shortcoming due to a matrix inevitability issue. In this paper, we provide a new and correct Lyapunov-based proof of one such result and show that the result is still true.

  1. High Speed PAM -8 Optical Interconnects with Digital Equalization based on Neural Network

    DEFF Research Database (Denmark)

    Gaiarin, Simone; Pang, Xiaodan; Ozolins, Oskars

    2016-01-01

    We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission.......We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission....

  2. Including the public in pandemic planning: a deliberative approach

    Directory of Open Access Journals (Sweden)

    Braunack-Mayer Annette J

    2010-08-01

    Full Text Available Abstract Background Against a background of pandemic threat posed by SARS and avian H5N1 influenza, this study used deliberative forums to elucidate informed community perspectives on aspects of pandemic planning. Methods Two deliberative forums were carried out with members of the South Australian community. The forums were supported by a qualitative study with adults and youths, systematic reviews of the literature and the involvement of an extended group of academic experts and policy makers. The forum discussions were recorded with simultaneous transcription and analysed thematically. Results Participants allocated scarce resources of antiviral drugs and pandemic vaccine based on a desire to preserve society function in a time of crisis. Participants were divided on the acceptability of social distancing and quarantine measures. However, should such measures be adopted, they thought that reasonable financial, household and psychological support was essential. In addition, provided such support was present, the participants, in general, were willing to impose strict sanctions on those who violated quarantine and social distancing measures. Conclusions The recommendations from the forums suggest that the implementation of pandemic plans in a severe pandemic will be challenging, but not impossible. Implementation may be more successful if the public is engaged in pandemic planning before a pandemic, effective communication of key points is practiced before and during a pandemic and if judicious use is made of supportive measures to assist those in quarantine or affected by social isolation measures.

  3. An Interconnected Network of Core-Forming Melts Produced by Shear Deformation

    Science.gov (United States)

    Bruhn, D.; Groebner, N.; Kohlstedt, D. L.

    2000-01-01

    The formation mechanism of terrestrial planetary is still poorly understood, and has been the subject of numerous experimental studies. Several mechanisms have been proposed by which metal-mainly iron with some nickel-could have been extracted from a silicate mantle to form the core. Most recent models involve gravitational sinking of molten metal or metal sulphide through a partially or fully molten mantle that is often referred to as a'magma ocean. Alternative models invoke percolation of molten metal along an interconnected network (that is, porous flow) through a solid silicate matrix. But experimental studies performed at high pressures have shown that, under hydrostatic conditions, these melts do not form an interconnected network, leading to the widespread assumption that formation of metallic cores requires a magma ocean. In contrast, here we present experiments which demonstrate that shear deformation to large strains can interconnect a significant fraction of initially isolated pockets of metal and metal sulphide melts in a solid matrix of polycrystalline olivine. Therefore, in a dynamic (nonhydrostatic) environment, percolation remains a viable mechanism for the segregation and migration of core-forming melts in a solid silicate mantle.

  4. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  5. Early resistance change and stress/electromigrationmodeling in aluminium interconnects

    NARCIS (Netherlands)

    Petrescu, V.; Mouthaan, A.J.; Schoenmaker, W.

    1997-01-01

    A complete description for early resistance change and two dimensional simulation of mechanical stress evolution in confined Al interconnects, related to the electromigration, is given in this paper. The model, combines the stress/ vacancy concentration evolution with the early resistance change of

  6. Incorporation of in-plane interconnects to reflow bonding for electrical functionality

    International Nuclear Information System (INIS)

    Moğulkoç, B; Jansen, H V; Ter Brake, H J M; Elwenspoek, M C

    2011-01-01

    Incorporation of in-plane electrical interconnects to reflow bonding is studied to provide electrical functionality to lab-on-a-chip or microfluidic devices. Reflow bonding is the packaging technology, in which glass tubes are joined to silicon substrates at elevated temperatures. The tubes are used to interface the silicon-based fluidic devices and are directly compatible with standard Swagelok® connectors. After the bonding, the electrically conductive lines will allow probing into the volume confined by the tube, where the fluidic device operates. Therefore methods for fabricating electrical interconnects that survive the bonding procedure at elevated temperature and do not alter the properties of the bond interface are investigated

  7. Decentralized adaptive control of interconnected nonlinear systems with unknown control directions.

    Science.gov (United States)

    Huang, Jiangshuai; Wang, Qing-Guo

    2018-03-01

    In this paper, we propose a decentralized adaptive control scheme for a class of interconnected strict-feedback nonlinear systems without a priori knowledge of subsystems' control directions. To address this problem, a novel Nussbaum-type function is proposed and a key theorem is drawn which involves quantifying the interconnections of multiple Nussbaum-type functions of the subsystems with different control directions in a single inequality. Global stability of the closed-loop system and asymptotic stabilization of subsystems' output are proved and a simulation example is given to illustrate the effectiveness of the proposed control scheme. Copyright © 2018 ISA. Published by Elsevier Ltd. All rights reserved.

  8. Understanding price discovery in interconnected markets: Generalized Langevin process approach and simulation

    Science.gov (United States)

    Schenck, Natalya A.; Horvath, Philip A.; Sinha, Amit K.

    2018-02-01

    While the literature on price discovery process and information flow between dominant and satellite market is exhaustive, most studies have applied an approach that can be traced back to Hasbrouck (1995) or Gonzalo and Granger (1995). In this paper, however, we propose a Generalized Langevin process with asymmetric double-well potential function, with co-integrated time series and interconnected diffusion processes to model the information flow and price discovery process in two, a dominant and a satellite, interconnected markets. A simulated illustration of the model is also provided.

  9. Open system LANs and their global interconnection electronics and communications reference series

    CERN Document Server

    Houldsworth, Jack; Caves, Keith; Mazda, FF

    2014-01-01

    Open System LANs and Their Global Interconnection focuses on the OSI layer 1 to 4 standards (the OSI bearer service) and also introduces TCP/IP and some of the proprietary PC Local Area Network (LAN) standards.The publication first provides an introduction to Local Area Networks (LANs) and Wide Area Networks (WANs), Open Systems Interconnection (OSI), and LAN standards. Discussions focus on MAC bridging, token bus, slotted ring, MAC constraints and design considerations, OSI functional standards, OSI model, value of the transport model, benefits and origins of OSI, and significance of the tran

  10. Fractal Characteristics Analysis of Blackouts in Interconnected Power Grid

    DEFF Research Database (Denmark)

    Wang, Feng; Li, Lijuan; Li, Canbing

    2018-01-01

    The power failure models are a key to understand the mechanism of large scale blackouts. In this letter, the similarity of blackouts in interconnected power grids (IPGs) and their sub-grids is discovered by the fractal characteristics analysis to simplify the failure models of the IPG. The distri......The power failure models are a key to understand the mechanism of large scale blackouts. In this letter, the similarity of blackouts in interconnected power grids (IPGs) and their sub-grids is discovered by the fractal characteristics analysis to simplify the failure models of the IPG....... The distribution characteristics of blackouts in various sub-grids are demonstrated based on the Kolmogorov-Smirnov (KS) test. The fractal dimensions (FDs) of the IPG and its sub-grids are then obtained by using the KS test and the maximum likelihood estimation (MLE). The blackouts data in China were used...

  11. Decision analysis in the expansion planning of the Mexican Electrical System

    International Nuclear Information System (INIS)

    Toupiol, S.; Martin del Campo M, C.; Ortega C, R.

    2007-01-01

    In the last years, the planning of the National Interconnected System has been guided mainly to technologies of combined cycle, contributing to the establishment of a generation system little diversified and clerk of the readiness and volatility of the prices of natural gas. On the other hand, the electric system continues expanding without to consider the emissions of gases coming from the electric generation and the significant participation of the renewable and nuclear technologies in the production of electricity like decisive parameters for the long term planning, for what the developed plans are economically attractive but they don't contribute to the respect of the environment, to the sustainable development, neither to the diversification. With base to the above-mentioned intended in this work to develop viable outlines for the long term expansion of the National Interconnected System (period 2005-2024), appealing to the pattern of uni nodal planning that uses the Federal Commission of Electricity at the moment (CFE) that is to say the pattern WASP given by the International Atomic Energy Agency. This way, you fixed as objective to propose two expansion alternatives to the reference plan developed by the CFE in 2005 for the period 2005-2024, with the purpose of not only looking for the good plan of these three plans in terms of the total cost of generation, but also in terms of the risk associated to the price of natural gas, the emissions of dioxide of sulfur and nitrogen oxides generated by the plants of the system and the diversity of the generation park. To compare the three developed plans, you applies an analysis of decision of multiple approaches based on the approach of Savage. Finally, starting from this analysis, he/she intended to determine if the plan of minimum cost represents the long term better option or if it suits but to expand the system being based on a plan that represents the best commitment cost-risk-emission-diversity. (Author)

  12. Greenhouse gas emission factors of purchased electricity from interconnected grids

    International Nuclear Information System (INIS)

    Ji, Ling; Liang, Sai; Qu, Shen; Zhang, Yanxia; Xu, Ming; Jia, Xiaoping; Jia, Yingtao; Niu, Dongxiao; Yuan, Jiahai; Hou, Yong; Wang, Haikun; Chiu, Anthony S.F.; Hu, Xiaojun

    2016-01-01

    Highlights: • A new accounting framework is proposed for GHG emission factors of power grids. • Three cases are used to demonstrate the proposed framework. • Comparisons with previous system boundaries approve the necessity. - Abstract: Electricity trade among power grids leads to difficulties in measuring greenhouse gas (GHG) emission factors of purchased electricity. Traditional methods assume either electricity purchased from a grid is entirely produced locally (Boundary I) or imported electricity is entirely produced by the exporting grid (Boundary II) (in fact a blend of electricity produced by many grids). Both methods ignore the fact that electricity can be indirectly traded between grids. Failing to capture such indirect electricity trade can underestimate or overestimate GHG emissions of purchased electricity in interconnected grid networks, potentially leading to incorrectly accounting for the effects of emission reduction policies involving purchased electricity. We propose a “Boundary III” framework to account for emissions both directly and indirectly caused by purchased electricity in interconnected gird networks. We use three case studies on a national grid network, an Eurasian Continent grid network, and North Europe grid network to demonstrate the proposed Boundary III emission factors. We found that the difference on GHG emissions of purchased electricity estimated using different emission factors can be considerably large. We suggest to standardize the choice of different emission factors based on how interconnected the local grid is with other grids.

  13. 32 x 16 CMOS smart pixel array for optical interconnects

    Science.gov (United States)

    Kim, Jongwoo; Guilfoyle, Peter S.; Stone, Richard V.; Hessenbruch, John M.; Choquette, Kent D.; Kiamilev, Fouad E.

    2000-05-01

    Free space optical interconnects can increase throughput capacities and eliminate much of the energy consumption required for `all electronic' systems. High speed optical interconnects can be achieved by integrating optoelectronic devices with conventional electronics. Smart pixel arrays have been developed which use optical interconnects. An individual smart pixel cell is composed of a vertical cavity surface emitting laser (VCSEL), a photodetector, an optical receiver, a laser driver, and digital logic circuitry. Oxide-confined VCSELs are being developed to operate at 850 nm with a threshold current of approximately 1 mA. Multiple quantum well photodetectors are being fabricated from AlGaAs for use with the 850 nm VCSELs. The VCSELs and photodetectors are being integrated with complementary metal oxide semiconductor (CMOS) circuitry using flip-chip bonding. CMOS circuitry is being integrated with a 32 X 16 smart pixel array. The 512 smart pixels are serially linked. Thus, an entire data stream may be clocked through the chip and output electrically by the last pixel. Electrical testing is being performed on the CMOS smart pixel array. Using an on-chip pseudo random number generator, a digital data sequence was cycled through the chip verifying operation of the digital circuitry. Although, the prototype chip was fabricated in 1.2 micrometers technology, simulations have demonstrated that the array can operate at 1 Gb/s per pixel using 0.5 micrometers technology.

  14. Ontario's intertie capacity and electricity trade in the interconnected system

    International Nuclear Information System (INIS)

    Dorey, S.

    2002-01-01

    Hydro One's capacity of existing interconnections were described. The Ontario utility is within reach of about 320 GW electricity markets in neighbouring Quebec, New York, Michigan, Minnesota, and Manitoba. It is also within reach of 50 million customers, and 30 per cent of total U.S. energy consumption. The author emphasized the need for expanded interties and new interconnections. The status of new interconnections was described along with the rules regarding electric power import, export and wheeling. It was noted that compared to the United States, Canada has a higher proportion of clean hydro and nuclear power plants in its mix of power generation. Markets across North America are adopting electricity restructuring and open competition. However, the transmission grids were not designed to support market-driven electricity trading. Most transmission grids were built when utilities were tightly regulated and provided service only within their assigned regions. The current energy infrastructure is not equipped for large-scale swapping of power in competitive markets. It was also noted that growth in US power flows is outpacing transmission investment. This paper addressed the issue of license requirements, transmitter proposals for regulated investments, and non-rate base transmitter investments. It was concluded that while market rules are flexible enough to encourage inter-jurisdictional trade, the rules have to facilitate and encourage transmission investment. 8 figs

  15. Analysis of Defective Interconnections of the 13 kA LHC Superconducting Bus Bars

    CERN Document Server

    Granieri, P P; Bianchi, M; Breschi, M; Bottura, L; Willering, G

    2012-01-01

    The interconnections between Large Hadron Collider (LHC) main dipole and quadrupole magnets are made of soldered joints of two superconducting cables stabilized by a copper bus bar. The 2008 incident revealed the possible presence of defects in the interconnections of the 13 kA circuits that could lead to unprotected resistive transitions. Since then thorough experimental and numerical investigations were undertaken to determine the safe operating conditions for the LHC. This paper reports the analysis of experimental tests reproducing defective interconnections between main quadrupole magnets. A thermo-electromagnetic model was developed taking into account the complicated sample geometry. Close attention was paid to the physical description of the heat transfer towards helium, one of the main unknown parameters. The simulation results are reported in comparison with the measurements in case of static He I cooling bath. The outcome of this study constitutes a useful input to improve the stability assessment ...

  16. Load frequency control of three area interconnected hydro-thermal ...

    African Journals Online (AJOL)

    This paper present analysis on dynamic performance of Load Frequency Control (LFC) of three area interconnected hydrothermal reheat power system by the use of Artificial Intelligent and PI Controller. In the proposed scheme, control methodology developed using conventional PI controller, Artificial Neural Network ...

  17. Advanced Modulation Techniques for High-Performance Computing Optical Interconnects

    DEFF Research Database (Denmark)

    Karinou, Fotini; Borkowski, Robert; Zibar, Darko

    2013-01-01

    We experimentally assess the performance of a 64 × 64 optical switch fabric used for ns-speed optical cell switching in supercomputer optical interconnects. More specifically, we study four alternative modulation formats and detection schemes, namely, 10-Gb/s nonreturn-to-zero differential phase-...

  18. Interconnectivity and the Electronic Academic Library

    Directory of Open Access Journals (Sweden)

    Donald E. Riggs

    1988-03-01

    Full Text Available 無Due to the emphasis on the use of computing networks on campuses and to the very nature of more information being accessible to library users only via electronic means, we are witnessing a migration to electronic academic libraries. this new type of library is being required to have interconnections with the campus' other online information/data systems. Arizona State University libraries have been provided the opportunity to develop an electronic library that will be the focal point of a campus-wide information/data network.

  19. Report on the use of the French-German interconnection in 2006

    International Nuclear Information System (INIS)

    2007-01-01

    framework of the road-map has greatly improved congestion management. New economic signals have appeared, estimating the value of the different interconnection capacities at the French-German border. Furthermore, because the TSOs have to use the congestion income, it is now shared out amongst all grid users. Lastly, thanks to market-based and nondiscriminatory allocation mechanisms, the capacities are now more widely shared out amongst market players and are used more consistently with price differentials on the French-German border. Inefficiency is still to be found in congestion management methods. To fine-tune the mechanisms already in use and apply the new legal framework, a more global approach, at least at a regional level, is needed. The launch of the European Regulators Group for Electricity and Gas (ERGEG)'s Regional Initiatives meets this need perfectly. In particular, in the Central- West region, including Belgium, France, Germany, Luxembourg and the Netherlands, the five regulators work actively together in order to define the target congestion management mechanisms to be applied within the region. Accordingly, the Action Plan published by regulators in February 2007 defined eight priorities for improvement in existing mechanisms within this region

  20. Offshore grid transmission planning using approximated HVDC power flows

    Energy Technology Data Exchange (ETDEWEB)

    Torbaghan, Shahab Shariat; Rawn, Barry G.; Gibescu, Madeleine; Meijden, Mart van der [Delft Univ. of Technology (Netherlands). Dept. of Electrical Sustainable Energy

    2012-07-01

    In this paper we introduce an optimization framework which determines a socially optimum design of a HVDC offshore grid, under the assumption of a centralized network expansion planning scheme. This gives the optimum grid topology and interconnections capacity. In addition, the analytical solution to the optimization problem yields a market structure that expresses the relationship between the different regions' electricity prices and congestion charges associated with the interconnections. The optimization model sets the transmission capacities in a way that congestion shadow prices to be collected by the end of the economic lifetime of the project pay off the initial investment capital. We use this framework to study the impact of exercising different dispatching policies on the optimum design of the grid. The framework is applied to a multi-bus test system that functions under 8 different time periods. (orig.)

  1. Electromigration in integrated circuit interconnects studied by X-ray microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schneider, G. E-mail: gschnei1@gwdg.de; Denbeaux, G.; Anderson, E.; Bates, W.; Salmassi, F.; Nachimuthu, P.; Pearson, A.; Richardson, D.; Hambach, D.; Hoffmann, N.; Hasse, W.; Hoffmann, K

    2003-01-01

    To study mass transport phenomena in advanced microelectronic devices with X-rays requires penetration of dielectric and Si layers up to 30 {mu}m thick. X-ray imaging at 1.8 keV photon energy provides a high amplitude contrast between Cu or Al interconnects and dielectric layers and can penetrate through the required thickness. To perform X-ray microscopy at 1.8 keV, a new Ru/Si multilayer was designed for the transmission X-ray microscope XM-1 installed at the Advanced Light Source in Berkeley. The mass flow in a passivated Cu interconnect was studied at current densities up to 10{sup 7} A/cm{sup 2}. In addition, we demonstrated the high material contrast from different elements in integrated circuits with a resolution of about 40 nm.

  2. Electromigration in integrated circuit interconnects studied by X-ray microscopy

    CERN Document Server

    Schneider, G; Anderson, E; Bates, W; Salmassi, F; Nachimuthu, P; Pearson, A; Richardson, D; Hambach, D; Hoffmann, N; Hasse, W; Hoffmann, K

    2003-01-01

    To study mass transport phenomena in advanced microelectronic devices with X-rays requires penetration of dielectric and Si layers up to 30 mu m thick. X-ray imaging at 1.8 keV photon energy provides a high amplitude contrast between Cu or Al interconnects and dielectric layers and can penetrate through the required thickness. To perform X-ray microscopy at 1.8 keV, a new Ru/Si multilayer was designed for the transmission X-ray microscope XM-1 installed at the Advanced Light Source in Berkeley. The mass flow in a passivated Cu interconnect was studied at current densities up to 10 sup 7 A/cm sup 2. In addition, we demonstrated the high material contrast from different elements in integrated circuits with a resolution of about 40 nm.

  3. Computer simulation of electromigration in microelectronics interconnect

    OpenAIRE

    Zhu, Xiaoxin

    2014-01-01

    Electromigration (EM) is a phenomenon that occurs in metal conductor carrying high density electric current. EM causes voids and hillocks that may lead to open or short circuits in electronic devices. Avoiding these failures therefore is a major challenge in semiconductor device and packaging design and manufacturing, and it will become an even greater challenge for the semiconductor assembly and packaging industry as electronics components and interconnects get smaller and smaller. According...

  4. Three-dimensional crossbar interconnection using planar-integrated free-space optics and digital mirror-device

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.

    2011-01-01

    We consider the implementation of a dynamic crossbar interconnect using planar-integrated free-space optics (PIFSO) and a digital mirror-device™ (DMD). Because of the 3D nature of free-space optics, this approach is able to solve geometrical problems with crossings of the signal paths that occur in waveguide optical and electrical interconnection, especially for large number of connections. The DMD device allows one to route the signals dynamically. Due to the large number of individual mirror elements in the DMD, different optical path configurations are possible, thus offering the chance for optimizing the network configuration. The optimization is achieved by using an evolutionary algorithm for finding best values for a skewless parallel interconnection. Here, we present results and experimental examples for the use of the PIFSO/DMD-setup.

  5. Examination of Critical Length Effect in Copper Interconnects With Oxide and Low-k Dielectrics

    International Nuclear Information System (INIS)

    Thrasher, Stacye; Gall, Martin; Justison, Patrick; Hernandez, Richard; Kawasaki, Hisao; Capasso, Cristiano; Nguyen, Timothy

    2004-01-01

    As technology moves toward faster microelectronic devices with smaller feature sizes, copper is replacing aluminum-copper alloy and low-k dielectric is replacing oxide as the materials of choice for advanced interconnect integrations. Copper not only brings to the table the advantage of lower resistivity, but also exhibits better electromigration performance when compared to Al(Cu). Low-k dielectric materials are advantageous because they reduce power consumption and improve signal delay. Due to these advantages, the industry trend is moving towards integrating copper and low-k dielectric for high performance interconnects. The purpose of this study is to evaluate the critical length effect in single-inlaid copper interconnects and determine the critical product (jl)c, for a variety of integrations, examining the effect of ILD (oxide vs. low-k), geometry, and stress temperature

  6. Defining robustness protocols: a method to include and evaluate robustness in clinical plans

    International Nuclear Information System (INIS)

    McGowan, S E; Albertini, F; Lomax, A J; Thomas, S J

    2015-01-01

    We aim to define a site-specific robustness protocol to be used during the clinical plan evaluation process. Plan robustness of 16 skull base IMPT plans to systematic range and random set-up errors have been retrospectively and systematically analysed. This was determined by calculating the error-bar dose distribution (ebDD) for all the plans and by defining some metrics used to define protocols aiding the plan assessment. Additionally, an example of how to clinically use the defined robustness database is given whereby a plan with sub-optimal brainstem robustness was identified. The advantage of using different beam arrangements to improve the plan robustness was analysed. Using the ebDD it was found range errors had a smaller effect on dose distribution than the corresponding set-up error in a single fraction, and that organs at risk were most robust to the range errors, whereas the target was more robust to set-up errors. A database was created to aid planners in terms of plan robustness aims in these volumes. This resulted in the definition of site-specific robustness protocols. The use of robustness constraints allowed for the identification of a specific patient that may have benefited from a treatment of greater individuality. A new beam arrangement showed to be preferential when balancing conformality and robustness for this case. The ebDD and error-bar volume histogram proved effective in analysing plan robustness. The process of retrospective analysis could be used to establish site-specific robustness planning protocols in proton therapy. These protocols allow the planner to determine plans that, although delivering a dosimetrically adequate dose distribution, have resulted in sub-optimal robustness to these uncertainties. For these cases the use of different beam start conditions may improve the plan robustness to set-up and range uncertainties. (paper)

  7. Defining robustness protocols: a method to include and evaluate robustness in clinical plans

    Science.gov (United States)

    McGowan, S. E.; Albertini, F.; Thomas, S. J.; Lomax, A. J.

    2015-04-01

    We aim to define a site-specific robustness protocol to be used during the clinical plan evaluation process. Plan robustness of 16 skull base IMPT plans to systematic range and random set-up errors have been retrospectively and systematically analysed. This was determined by calculating the error-bar dose distribution (ebDD) for all the plans and by defining some metrics used to define protocols aiding the plan assessment. Additionally, an example of how to clinically use the defined robustness database is given whereby a plan with sub-optimal brainstem robustness was identified. The advantage of using different beam arrangements to improve the plan robustness was analysed. Using the ebDD it was found range errors had a smaller effect on dose distribution than the corresponding set-up error in a single fraction, and that organs at risk were most robust to the range errors, whereas the target was more robust to set-up errors. A database was created to aid planners in terms of plan robustness aims in these volumes. This resulted in the definition of site-specific robustness protocols. The use of robustness constraints allowed for the identification of a specific patient that may have benefited from a treatment of greater individuality. A new beam arrangement showed to be preferential when balancing conformality and robustness for this case. The ebDD and error-bar volume histogram proved effective in analysing plan robustness. The process of retrospective analysis could be used to establish site-specific robustness planning protocols in proton therapy. These protocols allow the planner to determine plans that, although delivering a dosimetrically adequate dose distribution, have resulted in sub-optimal robustness to these uncertainties. For these cases the use of different beam start conditions may improve the plan robustness to set-up and range uncertainties.

  8. New Architecture of Optical Interconnect for High-Speed Optical Computerized Data Networks (Nonlinear Response

    Directory of Open Access Journals (Sweden)

    El-Sayed A. El-Badawy

    2008-02-01

    Full Text Available Although research into the use of optics in computers has increased in the last and current decades, the fact remains that electronics is still superior to optics in almost every way. Research into the use of optics at this stage mirrors the research into electronics after the 2nd World War. The advantages of using fiber optics over wiring are the same as the argument for using optics over electronics in computers. Even through totally optical computers are now a reality, computers that combine both electronics and optics, electro-optic hybrids, have been in use for some time. In the present paper, architecture of optical interconnect is built up on the bases of four Vertical-Cavity Surface- Emitting Laser Diodes (VCSELD and two optical links where thermal effects of both the diodes and the links are included. Nonlinear relations are correlated to investigate the power-current and the voltage-current dependences of the four devices. The good performance (high speed of the interconnect is deeply and parametrically investigated under wide ranges of the affecting parameters. The high speed performance is processed through three different effects, namely the device 3-dB bandwidth, the link dispersion characteristics, and the transmitted bit rate (soliton. Eight combinations are investigated; each possesses its own characteristics. The best architecture is the one composed of VCSELD that operates at 850 nm and the silica fiber whatever the operating set of causes. This combination possesses the largest device 3-dB bandwidth, the largest link bandwidth and the largest soliton transmitted bit rate. The increase of the ambient temperature reduces the high-speed performance of the interconnect

  9. Impact of Isothermal Aging and Testing Temperature on Large Flip-Chip BGA Interconnect Mechanical Shock Performance

    Science.gov (United States)

    Lee, Tae-Kyu; Chen, Zhiqiang; Guirguis, Cherif; Akinade, Kola

    2017-10-01

    The stability of solder interconnects in a mechanical shock environment is crucial for large body size flip-chip ball grid array (FCBGA) electronic packages. Additionally, the junction temperature increases with higher electric power condition, which brings the component into an elevated temperature environment, thus introducing another consideration factor for mechanical stability of interconnection joints. Since most of the shock performance data available were produced at room temperature, the effect of elevated temperature is of interest to ensure the reliability of the device in a mechanical shock environment. To achieve a stable␣interconnect in a dynamic shock environment, the interconnections must tolerate mechanical strain, which is induced by the shock wave input and reaches the particular component interconnect joint. In this study, large body size (52.5 × 52.5 mm2) FCBGA components assembled on 2.4-mm-thick boards were tested with various isothermal pre-conditions and testing conditions. With a heating element embedded in the test board, a test temperature range from room temperature to 100°C was established. The effects of elevated temperature on mechanical shock performance were investigated. Failure and degradation mechanisms are identified and discussed based on the microstructure evolution and grain structure transformations.

  10. Ballistic One-Dimensional InAs Nanowire Cross-Junction Interconnects.

    Science.gov (United States)

    Gooth, Johannes; Borg, Mattias; Schmid, Heinz; Schaller, Vanessa; Wirths, Stephan; Moselund, Kirsten; Luisier, Mathieu; Karg, Siegfried; Riel, Heike

    2017-04-12

    Coherent interconnection of quantum bits remains an ongoing challenge in quantum information technology. Envisioned hardware to achieve this goal is based on semiconductor nanowire (NW) circuits, comprising individual NW devices that are linked through ballistic interconnects. However, maintaining the sensitive ballistic conduction and confinement conditions across NW intersections is a nontrivial problem. Here, we go beyond the characterization of a single NW device and demonstrate ballistic one-dimensional (1D) quantum transport in InAs NW cross-junctions, monolithically integrated on Si. Characteristic 1D conductance plateaus are resolved in field-effect measurements across up to four NW-junctions in series. The 1D ballistic transport and sub-band splitting is preserved for both crossing-directions. We show that the 1D modes of a single injection terminal can be distributed into multiple NW branches. We believe that NW cross-junctions are well-suited as cross-directional communication links for the reliable transfer of quantum information as required for quantum computational systems.

  11. Financial viability of the Sonora-Baja California interconnection line; Viabilidad financiera de la linea de interconexion Sonora-Baja California

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, G. [ININ, Carretera Mexico-Touca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico); Ortega, G., E-mail: gustavo.alonso@inin.gob.mx [Comision Federal de Electricidad, Rio Rodano No. 14, Col. Cuauhtemoc, 06500 Ciudad de Mexico (Mexico)

    2017-09-15

    In the Development Program of the National Electricity Sector 2015-2029, an electric interconnection line between Sonora and Baja California (Mexico) is proposed, this study analyzes the financial viability of this interconnection line based on the maximum hourly and seasonal energy demand between both regions and proposes alternatives for the supply of electric power that supports the economic convenience of this interconnection line. The results show that additional capacity is required in Sonora to cover the maximum demands of both regions since in the current condition of the National Electric System the interconnection line is not justified. (Author)

  12. The NASA competitive placement plan for positions GS-15 and below (including trades and labor positions)

    Science.gov (United States)

    1993-01-01

    This plan provides the framework for selection based on merit from among the best qualified candidates available. Selections will be made without regard to political, religious, or labor organization affiliation or nonaffiliation, marital status, race, color, sex, national origin, nondisqualifying disability, or age. This plan does not guarantee promotion but rather ensures that all qualified available candidates receive fair and equitable consideration for positions filled under these competitive procedures. Announcing a vacancy under this plan is only one method of locating applicants for a position and can be used in conjunction with other methods. Subject to applicable law and regulation, selection of an individual to fill a position is the decision of management, as is the decision as to the method(s) to be used in identifying candidates. This plan is applicable to all NASA Installations. It covers all positions in the competitive service at (and below) the GS/GM-15 level (including all trades and labor positions), except positions in the Office of the Inspector General. The requirements herein are not intended to, nor should they be construed to limit in any way, the independent personnel authority of the Inspector General under the Inspector General Act, as Amended.

  13. Ten-Year Network Development Plan - 2015 edition, executive summary

    International Nuclear Information System (INIS)

    2016-01-01

    consumption and the energy mix in Europe and France, and developed in the ENTSO-E's TYNDP (at European level), RTE's Generation Adequacy Forecast and Regional RES Connection Plans (at French level). It also includes inputs from the public consultation or by members of RTE's Stakeholders Committee (CURTE) through his network prospects commission. It also takes into account the recommendations formulated by the regulator (CRE) in its July 9 2015 Opinion. In particular, the drivers for the development of interconnections are more detailed. Lastly, the possible network adaptation needs beyond 2025 have been refined based on the latest network studies performed by RTE in 2015

  14. A first-principles analysis of ballistic conductance, grain boundary scattering and vertical resistance in aluminum interconnects

    Science.gov (United States)

    Zhou, Tianji; Lanzillo, Nicholas A.; Bhosale, Prasad; Gall, Daniel; Quon, Roger

    2018-05-01

    We present an ab initio evaluation of electron scattering mechanisms in Al interconnects from a back-end-of-line (BEOL) perspective. We consider the ballistic conductance as a function of nanowire size, as well as the impact of surface oxidation on electron transport. We also consider several representative twin grain boundaries and calculate the specific resistivity and reflection coefficients for each case. Lastly, we calculate the vertical resistance across the Al/Ta(N)/Al and Cu/Ta(N)/Cu interfaces, which are representative of typical vertical interconnect structures with diffusion barriers. Despite a high ballistic conductance, the calculated specific resistivities at grain boundaries are 70-100% higher in Al than in Cu, and the vertical resistance across Ta(N) diffusion barriers are 60-100% larger for Al than for Cu. These results suggest that in addition to the well-known electromigration limitations in Al interconnects, electron scattering represents a major problem in achieving low interconnect line resistance at fine dimensions.

  15. Compact Interconnection Networks Based on Quantum Dots

    Science.gov (United States)

    Fijany, Amir; Toomarian, Nikzad; Modarress, Katayoon; Spotnitz, Matthew

    2003-01-01

    Architectures that would exploit the distinct characteristics of quantum-dot cellular automata (QCA) have been proposed for digital communication networks that connect advanced digital computing circuits. In comparison with networks of wires in conventional very-large-scale integrated (VLSI) circuitry, the networks according to the proposed architectures would be more compact. The proposed architectures would make it possible to implement complex interconnection schemes that are required for some advanced parallel-computing algorithms and that are difficult (and in many cases impractical) to implement in VLSI circuitry. The difficulty of implementation in VLSI and the major potential advantage afforded by QCA were described previously in Implementing Permutation Matrices by Use of Quantum Dots (NPO-20801), NASA Tech Briefs, Vol. 25, No. 10 (October 2001), page 42. To recapitulate: Wherever two wires in a conventional VLSI circuit cross each other and are required not to be in electrical contact with each other, there must be a layer of electrical insulation between them. This, in turn, makes it necessary to resort to a noncoplanar and possibly a multilayer design, which can be complex, expensive, and even impractical. As a result, much of the cost of designing VLSI circuits is associated with minimization of data routing and assignment of layers to minimize crossing of wires. Heretofore, these considerations have impeded the development of VLSI circuitry to implement complex, advanced interconnection schemes. On the other hand, with suitable design and under suitable operating conditions, QCA-based signal paths can be allowed to cross each other in the same plane without adverse effect. In principle, this characteristic could be exploited to design compact, coplanar, simple (relative to VLSI) QCA-based networks to implement complex, advanced interconnection schemes. The proposed architectures require two advances in QCA-based circuitry beyond basic QCA-based binary

  16. Development of pore interconnectivity/morphology in porous silica films investigated by cyclic voltammetry and slow positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Tang, Xiuqin; Xiong, Bangyun; Li, Qichao; Mao, Wenfeng; Xiao, Wei; Fang, Pengfei; He, Chunqing

    2015-01-01

    Highlights: •Porous silica films were studied by cyclic voltammetry and positron annihilation. •Highly interconnected pores were formed in the film fabricated with more CTAB. •Aligned nanochannels were observed in the porous flim prepared with 25 wt.% CTAB. •I − and Ps diffusion in the films was governed by pore interconnectivity/morphology. •Cyclic voltammetry is feasible to explore pore interconnectivity/morphology. -- Abstract: Cyclic voltammetry and positronium (Ps) 3γ-annihilation spectroscopy were applied to investigate pore interconnectivity/morphology of porous silica films fabricated with various loading of cetyltrimethyl ammonium bromide (CTAB). With increasing the ratio of CTAB up to 15 wt.%, the total charge Q, resulted from I − diffusion across the silica films, increased remarkably, indicative of formation of highly interconnected pores in the films prepared with more porogen. However, it decreased dramatically with further loading CTAB of 25 wt.%. Interestingly, 3γ-annihilation fraction I 3γ due to a triplet-state Ps (ortho-positronium, o-Ps) emission from the silica films showed a similar behavior as a function of CTAB loading. The abnormal decrement in Q and I 3γ in the film fabricated with 25 wt.% CTAB was well explained by formation of long nanochannels aligning parallel to the film surface. The results indicated that the total charge Q and Ps 3γ-annihilation fraction were closely associated with I − and Ps diffusion governed by the pore interconnectivity/morphology of the silica films, which made cyclic voltammetry possible to be a feasible tool to characterize pore interconnectivity/morphology of porous thin films

  17. Fiber bundle probes for interconnecting miniaturized medical imaging devices

    Science.gov (United States)

    Zamora, Vanessa; Hofmann, Jens; Marx, Sebastian; Herter, Jonas; Nguyen, Dennis; Arndt-Staufenbiel, Norbert; Schröder, Henning

    2017-02-01

    Miniaturization of medical imaging devices will significantly improve the workflow of physicians in hospitals. Photonic integrated circuit (PIC) technologies offer a high level of miniaturization. However, they need fiber optic interconnection solutions for their functional integration. As part of European funded project (InSPECT) we investigate fiber bundle probes (FBPs) to be used as multi-mode (MM) to single-mode (SM) interconnections for PIC modules. The FBP consists of a set of four or seven SM fibers hexagonally distributed and assembled into a holder that defines a multicore connection. Such a connection can be used to connect MM fibers, while each SM fiber is attached to the PIC module. The manufacturing of these probes is explored by using well-established fiber fusion, epoxy adhesive, innovative adhesive and polishing techniques in order to achieve reliable, low-cost and reproducible samples. An innovative hydrofluoric acid-free fiber etching technology has been recently investigated. The preliminary results show that the reduction of the fiber diameter shows a linear behavior as a function of etching time. Different etch rate values from 0.55 μm/min to 2.3 μm/min were found. Several FBPs with three different type of fibers have been optically interrogated at wavelengths of 630nm and 1550nm. Optical losses are found of approx. 35dB at 1550nm for FBPs composed by 80μm fibers. Although FBPs present moderate optical losses, they might be integrated using different optical fibers, covering a broad spectral range required for imaging applications. Finally, we show the use of FBPs as promising MM-to-SM interconnects for real-world interfacing to PIC's.

  18. Reconfigurable optical interconnection network for multimode optical fiber sensor arrays

    Science.gov (United States)

    Chen, R. T.; Robinson, D.; Lu, H.; Wang, M. R.; Jannson, T.; Baumbick, R.

    1992-01-01

    A single-source, single-detector architecture has been developed to implement a reconfigurable optical interconnection network multimode optical fiber sensor arrays. The network was realized by integrating LiNbO3 electrooptic (EO) gratings working at the Raman Na regime and a massive fan-out waveguide hologram (WH) working at the Bragg regime onto a multimode glass waveguide. The glass waveguide utilized the whole substrate as a guiding medium. A 1-to-59 massive waveguide fan-out was demonstrated using a WH operating at 514 nm. Measured diffraction efficiency of 59 percent was experimentally confirmed. Reconfigurability of the interconnection was carried out by generating an EO grating through an externally applied electric field. Unlike conventional single-mode integrated optical devices, the guided mode demonstrated has an azimuthal symmetry in mode profile which is the same as that of a fiber mode.

  19. Superconducting Thin-Film Interconnects for Cryogenic Photon Detector Arrays, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Advanced imaging spectrometers for x-ray astronomy will require significant improvements in the high density interconnects between the detector arrays and the first...

  20. Epidemics on interconnected networks

    Science.gov (United States)

    Dickison, Mark; Havlin, S.; Stanley, H. E.

    2012-06-01

    Populations are seldom completely isolated from their environment. Individuals in a particular geographic or social region may be considered a distinct network due to strong local ties but will also interact with individuals in other networks. We study the susceptible-infected-recovered process on interconnected network systems and find two distinct regimes. In strongly coupled network systems, epidemics occur simultaneously across the entire system at a critical infection strength βc, below which the disease does not spread. In contrast, in weakly coupled network systems, a mixed phase exists below βc of the coupled network system, where an epidemic occurs in one network but does not spread to the coupled network. We derive an expression for the network and disease parameters that allow this mixed phase and verify it numerically. Public health implications of communities comprising these two classes of network systems are also mentioned.

  1. A GA-fuzzy automatic generation controller for interconnected power system

    CSIR Research Space (South Africa)

    Boesack, CD

    2011-10-01

    Full Text Available This paper presents a GA-Fuzzy Automatic Generation Controller for large interconnected power systems. The design of Fuzzy Logic Controllers by means of expert knowledge have typically been the traditional design norm, however, this may not yield...

  2. Smart hospitality—Interconnectivity and interoperability towards an ecosystem

    OpenAIRE

    Buhalis, Dimitrios; Leung, Rosanna

    2018-01-01

    The Internet and cloud computing changed the way business operate. Standardised web-based applications simplify data interchange which allow internal applications and business partners systems to become interconnected and interoperable. This study conceptualises the smart and agile hospitality enterprises of the future, and proposes a smart hospitality ecosystem that adds value to all stakeholders. Internal data from applications among all stakeholders, consolidated with external environment ...

  3. The critical thickness of liners of Cu interconnects

    International Nuclear Information System (INIS)

    Jiang, Q; Zhang, S H; Li, J C

    2004-01-01

    A model for the size-dependence of activation energy is developed. With the model and Fick's second law, relationships among the liner thickness, the working life and the working temperature of a TaN liner for Cu interconnects are predicted. The predicted results of the TaN liner are in good agreement with the experimental results. Moreover, the critical thicknesses of liners of some elements are calculated

  4. Synthesis of micro-sized interconnected Si-C composites

    Science.gov (United States)

    Wang, Donghai; Yi, Ran; Dai, Fang

    2016-02-23

    Embodiments provide a method of producing micro-sized Si--C composites or doped Si--C and Si alloy-C with interconnected nanoscle Si and C building blocks through converting commercially available SiO.sub.x (0

  5. Cut set-based risk and reliability analysis for arbitrarily interconnected networks

    Science.gov (United States)

    Wyss, Gregory D.

    2000-01-01

    Method for computing all-terminal reliability for arbitrarily interconnected networks such as the United States public switched telephone network. The method includes an efficient search algorithm to generate minimal cut sets for nonhierarchical networks directly from the network connectivity diagram. Efficiency of the search algorithm stems in part from its basis on only link failures. The method also includes a novel quantification scheme that likewise reduces computational effort associated with assessing network reliability based on traditional risk importance measures. Vast reductions in computational effort are realized since combinatorial expansion and subsequent Boolean reduction steps are eliminated through analysis of network segmentations using a technique of assuming node failures to occur on only one side of a break in the network, and repeating the technique for all minimal cut sets generated with the search algorithm. The method functions equally well for planar and non-planar networks.

  6. Operational parameters of an opto-electronic neural network employing fixed planar holographic interconnects

    Science.gov (United States)

    Keller, P. E.; Gmitro, A. F.

    1993-07-01

    A prototype neutral network system of multifaceted, planar interconnection holograms and opto-electronic neurons is analyzed. This analysis shows that a hologram fabricated with electron-beam lithography has the capacity to connect 6700 neuron outputs to 6700 neuron inputs, and that, the encoded synaptic weights have a precision of approximately 5 bits. Higher interconnection densities can be achieved by accepting a lower synaptic weight accuracy. For systems employing laser diodes at the outputs of the neurons, processing rates in the range of 45 to 720 trillion connections per second can potentially be achieved.

  7. Method of bonding an interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Pal, Uday B.; Isenberg, Arnold O.; Folser, George R.

    1992-01-01

    An electrochemical cell containing an air electrode (16), contacting electrolyte and electronically conductive interconnection layer (26), and a fuel electrode, has the interconnection layer (26) attached by: (A) applying a thin, closely packed, discrete layer of LaCrO.sub.3 particles (30), doped with an element selected from the group consisting of Ca, Sr, Co, Ba, Mg and their mixtures on a portion of the air electrode, and then (B) electrochemical vapor depositing a dense skeletal structure (32) between and around the doped LaCrO.sub.3 particles (30).

  8. Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology

    Directory of Open Access Journals (Sweden)

    Kostas Siozios

    2008-01-01

    Full Text Available In current reconfigurable architectures, the interconnection structures increasingly contribute more to the delay and power consumption. The demand for increased clock frequencies and logic density (smaller area footprint makes the problem even more important. Three-dimensional (3D architectures are able to alleviate this problem by accommodating a number of functional layers, each of which might be fabricated in different technology. However, the benefits of such integration technology have not been sufficiently explored yet. In this paper, we propose a software-supported methodology for exploring and evaluating alternative interconnection schemes for 3D FPGAs. In order to support the proposed methodology, three new CAD tools were developed (part of the 3D MEANDER Design Framework. During our exploration, we study the impact of vertical interconnection between functional layers in a number of design parameters. More specifically, the average gains in operation frequency, power consumption, and wirelength are 35%, 32%, and 13%, respectively, compared to existing 2D FPGAs with identical logic resources. Also, we achieve higher utilization ratio for the vertical interconnections compared to existing approaches by 8% for designing 3D FPGAs, leading to cheaper and more reliable devices.

  9. Switching Fabric Based on Multi-Level LVDS Compatible Interconnect, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Switching fabric (SF) is the key component of the next generation of back plane interconnects. Low power, TID and SEU resistant and high bandwidth upgradeable...

  10. 75 FR 71613 - Mandatory Reliability Standards for Interconnection Reliability Operating Limits

    Science.gov (United States)

    2010-11-24

    ... Interconnection to relieve overloads on the facilities modeled in the Interchange Distribution Calculator (IDC... for other SOLs. But the Functional Model assigns a much broader role to the reliability coordinator to...

  11. Planning for Planetary Science Mission Including Resource Prospecting, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Advances in computer-aided mission planning can enhance mission operations and science return for surface missions to Mars, the Moon, and beyond. While the...

  12. Seismic qualification of multiple interconnected safety-related cabinets in a high seismic zone

    International Nuclear Information System (INIS)

    Khan, M.R.; Chen, W.H.W.; Wang, T.Y.

    1993-01-01

    Certain safety-related multiple, interconnected electrical cabinets and the devices contained therein are required to perform their intended safety functions during and after a design basis seismic event. In general, seismic testing is performed to ensure the structural integrity of the cabinets and the functionality of their associated devices. Constrained by the shake table capacity, seismic testing is usually performed only for a limited number of interconnected cabinets. Also, original shake table tests performed usually did not provide detailed response information at various locations inside the cabinets. For operational and maintenance purposes, doors and panels of some cabinets may need to be opened while the adjacent cabinets are required to remain functional. In addition, in-cabinet response spectra need to be generated for the seismic qualification of new devices and the replacement parts. Consequently, seismic analysis of safety-related multiple, interconnected cabinets is frequently required for configurations which are different from the original tested conditions. This paper presents results of seismic tests of three interconnected safety-related cabinets and finite element analyses performed to compare the analytical results with those obtained from the cabinet seismic tests. Parametric analyses are performed to determine how many panels and doors can be opened while the adjacent cabinets still remain functional. The study indicates that for cabinets located in a high seismic zone, the critical damping of the cabinet is significantly higher than 5% to 7% typically used in qualifying electrical equipment. For devices mounted on the cabinet doors to performed their intended safety function, it requires stiffening of doors and that these doors be properly bolted to the cabinet frame. It also shows that even though doors and panels bolted to the cabinet frame are the primary seismic resistant element of the cabinet, opening of a limited number of them

  13. Fiscal 2000 report on result of international joint demonstrative development of photovoltaic power generation system. Demonstrative research on photovoltaic power generation system interconnection system (Myanmar); 2000 nendo taiyoko hatsuden system kokusai kyodo jissho kaihatsu seika hokokusho. Taiyoko hatsuden keito renkei system jissho kenkyu (Myanmar)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Joint research was conducted with Myanmar on a photovoltaic power generation system interconnection system, for which fiscal 2000 results were described in this paper. Power generating facilities were set up consisting of 80kW photovoltaic, 40kW wind and 60kW diesel systems. With the photovoltaic and wind power generation connected to a small-scale power system as a ballast load, the system interconnection is formed through load adjusting equipment such as storage batteries. The hybrid system feeding is from 6 o'clock early in the morning until 23 late at night. The diesel power generation is free from restrictions. The operating method was set on system control (demand side management) by adjustment from the load side, with ballast load control employed that adjustably operates an ice machine load. The basic design was drafted in terms of a storage battery capacity of 1,000Ah and an ice machine load of 32kW. The daytime load was assumed to be 25% of the night load. On the equipment specifications set in this basic design, arrangement design was conducted for the equipment in the premises, making a land development plan and a basic construction plan including a temporary work site, construction steps, transportation and delivery of the equipment. Quantity of solar radiation and wind data were continuously observed. (NEDO)

  14. BC Hydro's integrated resource planning : the 2004 IEP and beyond

    International Nuclear Information System (INIS)

    Soulsby, R.

    2004-01-01

    . Current programs in the action plan include continuance of the current Power Smart 10 year plan; improved distribution interconnection policies; Resource Smart; a Vancouver Island call for tenders; continuing engagement with First Nations and stakeholders; an assessment of options for Burrard; and a confirmation of the viability of Site C. Various other projects initiatives for the future were also presented. A justification of the need for a 2005 IEP was presented, with an outline of key elements. tabs, figs

  15. Neuro-Fuzzy Computational Technique to Control Load Frequency in Hydro-Thermal Interconnected Power System

    Science.gov (United States)

    Prakash, S.; Sinha, S. K.

    2015-09-01

    In this research work, two areas hydro-thermal power system connected through tie-lines is considered. The perturbation of frequencies at the areas and resulting tie line power flows arise due to unpredictable load variations that cause mismatch between the generated and demanded powers. Due to rising and falling power demand, the real and reactive power balance is harmed; hence frequency and voltage get deviated from nominal value. This necessitates designing of an accurate and fast controller to maintain the system parameters at nominal value. The main purpose of system generation control is to balance the system generation against the load and losses so that the desired frequency and power interchange between neighboring systems are maintained. The intelligent controllers like fuzzy logic, artificial neural network (ANN) and hybrid fuzzy neural network approaches are used for automatic generation control for the two area interconnected power systems. Area 1 consists of thermal reheat power plant whereas area 2 consists of hydro power plant with electric governor. Performance evaluation is carried out by using intelligent (ANFIS, ANN and fuzzy) control and conventional PI and PID control approaches. To enhance the performance of controller sliding surface i.e. variable structure control is included. The model of interconnected power system has been developed with all five types of said controllers and simulated using MATLAB/SIMULINK package. The performance of the intelligent controllers has been compared with the conventional PI and PID controllers for the interconnected power system. A comparison of ANFIS, ANN, Fuzzy and PI, PID based approaches shows the superiority of proposed ANFIS over ANN, fuzzy and PI, PID. Thus the hybrid fuzzy neural network controller has better dynamic response i.e., quick in operation, reduced error magnitude and minimized frequency transients.

  16. Super-stretchable metallic interconnects on polymer with a linear strain of up to 100%

    Energy Technology Data Exchange (ETDEWEB)

    Arafat, Yeasir; Dutta, Indranath; Panat, Rahul, E-mail: Rahul.panat@wsu.edu [School of Mechanical and Materials Engineering, Washington State University, Pullman, Washington 99163 (United States)

    2015-08-24

    Metal interconnects in flexible and wearable devices are heterogeneous metal-polymer systems that are expected to sustain large deformation without failure. The principal strategy to make strain tolerant interconnect lines on flexible substrates has comprised of creating serpentine structures of metal films with either in-plane or out-of-plane waves, using porous substrates, or using highly ductile materials such as gold. The wavy and helical serpentine patterns preclude high-density packing of interconnect lines on devices, while ductile materials such as Au are cost prohibitive for real world applications. Ductile copper films can be stretched if bonded to the substrate, but show high level of cracking beyond few tens of % strain. In this paper, we demonstrate a material system consisting of Indium metal film over an elastomer (PDMS) with a discontinuous Cr layer such that the metal interconnect can be stretched to extremely high linear strain (up to 100%) without any visible cracks. Such linear strain in metal interconnects exceeds that reported in literature and is obtained without the use of any geometrical manipulations or porous substrates. Systematic experimentation is carried out to explain the mechanisms that allow the Indium film to sustain the high strain level without failure. The islands forming the discontinuous Cr layer are shown to move apart from each other during stretching without delamination, providing strong adhesion to the Indium film while accommodating the large strain in the system. The Indium film is shown to form surface wrinkles upon release from the large strain, confirming its strong adhesion to PDMS. A model is proposed based upon the observations that can explain the high level of stretch-ability of the Indium metal film over the PDMS substrate.

  17. High Cycling Performance Cathode Material: Interconnected LiFePO4/Carbon Nanoparticles Fabricated by Sol-Gel Method

    Directory of Open Access Journals (Sweden)

    Zhigao Yang

    2014-01-01

    Full Text Available Interconnected LiFePO4/carbon nanoparticles for Li-ion battery cathode have been fabricated by sol-gel method followed by a carbon coating process involving redox reactions. The carbon layers coated on the LiFePO4 nanoparticles not only served as a protection layer but also supplied fast electrons by building a 3D conductive network. As a cooperation, LiFePO4 nanoparticles encapsulated in interconnected conductive carbon layers provided the electrode reactions with fast lithium ions by offering the lithium ions shortening and unobstructed pathways. Field emission scanning electron microscopy (FESEM and X-ray diffraction (XRD tests showed optimized morphology. Electrochemical characterizations including galvanostatic charge/discharge, cyclic voltammetry (CV, and electrochemical impedance spectroscopy (EIS tests, together with impedance parameters calculated, all indicated better electrochemical performance and excellent cycling performance at high rate (with less than 9.5% discharge capacity loss over 2000 cycles, the coulombic efficiency maintained about 100%.

  18. Efficient modeling of metallic interconnects for thermo-mechanical simulation of SOFC stacks: homogenized behaviors and effect of contact

    DEFF Research Database (Denmark)

    Tadesse Molla, Tesfaye; Kwok, Kawai; Frandsen, Henrik Lund

    2016-01-01

    temperature, deformations involving the elastic, creep as well as effect of changes in the geometry due to contact should be accounted for. The constitutive law can be applied using 3D modeling, but for simple presentation of the theory, 2D plane strain formulation is used to model the corrugated metallic......Currently thermo-mechanical analysis of the entire solid oxide fuel cell (SOFC) stack at operational conditions is computationally challenging if the geometry of metallic interconnects is considered explicitly. This is particularly the case when creep deformations in the interconnect are considered...... model to calculate the homogenized mechanical response of corrugated metallic interconnects at high temperatures.Thereafter, a constitutive law for the homogenized structure (effective material law) is developed. In order to properly describe the mechanical behavior of the interconnect at high...

  19. HOW TO INCLUDE KEY COMPETENCES IN THE COURSE PLAN

    OpenAIRE

    Benito García Peinado

    2009-01-01

    In our school we have decided to develop the teaching approaches to key competences through an organizational model that could be called "the model of the educational basic training". When suggesting new planning, we think that we must offer some solutions which start from the classroom routines and help us to choose the best aspects of these methods to improve them.

  20. Distributed Robustness Analysis of Interconnected Uncertain Systems Using Chordal Decomposition

    DEFF Research Database (Denmark)

    Pakazad, Sina Khoshfetrat; Hansson, Anders; Andersen, Martin Skovgaard

    2014-01-01

    Large-scale interconnected uncertain systems commonly have large state and uncertainty dimensions. Aside from the heavy computational cost of performing robust stability analysis in a centralized manner, privacy requirements in the network can also introduce further issues. In this paper, we util...

  1. Area analysis of interconnection networks implemented on the honeycomb architecture

    Energy Technology Data Exchange (ETDEWEB)

    Milutinovic, D

    1996-12-31

    The are utilization of interconnection networks for parallel processing on one form of uniform parallel architecture of cellular type is analyzed. Formulae for the number of cells necessity to realize a networks and the efficiency factor of the system are derived. 15 refs.

  2. Interconnect patterns for printed organic thermoelectric devices with large fill factors

    Science.gov (United States)

    Gordiz, Kiarash; Menon, Akanksha K.; Yee, Shannon K.

    2017-09-01

    Organic materials can be printed into thermoelectric (TE) devices for low temperature energy harvesting applications. The output voltage of printed devices is often limited by (i) small temperature differences across the active materials attributed to small leg lengths and (ii) the lower Seebeck coefficient of organic materials compared to their inorganic counterparts. To increase the voltage, a large number of p- and n-type leg pairs is required for organic TEs; this, however, results in an increased interconnect resistance, which then limits the device output power. In this work, we discuss practical concepts to address this problem by positioning TE legs in a hexagonal closed-packed layout. This helps achieve higher fill factors (˜91%) than conventional inorganic devices (˜25%), which ultimately results in higher voltages and power densities due to lower interconnect resistances. In addition, wiring the legs following a Hilbert spacing-filling pattern allows for facile load matching to each application. This is made possible by leveraging the fractal nature of the Hilbert interconnect pattern, which results in identical sub-modules. Using the Hilbert design, sub-modules can better accommodate non-uniform temperature distributions because they naturally self-localize. These device design concepts open new avenues for roll-to-roll printing and custom TE module shapes, thereby enabling organic TE modules for self-powered sensors and wearable electronic applications.

  3. Status of the segment interconnect, cable segment ancillary logic, and the cable segment hybrid driver projects

    International Nuclear Information System (INIS)

    Swoboda, C.; Barsotti, E.; Chappa, S.; Downing, R.; Goeransson, G.; Lensy, D.; Moore, G.; Rotolo, C.; Urish, J.

    1985-01-01

    The FASTBUS Segment Interconnect (SI) provides a communication path between two otherwise independent, asynchronous bus segments. In particular, the Segment Interconnect links a backplane crate segment to a cable segment. All standard FASTBUS address and data transactions can be passed through the SI or any number of SIs and segments in a path. Thus systems of arbitrary connection complexity can be formed, allowing simultaneous independent processing, yet still permitting devices associated with one segment to be accessed from others. The model S1 Segment Interconnect and the Cable Segment Ancillary Logic covered in this report comply with all the mandatory features stated in the FASTBUS specification document DOE/ER-0189. A block diagram of the SI is shown

  4. Adaptive critic designs for optimal control of uncertain nonlinear systems with unmatched interconnections.

    Science.gov (United States)

    Yang, Xiong; He, Haibo

    2018-05-26

    In this paper, we develop a novel optimal control strategy for a class of uncertain nonlinear systems with unmatched interconnections. To begin with, we present a stabilizing feedback controller for the interconnected nonlinear systems by modifying an array of optimal control laws of auxiliary subsystems. We also prove that this feedback controller ensures a specified cost function to achieve optimality. Then, under the framework of adaptive critic designs, we use critic networks to solve the Hamilton-Jacobi-Bellman equations associated with auxiliary subsystem optimal control laws. The critic network weights are tuned through the gradient descent method combined with an additional stabilizing term. By using the newly established weight tuning rules, we no longer need the initial admissible control condition. In addition, we demonstrate that all signals in the closed-loop auxiliary subsystems are stable in the sense of uniform ultimate boundedness by using classic Lyapunov techniques. Finally, we provide an interconnected nonlinear plant to validate the present control scheme. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. The impact of increased interconnection on electricity systems with large penetrations of wind generation. A case study of Ireland and Great Britain

    International Nuclear Information System (INIS)

    Denny, E.; Tuohy, A.; Keane, A.; Flynn, D.; O'Malley, M.; Meibom, P.; Mullane, A.

    2010-01-01

    Increased interconnection has been highlighted as potentially facilitating the integration of wind generation in power systems by increasing the flexibility to balance the variable wind output. This paper utilizes a stochastic unit commitment model to simulate the impacts of increased interconnection for the island of Ireland with large penetrations of wind generation. The results suggest that increased interconnection should reduce average prices in Ireland, and the variability of those prices. The simulations also suggest that while increased interconnection may reduce carbon dioxide emissions in Ireland, Great Britain would experience an increase in emissions, resulting in total emissions remaining almost unchanged. The studies suggest that increased interconnection would not reduce excess wind generation. This is because under unit commitment techniques which incorporate wind power forecasts in the scheduling decisions, wind curtailment is minimal even with low levels of interconnection. As would be expected an increase in interconnection should improve system adequacy considerably with a significant reduction in the number of hours when the load and reserve constraints are not met. (author)

  6. Zooplankton structure in two interconnected ponds: similarities and differences

    Directory of Open Access Journals (Sweden)

    Špoljar Maria

    2016-03-01

    Full Text Available The research of zooplankton diversity, abundance and trophic structure was conducted during the summer period in pelagial zone on the longitudinal profile of the Sutla River Backwater. Investigated site consists of two interconnected basins: transparent Upper Basin with submerged macrophytes and turbid Lower Basin without macrophytes in the littoral zone. In the Upper Basin, abundance and diversity of zooplankton in the pelagial was higher in comparison to the Lower Basin, with prevailing species of genus Keratella as microfilter-feeder, and genera of Polyartha and Trihocerca as macrofilter-feeder rotifers. On the contrary, in the Lower Basin, crustaceans dominated in abundance. Microfilter-feeder cladoceran (Bosmina longirostris and larval and adult stages of macrofilter-feeder copepod (Macrocyclops albidus prevailed in the Lower Basin. Fish predation pressure was more pronounced in the pelagial of the Upper Basin, indicated by low cladoceran abundance in the surface layer. Although the studied basins were interconnected, results indicate significant (Mann-Whitney U test, p < 0.05 differences in the zooplankton structure as a potential result of the macrophyte impact on environmental conditions and fish predation pressure.

  7. HOW TO INCLUDE KEY COMPETENCES IN THE COURSE PLAN

    Directory of Open Access Journals (Sweden)

    Benito García Peinado

    2009-04-01

    Full Text Available In our school we have decided to develop the teaching approaches to key competences through an organizational model that could be called "the model of the educational basic training". When suggesting new planning, we think that we must offer some solutions which start from the classroom routines and help us to choose the best aspects of these methods to improve them.

  8. Bottom-up approach for carbon nanotube interconnects

    International Nuclear Information System (INIS)

    Li Jun; Ye Qi; Cassell, Alan; Ng, Hou Tee; Stevens, Ramsey; Han Jie; Meyyappan, M.

    2003-01-01

    We report a bottom-up approach to integrate multiwalled carbon nanotubes (MWNTs) into multilevel interconnects in silicon integrated-circuit manufacturing. MWNTs are grown vertically from patterned catalyst spots using plasma-enhanced chemical vapor deposition. We demonstrate the capability to grow aligned structures ranging from a single tube to forest-like arrays at desired locations. SiO 2 is deposited to encapsulate each nanotube and the substrate, followed by a mechanical polishing process for planarization. MWNTs retain their integrity and demonstrate electrical properties consistent with their original structure

  9. X-ray microdiffraction study of Cu interconnects

    International Nuclear Information System (INIS)

    Zhang, X.; Solak, H.; Cerrina, F.; Lai, B.; Cai, Z.; Ilinski, P.; Legnini, D.; Rodrigues, W.

    2000-01-01

    We have used x-ray microdiffraction to study the local structure and strain variation of copper interconnects. Different types of local microstructures have been found in different samples. Our data show that the Ti adhesion layer has a very dramatic effect on Cu microstructure. Strain measurement was conducted before and after electromigration test, Cu fluorescence was used to find the mass variations around voids and hillocks, and x-ray microdiffraction was used to measure the strain change around that interested region. (c) 2000 American Institute of Physics

  10. Key factors in the successful implementation of enterprise resource planning system

    Directory of Open Access Journals (Sweden)

    Farajollah Rahnavard

    2014-04-01

    Full Text Available Enterprise Resource Planning Systems (ERP are considered as the newest and most effective tools of enterprise resource planning and include an interconnected information, management and engineering system that meets all the needs of an organization. ERP implementation is costly and time-consuming and makes fundamental change in the process, if not implemented correctly it will cause challenges in most parts of the organization and will certainly fail. Therefore, the identification of key success factors in implementing ERP helps organizations avoid the loss of the project. This research aims to identify key success factors for ERP by examining 185 managers, professionals, experts of the Information and Communication Technology Institute associated with the Ministry of Communications and Information Technology of Iran. A questionnaire was used to collect data. Findings from exploratory factor analysis indicate that five factors: 1 user friendliness, flexible and consistency 2 establishment of project management; 3 alignment with user needs; 4 Management of organizational changes, and 5 observing the principles of successful implementation of ERP affect the institute and the corresponding suggestions are proposed consistent with these findings.

  11. Statistical analysis of LHC main interconnection splices room temperature resistance (R-8) results

    CERN Document Server

    Heck, S

    2012-01-01

    During the 2008/2009 shutdown the so-called R-8/R-16 room temperature resistance test has been introduced for the quality control of the LHC main interconnection splices. It has been found that at present two groups of LHC main interconnection splices can be distinguished, so-called “old” splices produced during LHC installation, and so-called “new” splices produced during 2009. 2009 production splices are considered as the state-of-the art, which is reflected by a much smaller R-8 distribution as compared to that of splices produced during first LHC installation.

  12. The impact of increased interconnection on electricity systems with large penetrations of wind generation: A case study of Ireland and Great Britain

    DEFF Research Database (Denmark)

    Denny, E.; Tuohy, A.; Meibom, Peter

    2010-01-01

    interconnection for the island of Ireland with large penetrations of wind generation. The results suggest that increased interconnection should reduce average prices in Ireland, and the variability of those prices. The simulations also suggest that while increased interconnection may reduce carbon dioxide...

  13. Mechanics of ultra-stretchable self-similar serpentine interconnects

    International Nuclear Information System (INIS)

    Zhang, Yihui; Fu, Haoran; Su, Yewang; Xu, Sheng

    2013-01-01

    Graphical abstract: We developed analytical models of flexibility and elastic-stretchability for self-similar interconnect. The analytic solutions agree very well with the finite element analyses, both demonstrating that the elastic-stretchability more than doubles when the order of self-similar structure increases by one. Design optimization yields 90% and 50% elastic stretchability for systems with surface filling ratios of 50% and 70% of active devices, respectively. The analytic models are useful for the development of stretchable electronics that simultaneously demand large coverage of active devices, such as stretchable photovoltaics and electronic eye-ball cameras. -- Abstract: Electrical interconnects that adopt self-similar, serpentine layouts offer exceptional levels of stretchability in systems that consist of collections of small, non-stretchable active devices in the so-called island–bridge design. This paper develops analytical models of flexibility and elastic stretchability for such structures, and establishes recursive formulae at different orders of self-similarity. The analytic solutions agree well with finite element analysis, with both demonstrating that the elastic stretchability more than doubles when the order of the self-similar structure increases by one. Design optimization yields 90% and 50% elastic stretchability for systems with surface filling ratios of 50% and 70% of active devices, respectively

  14. Electromigration-induced plasticity and texture in Cu interconnects

    International Nuclear Information System (INIS)

    Advanced Light Source; Tamura, Nobumichi; Budiman, A. S.; Hau-Riege, C.S.; Besser, P. R.; Marathe, A.; Joo, Y.-C.; Tamura, N.; Patel, J. R.; Nix, W. D.

    2007-01-01

    Plastic deformation has been observed in damascene Cu interconnect test structures during an in-situ electromigration experiment and before the onset of visible microstructural damage (ie. voiding) using a synchrotron technique of white beam X-ray microdiffraction. We show here that the extent of this electromigration-induced plasticity is dependent on the texture of the Cu grains in the line. In lines with strong textures, the extent of plastic deformation is found to be relatively large compared to our plasticity results in the previous study [1] using another set of Cu lines with weaker textures. This is consistent with our earlier observation that the occurrence of plastic deformation in a given grain can be strongly correlated with the availability of a direction of the crystal in the proximity of the direction of the electron flow in the line (within an angle of 10 o ). In out-of-plane oriented grains in a damascene interconnect scheme, the crystal plane facing the sidewall tends to be a {110} plane,[2-4] so as to minimize interfacial energy. Therefore, it is deterministic rather than probabilistic that the grains will have a direction nearly parallel to the direction of electron flow. Thus, strong textures lead to more plasticity, as we observe

  15. Electromigration-induced Plasticity and Texture in Cu Interconnects

    Science.gov (United States)

    Budiman, A. S.; Hau-Riege, C. S.; Besser, P. R.; Marathe, A.; Joo, Y.-C.; Tamura, N.; Patel, J. R.; Nix, W. D.

    2007-10-01

    Plastic deformation has been observed in damascene Cu interconnect test structures during an in-situ electromigration experiment and before the onset of visible microstructural damage (ie. voiding) using a synchrotron technique of white beam X-ray microdiffraction. We show here that the extent of this electromigration-induced plasticity is dependent on the texture of the Cu grains in the line. In lines with strong textures, the extent of plastic deformation is found to be relatively large compared to our plasticity results in the previous study[1] using another set of Cu lines with weaker textures. This is consistent with our earlier observation that the occurrence of plastic deformation in a given grain can be strongly correlated with the availability of a direction of the crystal in the proximity of the direction of the electron flow in the line (within an angle of 10°). In out-of-plane oriented grains in a damascene interconnect scheme, the crystal plane facing the sidewall tends to be a {110} plane,[2-4] so as to minimize interfacial energy. Therefore, it is deterministic rather than probabilistic that the grains will have a direction nearly parallel to the direction of electron flow. Thus, strong textures lead to more plasticity, as we observe.

  16. Complexity in neuronal noise depends on network interconnectivity.

    Science.gov (United States)

    Serletis, Demitre; Zalay, Osbert C; Valiante, Taufik A; Bardakjian, Berj L; Carlen, Peter L

    2011-06-01

    "Noise," or noise-like activity (NLA), defines background electrical membrane potential fluctuations at the cellular level of the nervous system, comprising an important aspect of brain dynamics. Using whole-cell voltage recordings from fast-spiking stratum oriens interneurons and stratum pyramidale neurons located in the CA3 region of the intact mouse hippocampus, we applied complexity measures from dynamical systems theory (i.e., 1/f(γ) noise and correlation dimension) and found evidence for complexity in neuronal NLA, ranging from high- to low-complexity dynamics. Importantly, these high- and low-complexity signal features were largely dependent on gap junction and chemical synaptic transmission. Progressive neuronal isolation from the surrounding local network via gap junction blockade (abolishing gap junction-dependent spikelets) and then chemical synaptic blockade (abolishing excitatory and inhibitory post-synaptic potentials), or the reverse order of these treatments, resulted in emergence of high-complexity NLA dynamics. Restoring local network interconnectivity via blockade washout resulted in resolution to low-complexity behavior. These results suggest that the observed increase in background NLA complexity is the result of reduced network interconnectivity, thereby highlighting the potential importance of the NLA signal to the study of network state transitions arising in normal and abnormal brain dynamics (such as in epilepsy, for example).

  17. Message Passing Framework for Globally Interconnected Clusters

    International Nuclear Information System (INIS)

    Hafeez, M; Riaz, N; Asghar, S; Malik, U A; Rehman, A

    2011-01-01

    In prevailing technology trends it is apparent that the network requirements and technologies will advance in future. Therefore the need of High Performance Computing (HPC) based implementation for interconnecting clusters is comprehensible for scalability of clusters. Grid computing provides global infrastructure of interconnecting clusters consisting of dispersed computing resources over Internet. On the other hand the leading model for HPC programming is Message Passing Interface (MPI). As compared to Grid computing, MPI is better suited for solving most of the complex computational problems. MPI itself is restricted to a single cluster. It does not support message passing over the internet to use the computing resources of different clusters in an optimal way. We propose a model that provides message passing capabilities between parallel applications over the internet. The proposed model is based on Architecture for Java Universal Message Passing (A-JUMP) framework and Enterprise Service Bus (ESB) named as High Performance Computing Bus. The HPC Bus is built using ActiveMQ. HPC Bus is responsible for communication and message passing in an asynchronous manner. Asynchronous mode of communication offers an assurance for message delivery as well as a fault tolerance mechanism for message passing. The idea presented in this paper effectively utilizes wide-area intercluster networks. It also provides scheduling, dynamic resource discovery and allocation, and sub-clustering of resources for different jobs. Performance analysis and comparison study of the proposed framework with P2P-MPI are also presented in this paper.

  18. Bandwidth Analysis of Functional Interconnects Used as Test Access Mechanism

    NARCIS (Netherlands)

    Van den Berg, A.; Ren, P.; Marinissen, E.J.; Gaydadjiev, G.; Goossens, K.

    2010-01-01

    Test data travels through a System on Chip (SOC) from the chip pins to the Core-Under-Test (CUT) and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented using dedicated communication infrastructure. However, also existing functional interconnect, such as a bus or

  19. Bandwidth analysis of functional interconnects used as test access mechanism

    NARCIS (Netherlands)

    Berg, van den Ardy; Ren, P.; Marinissen, Erik Jan; Gaydadjiev, G.N.; Goossens, K.G.W.

    2010-01-01

    Test data travels through a System on Chip (SOC) from the chip pins to the Core-Under-Test (CUT) and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented using dedicated communication infrastructure. However, also existing functional interconnect, such as a bus or

  20. VoIP interconnection ; between Internet, Cable, Mobile and Fixed Worlds

    NARCIS (Netherlands)

    Deventer, M.O. van; Keesmaat, I.

    2005-01-01

    Four worlds on their own that hardly interconnect; All use SIP, but differently - Internet world - Mobile world - Cable world - Fixed world - At most, only basic voice service will interoperate between those worlds In recent years, VoIP/multimedia services (VoIP: Voice over Internet Protocol) have