WorldWideScience

Sample records for p-type epitaxial silicon

  1. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  2. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  3. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  4. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  5. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  6. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  7. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  8. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  9. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  10. Irradiation and annealing of p-type silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Alexander A.; Bogdanova, Elena V.; Grigor' eva, Maria V.; Lebedev, Sergey P. [A.F. Ioffe Physical-Technical Institute, St. Petersburg, 194021 (Russian Federation); Kozlovski, Vitaly V. [St. Petersburg State Polytechnic University, St. Petersburg, 195251 (Russian Federation)

    2014-02-21

    The development of the technology of semiconductor devices based on silicon carbide and the beginning of their industrial manufacture have made increasingly topical studies of the radiation hardness of this material on the one hand and of the proton irradiation to form high-receptivity regions on the other hand. This paper reports on a study of the carrier removal rate (V{sub d}) in p-6H-SiC under irradiation with 8 MeV protons and of the conductivity restoration in radiation- compensated epitaxial layers of various p-type silicon carbide polytypes. V{sub d} was determined by analysis of capacitance-voltage characteristics and from results of Hall effect measurements. It was found that the complete compensation of samples with the initial value of Na - Nd ≈ 1.5 × 10{sup 18} cm{sup −3} occurs at an irradiation dose of ∼1.1 × 10{sup 16} cm{sup −2}. It is shown that specific features of the sublimation layer SiC (compared to CVD layers) are clearly manifested upon the gamma and electron irradiation and are hardly noticeable under the proton and neutron irradiation. It was also found that the radiation-induced compensation of SiC is retained after its annealing at ≤1000°C. The conductivity is almost completely restored at T ≥ 1200°C. This character of annealing of the radiation compensation is independent of a silicon carbide polytype and the starting doping level of the epitaxial layer. The complete annealing temperatures considerably exceed the working temperatures of SiC-based devices. It is shown that the radiation compensation is a promising method in the technology of high-temperature devices based on SiC.

  11. Study on 150μm thick n- and p-type epitaxial silicon sensors irradiated with 24 GeV/c protons and 1 MeV neutrons

    International Nuclear Information System (INIS)

    Kaska, Katharina; Moll, Michael; Fahrer, Manuel

    2010-01-01

    A study on 150μm epitaxial (EPI) n- and p-type silicon diodes irradiated with neutrons up to 8x10 15 n/cm 2 and protons up to 1.7x10 15 p/cm 2 has been performed by means of CV/IV, charge collection efficiency (CCE) and transient current technique (TCT) measurements. It is found that the effective space charge density increases three times faster after proton than after neutron irradiation with a slightly higher effective space charge generation rate for n-type material compared to p-type material. A drop in charge collection efficiency already at fluences of 1x10 12 n eq /cm 2 can be seen in n-type material, but is absent in p-type material. TCT measurements show space charge sign inversion from positive to negative charge in n-type material after neutron irradiation and from negative to positive space charge in p-type material after proton irradiation. No difference was found in the response of diodes manufactured by different producers out of the same wafer material.

  12. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  13. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  14. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  15. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  16. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  17. P-type silicon drift detectors

    International Nuclear Information System (INIS)

    Walton, J.T.; Krieger, B.; Krofcheck, D.; O'Donnell, R.; Odyniec, G.; Partlan, M.D.; Wang, N.W.

    1995-06-01

    Preliminary results on 16 CM 2 , position-sensitive silicon drift detectors, fabricated for the first time on p-type silicon substrates, are presented. The detectors were designed, fabricated, and tested recently at LBL and show interesting properties which make them attractive for use in future physics experiments. A pulse count rate of approximately 8 x l0 6 s -1 is demonstrated by the p-type silicon drift detectors. This count rate estimate is derived by measuring simultaneous tracks produced by a laser and photolithographic mask collimator that generates double tracks separated by 50 μm to 1200 μm. A new method of using ion-implanted polysilicon to produce precise valued bias resistors on the silicon drift detectors is also discussed

  18. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  19. Piezoresistance in p-type silicon revisited

    DEFF Research Database (Denmark)

    Richter, Jacob; Pedersen, Jesper; Brandbyge, Mads

    2008-01-01

    We calculate the shear piezocoefficient pi44 in p-type Si with a 6×6 k·p Hamiltonian model using the Boltzmann transport equation in the relaxation-time approximation. Furthermore, we fabricate and characterize p-type silicon piezoresistors embedded in a (001) silicon substrate. We find...... to experiments. Finally, we present a fitting function of temperature and acceptor density to the 6×6 model that can be used to predict the piezoresistance effect in p-type silicon. ©2008 American Institute of Physics...... that the relaxation-time model needs to include all scattering mechanisms in order to obtain correct temperature and acceptor density dependencies. The k·p results are compared to results obtained using a recent tight-binding (TB) model. The magnitude of the pi44 piezocoefficient obtained from the TB model...

  20. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  1. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  2. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  3. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  4. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  5. Reassessment of the recombination parameters of chromium in n- and p-type crystalline silicon and chromium-boron pairs in p-type crystalline silicon

    International Nuclear Information System (INIS)

    Sun, Chang; Rougieux, Fiacre E.; Macdonald, Daniel

    2014-01-01

    Injection-dependent lifetime spectroscopy of both n- and p-type, Cr-doped silicon wafers with different doping levels is used to determine the defect parameters of Cr i and CrB pairs, by simultaneously fitting the measured lifetimes with the Shockley-Read-Hall model. A combined analysis of the two defects with the lifetime data measured on both n- and p-type samples enables a significant tightening of the uncertainty ranges of the parameters. The capture cross section ratios k = σ n /σ p of Cr i and CrB are determined as 3.2 (−0.6, +0) and 5.8 (−3.4, +0.6), respectively. Courtesy of a direct experimental comparison of the recombination activity of chromium in n- and p-type silicon, and as also suggested by modelling results, we conclude that chromium has a greater negative impact on carrier lifetimes in p-type silicon than n-type silicon with similar doping levels.

  6. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  7. Effect of neutron irradiation on p-type silicon

    International Nuclear Information System (INIS)

    Sopko, B.

    1973-01-01

    The possibilities are discussed of silicon isotope reactions with neutrons of all energies. In the reactions, 30 Si is converted to a stable phosphorus isotope forming n-type impurities in silicon. The above reactions proceed as a result of thermal neutron irradiation. An experiment is reported involving irradiation of two p-type silicon single crystals having a specific resistance of 2000 ohm.cm and 5000 to 20 000 ohm.cm, respectively, which changed as a result of irradiation into n-type silicon with a given specific resistance. The specific resistance may be pre-calculated from the concentration of impurities and the time of irradiation. The effects of irradiation on other silicon parameters and thus on the suitability of silicon for the manufacture of semiconductor elements are discussed. (J.K.)

  8. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  9. N-type polycrystalline silicon films formed on alumina by aluminium induced crystallization and overdoping

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Ballutaud, D. [GEMaC-UMR 8635 CNRS, 1 place Aristide Briand, F-92195 Meudon (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    In this work, we investigated the formation of n-type polysilicon films on alumina substrates by overdoping a p-type silicon layer obtained by aluminium induced crystallization of amorphous silicon (AIC), and subsequent epitaxy. The phosphorus doping of the AIC was carried out by thermal diffusion from a solid source. The structural quality of the n-type Si film was monitored by optical microscope and scanning electron microscope (SEM). The doping efficiency was determined by resistivity measurements and secondary ion mass spectroscopy (SIMS). The sheet resitivity changed from 2700{omega}/sq to 19.6{omega}/sq after thermal diffusion at 950 deg. C for 1h, indicating the overdoping effect. The SIMS profile carried out after the high temperature epitaxy exhibits a two steps phosphorus distribution, indicating the formation of an n{sup +}n structure.

  10. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  11. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  12. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    International Nuclear Information System (INIS)

    Boscardin, Maurizio; Calvo, Daniela; Giacomini, Gabriele; Wheadon, Richard; Ronchin, Sabina; Zorzi, Nicola

    2013-01-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10 16 n eq /cm 2 . Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics

  13. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    Energy Technology Data Exchange (ETDEWEB)

    Boscardin, Maurizio, E-mail: boscardi@fbk.eu [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Calvo, Daniela [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Giacomini, Gabriele [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Wheadon, Richard [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Ronchin, Sabina; Zorzi, Nicola [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy)

    2013-08-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10{sup 16} n{sub eq}/cm{sup 2}. Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics.

  14. Application of neutron transmutation doping method to initially p-type silicon material.

    Science.gov (United States)

    Kim, Myong-Seop; Kang, Ki-Doo; Park, Sang-Jun

    2009-01-01

    The neutron transmutation doping (NTD) method was applied to the initially p-type silicon in order to extend the NTD applications at HANARO. The relationship between the irradiation neutron fluence and the final resistivity of the initially p-type silicon material was investigated. The proportional constant between the neutron fluence and the resistivity was determined to be 2.3473x10(19)nOmegacm(-1). The deviation of the final resistivity from the target for almost all the irradiation results of the initially p-type silicon ingots was at a range from -5% to 2%. In addition, the burn-up effect of the boron impurities, the residual (32)P activity and the effect of the compensation characteristics for the initially p-type silicon were studied. Conclusively, the practical methodology to perform the neutron transmutation doping of the initially p-type silicon ingot was established.

  15. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  16. Recent Advances on p-Type III-Nitride Nanowires by Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Songrui Zhao

    2017-09-01

    Full Text Available p-Type doping represents a key step towards III-nitride (InN, GaN, AlN optoelectronic devices. In the past, tremendous efforts have been devoted to obtaining high quality p-type III-nitrides, and extraordinary progress has been made in both materials and device aspects. In this article, we intend to discuss a small portion of these processes, focusing on the molecular beam epitaxy (MBE-grown p-type InN and AlN—two bottleneck material systems that limit the development of III-nitride near-infrared and deep ultraviolet (UV optoelectronic devices. We will show that by using MBE-grown nanowire structures, the long-lasting p-type doping challenges of InN and AlN can be largely addressed. New aspects of MBE growth of III-nitride nanostructures are also discussed.

  17. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  18. Characterization of 150μm thick epitaxial silicon detectors from different producers after proton irradiation

    International Nuclear Information System (INIS)

    Hoedlmoser, H.; Moll, M.; Haerkoenen, J.; Kronberger, M.; Trummer, J.; Rodeghiero, P.

    2007-01-01

    Epitaxial (EPI) silicon has recently been investigated for the development of radiation tolerant detectors for future high-luminosity HEP experiments. A study of 150μm thick EPI silicon diodes irradiated with 24GeV/c protons up to a fluence of 3x10 15 p/cm 2 has been performed by means of Charge Collection Efficiency (CCE) measurements, investigations with the Transient Current Technique (TCT) and standard CV/IV characterizations. The aim of the work was to investigate the impact of radiation damage as well as the influence of the wafer processing on the material performance by comparing diodes from different manufacturers. The changes of CCE, full depletion voltage and leakage current as a function of fluence are reported. While the generation of leakage current due to irradiation is similar in all investigated series of detectors, a difference in the effective doping concentration can be observed after irradiation. In the CCE measurements an anomalous drop in performance was found even for diodes exposed to very low fluences (5x10 13 p/cm 2 ) in all measured series. This result was confirmed for one series of diodes in TCT measurements with an infrared laser. TCT measurements with a red laser showed no type inversion up to fluences of 3x10 15 p/cm 2 for n-type devices whereas p-type diodes undergo type inversion from p- to n-type for fluences higher than ∼2x10 14 p/cm 2

  19. The development of p-type silicon detectors for the high radiation regions of the LHC

    CERN Document Server

    Hanlon, M D L

    1998-01-01

    This thesis describes the production and characterisation of silicon microstrip detectors and test structures on p-type substrates. An account is given of the production and full parameterisation of a p-type microstrip detector, incorporating the ATLAS-A geometry in a beam test. This detector is an AC coupled device incorporating a continuous p-stop isolation frame and polysilicon biasing and is typical of n-strip devices proposed for operation at the LHC. It was successfully read out using the FELix-128 analogue pipeline chip and a signal to noise (s/n) of 17+-1 is reported, along with a spatial resolution of 14.6+-0.2 mu m. Diode test structures were fabricated on both high resistivity float zone material and on epitaxial material and subsequently irradiated with 24 GeV protons at the CERN PS up to a dose of (8.22+-0.23) x 10 sup 1 sup 4 per cm sup 2. An account of the measurement program is presented along with results on the changes in the effective doping concentration (N sub e sub f sub f) with irradiat...

  20. Porous silicon damage enhanced phosphorus and aluminium gettering of p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Hassen, M.; Ben Jaballah, A.; Hajji, M.; Rahmouni, H.; Selmi, A.; Ezzaouia, H.

    2005-01-01

    In this work, porous silicon damage (PSD) is presented as a simple sequence for efficient external purification techniques. The method consists of using thin nanoporous p-type silicon on both sides of the silicon substrates with randomly hemispherical voids. Then, two main sample types are processed. In the first type, thin aluminium layers (≥1 μm) are thermally evaporated followed by photo-thermal annealing treatments in N 2 atmosphere at one of several temperatures ranging between 600 and 800 deg. C. In the second type, phosphorus is continually diffused in N 2 /O 2 ambient in a solid phase from POCl 3 solution during heating at one of several temperatures ranging between 750 and 1000 deg. C for 1 h. Hall Effect and Van Der Pauw methods prove the existence of an optimum temperature in the case of phosphorus gettering at 900 deg. C yielding a Hall mobility of about 982 cm 2 V -1 s -1 . However, in the case of aluminium gettering, there is no gettering limit in the as mentioned temperature range. Metal/Si Schottky diodes are elaborated to clarify these improvements. In this study, we demonstrate that enhanced metal solubility model cannot explain the gettering effect. The solid solubility of aluminium is higher than that of P atoms in silicon; however, the device yield confirms the effectiveness of phosphorus as compared to aluminium

  1. Use of hexamethyldisiloxane for p-type microcrystalline silicon oxycarbide layers

    Directory of Open Access Journals (Sweden)

    Goyal Prabal

    2016-01-01

    Full Text Available The use of hexamethyldisiloxane (HMDSO as an oxygen source for the growth of p-type silicon-based layers deposited by Plasma Enhanced Chemical Vapor Deposition is evaluated. The use of this source led to the incorporation of almost equivalent amounts of oxygen and carbon, resulting in microcrystalline silicon oxycarbide thin films. The layers were examined with characterisation techniques including Spectroscopic Ellipsometry, Dark Conductivity, Fourier Transform Infrared Spectroscopy, Secondary Ion Mass Spectrometry and Transmission Electron Microscopy to check material composition and structure. Materials studies show that the refractive indices of the layers can be tuned over the range from 2.5 to 3.85 (measured at 600 nm and in-plane dark conductivities over the range from 10-8 S/cm to 1 S/cm, suggesting that these doped layers are suitable for solar cell applications. The p-type layers were tested in single junction amorphous silicon p-i-n type solar cells.

  2. Method for the preparation of n-i-p type radiation detector from silicon

    International Nuclear Information System (INIS)

    Keleti, J.; Toeroek, T.; Lukacs, J.; Molnar, I.

    1978-01-01

    The patent describes a procedure for the preparation of n-i-p type silicon radiation detectors. The aim was to provide an adaquate procedure for the production of α, β, γ-detectors from silicon available on the market, either p-type single crystal silicon characterised by its boron level. The procedure and the 9 claims are illustrated by two examples. (Sz.J.)

  3. Use of epitaxial silicon diodes in photon dosimetry

    International Nuclear Information System (INIS)

    Pereira, Lilian Nunes

    2013-01-01

    In this work we report on results obtained with two rad-hard epitaxial (EPI) silicon diodes as on-line dosimeter for diagnostic radiology, mammography and computed tomography, in the 28 kV to 150 kV range. The epitaxial diodes used were processed at University of Hamburg on 50 μm thick epitaxial silicon layer. One sample was not irradiated before using as a dosimeter, while the other received a gamma pre-dose of 200kGy from 60 Co. For comparison, a standard float zone silicon diode was also studied. The samples irradiation was performed using X-ray beams from a Pantak/Seifert generator, model Isovolt 160 HS, previously calibrated with standardized ionization chambers, located at Laboratorio de Calibracao de Instrumentos of IPEN-CNEN/SP. The diode was connected to an electrometer Keithley 6517B in the photovoltaic mode. Irradiations were carried out with the diodes positioned at lm from the X-ray tube (focal spot). The main dosimetric parameters of the EPI samples were evaluated in according to IEC 61674 norm. The calibration coefficients of the diode, in terms of air kerma, were also determined. The repeatability was measured with photon beams of all qualities. The current signals induced showed the diodes are stable, characterized by coefficients of variation less than 0.3%. The current response of the unirradiated EPI diode has been shown to be very linear with dose-rate in the range of 0.8 up to 77.2 mGy/min. A linear relation between charge and dose in the whole energy range was observed for the three samples. It is important to notice that for EPI diodes non energy dependence was observed for mammography beams and until 70kV for radiodiagnostic qualities. The unirradiated diode presented sensitivity higher than the others, showing a decrease of 8% in this parameter after accumulated dose of 49.15 Gy. The dark currents were stable about 0.4 pA during the irradiations, value 10 4 higher than the lowest photocurrents measured. The directional response of both

  4. Solid phase epitaxy on N-type polysilicon films formed by aluminium induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O., E-mail: Ozge.Tuzun@iness.c-strasbourg.f [InESS, UMR 7163 CNRS-UdS, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Slaoui, A.; Roques, S.; Focsa, A. [InESS, UMR 7163 CNRS-UdS, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Jomard, F.; Ballutaud, D. [GEMaC-UMR 8635 CNRS, 1 place Aristide Briand, F-92195 Meudon (France)

    2009-10-01

    In this work, undoped amorphous silicon layers were deposited on n-type AIC seed films and then annealed at different temperatures for epitaxial growth. The epitaxy was carried out using halogen lamps (rapid thermal process or RTP) or a tube conventional furnace (CTP). We investigated the morphology of the resulting 2 {mu}m thick epi-layers by means of optical microscopy. An average grain size of about 40 {mu}m is formed after 90 s annealing at 1000 {sup o}C in RTP. The stress and degree of crystallinity of the epi-layers were studied by micro-Raman Spectroscopy and UV-visible spectrometer as a function of annealing time. The presence of compressive stress is observed from the peak position which shifts from 520.0 cm{sup -1} to 521.0 cm{sup -1} and 522.3 cm{sup -1} after CTP annealing for 10 min and 90 min, respectively. It is shown that the full width at half maximum (FWHM) varies from 9.8 cm{sup -1} to 15.6 cm{sup -1}, and the magnitude of stress is changing from 325 MPa to 650 MPa. Finally, the highest crystallinity is achieved after annealing at 1000 {sup o}C for 90 min in a tube furnace exhibiting a crystalline fraction of 81.5%. X-ray diffraction technique was used to determine the preferential orientation of the poly-Si thin films formed by SPE technique on n{sup +} type AIC layer. The preferential orientation is <100> for all annealing times at 1000 {sup o}C.

  5. Properties of a radiation-induced charge multiplication region in epitaxial silicon diodes

    CERN Document Server

    Lange, Jörn; Fretwurst, Eckhart; Klanner, Robert; Lindström, Gunnar

    2010-01-01

    Charge multiplication (CM) in p$^+$n epitaxial silicon pad diodes of 75, 100 and 150 $\\upmu$m thickness at high voltages after proton irradiation with 1 MeV neutron equivalent fluences in the order of $10^{16}$ cm$^{-2}$ was studied as an option to overcome the strong trapping of charge carriers in the innermost tracking region of future Super-LHC detectors. Charge collection efficiency (CCE) measurements using the Transient Current Technique (TCT) with radiation of different penetration (670, 830, 1060 nm laser light and $\\alpha$-particles with optional absorbers) were used to locate the CM region close to the p$^+$-implantation. The dependence of CM on material, thickness of the epitaxial layer, annealing and temperature was studied. The collected charge in the CM regime was found to be proportional to the deposited charge, uniform over the diode area and stable over a period of several days. Randomly occurring micro discharges at high voltages turned out to be the largest challenge for operation of the dio...

  6. Hydrogen diffusion at moderate temperatures in p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Huang, Y.L.; Ma, Y.; Job, R.; Ulyashin, A.G.

    2004-01-01

    In plasma-hydrogenated p-type Czochralski silicon, rapid thermal donor (TD) formation is achieved, resulting from the catalytic support of hydrogen. The n-type counter doping by TD leads to a p-n junction formation. A simple method for the indirect determination of the diffusivity of hydrogen via applying the spreading resistance probe measurements is presented. Hydrogen diffusion in silicon during both plasma hydrogenation and post-hydrogenation annealing is investigated. The impact of the hydrogenation duration, annealing temperature, and resistivity of the silicon wafers on the hydrogen diffusion is discussed. Diffusivities of hydrogen are determined in the temperature range 270-450 deg. C. The activation energy for the hydrogen diffusion is deduced to be 1.23 eV. The diffusion of hydrogen is interpreted within the framework of a trap-limited diffusion mechanism. Oxygen and hydrogen are found to be the main traps

  7. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  8. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  9. Porous silicon formation by hole injection from a back side p+/n junction for electrical insulation applications

    International Nuclear Information System (INIS)

    Fèvre, A; Menard, S; Defforge, T; Gautier, G

    2016-01-01

    In this paper, we propose to study the formation of porous silicon (PS) in low doped (1 × 10 14 cm −3 ) n-type silicon through hole injection from a back side p + /n junction in the dark. This technique is investigated within the framework of electrical insulation. Three different types of junctions are investigated. The first one is an epitaxial n-type layer grown on p + doped silicon wafer. The two other junctions are carried out by boron diffusion leading to p + regions with junction depths of 20 and 115 μm. The resulting PS morphology is a double layer with a nucleation layer (NL) and macropores fully filled with mesoporous material. This result is unusual for low doped n-type silicon. Morphology variations are described depending on the junction formation process, the electrolyte composition, the anodization current density and duration. In order to validate the more interesting industrial potentialities of the p + /n injection technique, a comparison is achieved with back side illumination in terms of resulting morphology and experiments confirm comparable results. Electrical characterizations of the double layer, including NL and fully filled macropores, are then performed. To our knowledge, this is the first electrical investigation in low doped n type silicon with this morphology. Compared to the bulk silicon, the measured electrical resistivities are 6–7 orders of magnitude higher at 373 K. (paper)

  10. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  11. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  12. Electrical Properties Of Amorphous Selenium (aSe)/p-Type Silicon ...

    African Journals Online (AJOL)

    aSe) on four chemically etched p-type silicon crystals (pSi) each of 5Ω-cm resistivity and carrier concentration of 2.8x1015cm-3. Two of the pSi crystals have surface orientation of (111) while the other two crystals have (100) surface orientation.

  13. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  14. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  15. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  16. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  17. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  18. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  19. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  20. The development of p-type silicon detectors for the high radiation regions of the LHC

    International Nuclear Information System (INIS)

    Hanlon, M.D.L.

    1998-04-01

    This thesis describes the production and characterisation of silicon microstrip detectors and test structures on p-type substrates. An account is given of the production and full parameterisation of a p-type microstrip detector, incorporating the ATLAS-A geometry in a beam test. This detector is an AC coupled device incorporating a continuous p-stop isolation frame and polysilicon biasing and is typical of n-strip devices proposed for operation at the LHC. It was successfully read out using the FELix-128 analogue pipeline chip and a signal to noise (s/n) of 17±1 is reported, along with a spatial resolution of 14.6±0.2 μm. Diode test structures were fabricated on both high resistivity float zone material and on epitaxial material and subsequently irradiated with 24 GeV protons at the CERN PS up to a dose of (8.22±0.23) x 10 14 per cm 2 . An account of the measurement program is presented along with results on the changes in the effective doping concentration (N eff ) with irradiation and the changes in bulk current. Changes in the effective doping concentration and leakage current for high resistivity p-type material under irradiation were found to be similar to to that of n-type material. Values of α=(3.30±0.08) x 10 -17 A cm -1 for the leakage current parameter and g c =(1.20±0.05)x10 -2 cm -1 for the effective dopant introduction rate were found for this material. The epitaxial material did not perform better than the float zone material for the range of doses studied. Surprising results were obtained for highly irradiated p-type diodes illuminated on the ohmic side with an α-source, in that signals were observed well below the full depletion voltage. The processing that had been used to fabricate the test structures and the initial prototype that was studied in the test beam was based on the process used to fabricate devices on n-type material. Presented in this thesis are the modifications that were made to the process, which centred on the oxidation

  1. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  2. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  3. P-Type Silicon Strip Sensors for the Future CMS Tracker

    CERN Document Server

    The Tracker Group of the CMS Collaboration

    2016-01-01

    The upgrade to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at CMS. Based on these results, the collaboration has chosen to use n-in-p type strip and macro-pixel sensors and focus further investigations on the optimization of that sensor type. This paper describes the main measurement results and conclusions that motivated this decision.

  4. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1999-01-01

    Ion implantation is the principal method used to introduce dopants into silicon for fabrication of semiconductor devices. During ion implantation, damage accumulates in the crystalline silicon lattice and amorphisation may occur over the depth range of the ions if the implant dose is sufficiently high. As device dimensions shrink, the need to produce shallower and shallower highly-doped layers increases and the probability of amorphisation also increases. To achieve dopant-activation, the amorphous or damaged material must be returned to the crystalline state by thermal annealing. Amorphous silicon layers can be crystallised by the solid-state process of solid phase epitaxy (SPE) in which the amorphous layer transforms to crystalline silicon (c-Si) layer by layer using the underlying c-Si as a seed. The atomic mechanism that is responsible for the crystallisation is thought to involve highly-localised bond-breaking and rearrangement processes at the amorphous/crystalline (a/c) interface but the defect responsible for these bond rearrangements has not yet been identified. Since the bond breaking process necessarily generates dangling bonds, it has been suggested that the crystallisation process may solely involve the formation and migration of dangling bonds at the interface. One of the key factors which may shed further light on the nature of the SPE defect is the observed dopant-dependence of the rate of crystallisation. It has been found that moderate concentrations of dopants enhance the SPE crystallisation rate while the presence of equal concentrations of an n-type and a p-type dopant (impurity compensation) returns the SPE rate to the intrinsic value. This provides crucial evidence that the SPE mechanism is sensitive to the position of the Fermi level in the bandgap of the crystalline and/or the amorphous silicon phases and may lead to identification of an energy level within the bandgap that can be associated with the defect. This paper gives details of SPE

  5. Dual ohmic contact to N- and P-type silicon carbide

    Science.gov (United States)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  6. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  7. Silicon doped InP as an alternative plasmonic material for mid-infrared

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Han, Li; Christensen, Dennis Valbjørn

    2016-01-01

    Silicon-doped InP is grown on top of semiinsulating iron-doped and sulfur-doped InP substrates by metalorganic vapor phase epitaxy (MOVPE), and the growth parameters are adjusted to obtain various free carrier concentrations from 1.05×1019 cm-3 up to 3.28×1019 cm-3. Midinfrared (IR) reflection...

  8. Band structure properties of (BGa)P semiconductors for lattice matched integration on (001) silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, Nadir; Sweeney, Stephen [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); Hosea, Jeff [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH, UK and Ibnu Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Johor Bahru 81310 (Malaysia); Liebich, Sven; Zimprich, Martin; Volz, Kerstin; Stolz, Wolfgang [Material Sciences Center and Faculty of Physics, Philipps-University, 35032 Marburg (Germany); Kunert, Bernerdette [NAsP III/V GmbH, Am Knechtacker 19, 35041 Marburg (Germany)

    2013-12-04

    We report the band structure properties of (BGa)P layers grown on silicon substrate using metal-organic vapour-phase epitaxy. Using surface photo-voltage spectroscopy we find that both the direct and indirect band gaps of (BGa)P alloys (strained and unstrained) decrease with Boron content. Our experimental results suggest that the band gap of (BGa)P layers up to 6% Boron is large and suitable to be used as cladding and contact layers in GaP-based quantum well heterostructures on silicon substrates.

  9. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    Science.gov (United States)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  10. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  11. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  12. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  13. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  14. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  15. P-stop isolation study of irradiated n-in-p type silicon strip sensors for harsh radiation environment

    CERN Document Server

    AUTHOR|(CDS)2084505

    2015-01-01

    In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to $1.5\\times10^{15} n_{eq}/cm^{2}$ corresponding to $3000 fb^{-1}$ after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20~cm${<}R{<}$110~cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolatio...

  16. p-type ZnO films with solid-source phosphorus doping by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xiu, F.X.; Yang, Z.; Mandalapu, L.J.; Liu, J.L.; Beyermann, W. P.

    2006-01-01

    Phosphorus-doped p-type ZnO films were grown on r-plane sapphire substrates using molecular-beam epitaxy with a solid-source GaP effusion cell. X-ray diffraction spectra and reflection high-energy electron diffraction patterns indicate that high-quality single crystalline (1120) ZnO films were obtained. Hall and resistivity measurements show that the phosphorus-doped ZnO films have high hole concentrations and low resistivities at room temperature. Photoluminescence (PL) measurements at 8 K reveal a dominant acceptor-bound exciton emission with an energy of 3.317 eV. The acceptor energy level of the phosphorus dopant is estimated to be 0.18 eV above the valence band from PL spectra, which is also consistent with the temperature dependence of PL measurements

  17. Effect of Current Density on Thermal and Optical Properties of p-Type Porous Silicon

    International Nuclear Information System (INIS)

    Kasra Behzad; Wan Mahmood Mat Yunus; Zainal Abidin Talib; Azmi Zakaria; Afarin Bahrami

    2011-01-01

    The different parameters of the porous silicon (PSi) can be tuned by changing some parameters in preparation process. We have chosen the anodization as formation method, so the related parameters should be changed. In this study the porous silicon (PSi) layers were formed on p-type Si wafer. The samples were anodized electrically in a fixed etching time under some different current densities. The structural and optical properties of porous silicon (PSi) on silicon (Si) substrates were investigated using photoluminescence (PL) and Photoacoustic Spectroscopy (PAS). (author)

  18. p-type doping by platinum diffusion in low phosphorus doped silicon

    Science.gov (United States)

    Ventura, L.; Pichaud, B.; Vervisch, W.; Lanois, F.

    2003-07-01

    In this work we show that the cooling rate following a platinum diffusion strongly influences the electrical conductivity in weakly phosphorus doped silicon. Diffusions were performed at the temperature of 910 °C in the range of 8 32 hours in 0.6, 30, and 60 Ωrm cm phosphorus doped silicon samples. Spreading resistance profile analyses clearly show an n-type to p-type conversion under the surface when samples are cooled slowly. On the other hand, a compensation of the phosphorus donors can only be observed when samples are quenched. One Pt related acceptor deep level at 0.43 eV from the valence band is assumed to be at the origin of the type conversion mechanism. Its concentration increases by lowering the applied cooling rate. A complex formation with fast species such as interstitial Pt atoms or intrinsic point defects is expected. In 0.6 Ωrm cm phosphorus doped silicon, no acceptor deep level in the lower band gap is detected by DLTS measurement. This removes the opportunity of a pairing between phosphorus and platinum and suggests the possibility of a Fermi level controlled complex formation.

  19. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  20. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  1. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  2. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  3. Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells

    International Nuclear Information System (INIS)

    Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.

    2012-01-01

    Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer

  4. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  5. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  6. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  7. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.

    2015-01-01

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon

  8. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  9. Epitaxial silicon detectors for particle tracking-Radiation tolerance at extreme hadron fluences

    International Nuclear Information System (INIS)

    Lindstroem, Gunnar; Dolenc, Irena; Fretwurst, Eckhart; Hoenniger, Frank; Kramberger, Gregor; Moll, Michael; Nossarzewska, Elsbieta; Pintilie, Ioana; Roeder, Ralf

    2006-01-01

    Diodes processed on n-type epitaxial silicon with a thickness of 25, 50 and 75 μm had been irradiated with reactor neutrons and high-energy protons (24 GeV/c) up to integrated fluences of Φ eq =10 16 cm -2 . Systematic experiments on radiation-induced damage effects revealed the following results: in contrast to standard and oxygen-enriched float zone (FZ) silicon devices no space charge sign inversion was observed after irradiation. It is shown that the radiation-generated concentration of deep acceptors, dominating the behavior of n-type FZ diodes, is compensated by creation of shallow donors. Thus a positive space charge is maintained throughout the irradiation up to the highest fluence and even during prolonged elevated-temperature annealing cycles. Defect analysis studies using thermally stimulated current measurements attribute the effect to a damage-induced shallow donor at E C -0.23 eV. It is argued that, as in the case of thermal donors, oxygen dimers, out diffusing from the Cz substrate during the diode processing, are responsible precursers. Results from extensive annealing experiments at elevated temperatures are verified by comparison with prolonged room-temperature annealing. These results showed that in contrast to FZ detectors, which always have to be cooled, room-temperature storage during beam off periods of future elementary particle physics experiments would even be beneficial for n-type epi-silicon detectors. A dedicated experiment at CERN-PS had successfully proven this expectation. It was verified, that in such a scenario the depletion voltage for the epi-detector could always be kept at a moderate level throughout the full S-LHC operation (foreseen upgrade of the large hadron collider). Practically no difference with respect to FZ-silicon devices was found in the damage-induced bulk generation current. The charge trapping measured with 90 Sr electrons (mip's) is also almost identical to what was expected. A charge collection efficiency of

  10. Epitaxial silicon detectors for particle tracking-Radiation tolerance at extreme hadron fluences

    Energy Technology Data Exchange (ETDEWEB)

    Lindstroem, Gunnar [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany)]. E-mail: gunnar.lindstroem@desy.de; Dolenc, Irena [Jozef Stefan Institute, University of Ljubljana, Ljubljana, 100 (Slovenia); Fretwurst, Eckhart [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany); Hoenniger, Frank [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany); Kramberger, Gregor [Jozef Stefan Institute, University of Ljubljana, Ljubljana, 100 (Slovenia); Moll, Michael [CERN, Geneva, 1211 (Switzerland); Nossarzewska, Elsbieta [ITME, Institute for Electronocs Materials Technology, Warsaw, 01919 (Poland); Pintilie, Ioana [National Institute of Materials Physics, Bucharest, 077125 (Romania); Roeder, Ralf [CiS Institute for Microsensors gGmbH, Erfurt, 99099 (Germany)

    2006-11-30

    Diodes processed on n-type epitaxial silicon with a thickness of 25, 50 and 75 {mu}m had been irradiated with reactor neutrons and high-energy protons (24 GeV/c) up to integrated fluences of {phi} {sub eq}=10{sup 16} cm{sup -2}. Systematic experiments on radiation-induced damage effects revealed the following results: in contrast to standard and oxygen-enriched float zone (FZ) silicon devices no space charge sign inversion was observed after irradiation. It is shown that the radiation-generated concentration of deep acceptors, dominating the behavior of n-type FZ diodes, is compensated by creation of shallow donors. Thus a positive space charge is maintained throughout the irradiation up to the highest fluence and even during prolonged elevated-temperature annealing cycles. Defect analysis studies using thermally stimulated current measurements attribute the effect to a damage-induced shallow donor at E {sub C}-0.23 eV. It is argued that, as in the case of thermal donors, oxygen dimers, out diffusing from the Cz substrate during the diode processing, are responsible precursers. Results from extensive annealing experiments at elevated temperatures are verified by comparison with prolonged room-temperature annealing. These results showed that in contrast to FZ detectors, which always have to be cooled, room-temperature storage during beam off periods of future elementary particle physics experiments would even be beneficial for n-type epi-silicon detectors. A dedicated experiment at CERN-PS had successfully proven this expectation. It was verified, that in such a scenario the depletion voltage for the epi-detector could always be kept at a moderate level throughout the full S-LHC operation (foreseen upgrade of the large hadron collider). Practically no difference with respect to FZ-silicon devices was found in the damage-induced bulk generation current. The charge trapping measured with {sup 90}Sr electrons (mip's) is also almost identical to what was expected

  11. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  12. CCE measurements and annealing studies on proton-irradiated p-type MCz silicon diodes

    CERN Document Server

    Hoedlmoser, H; Köhler, M; Nordlund, H

    2007-01-01

    Magnetic Czochralski (MCz) silicon has recently been investigated for the development of radiation tolerant detectors for future high-luminosity HEP experiments. A study of p-type MCz Silicon diodes irradiated with protons up to a fluence of has been performed by means of Charge Collection Efficiency (CCE) measurements as well as standard CV/IV characterizations. The changes of CCE, full depletion voltage and leakage current as a function of fluence are reported. A subsequent annealing study of the irradiated detectors shows an increase in effective doping concentration and a decrease in the leakage current, whereas the CCE remains basically unchanged. Two different series of detectors have been compared differing in the implantation dose of p-spray isolation as well as effective doping concentration (Neff) of the p-type bulk presumably due to a difference in thermal donor (TD) activation during processing. The series with the higher concentration of TDs shows a delayed reverse annealing of Neff after irradia...

  13. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  14. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  15. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    Science.gov (United States)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    A process for n-type (electron-donor) delta doping has shown promise as a means of modifying back-illuminated image detectors made from n-doped high-purity silicon to enable them to detect high-energy photons (ultraviolet and x-rays) and low-energy charged particles (electrons and ions). This process is applicable to imaging detectors of several types, including charge-coupled devices, hybrid devices, and complementary metal oxide/semiconductor detector arrays. Delta doping is so named because its density-vs.-depth characteristic is reminiscent of the Dirac delta function (impulse function): the dopant is highly concentrated in a very thin layer. Preferably, the dopant is concentrated in one or at most two atomic layers in a crystal plane and, therefore, delta doping is also known as atomic-plane doping. The use of doping to enable detection of high-energy photons and low-energy particles was reported in several prior NASA Tech Briefs articles. As described in more detail in those articles, the main benefit afforded by delta doping of a back-illuminated silicon detector is to eliminate a "dead" layer at the back surface of the silicon wherein high-energy photons and low-energy particles are absorbed without detection. An additional benefit is that the delta-doped layer can serve as a back-side electrical contact. Delta doping of p-type silicon detectors is well established. The development of the present process addresses concerns specific to the delta doping of high-purity silicon detectors, which are typically n-type. The present process involves relatively low temperatures, is fully compatible with other processes used to fabricate the detectors, and does not entail interruption of those processes. Indeed, this process can be the last stage in the fabrication of an imaging detector that has, in all other respects, already been fully processed, including metallized. This process includes molecular-beam epitaxy (MBE) for deposition of three layers, including

  16. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  17. P type porous silicon resistivity and carrier transport

    International Nuclear Information System (INIS)

    Ménard, S.; Fèvre, A.; Billoué, J.; Gautier, G.

    2015-01-01

    The resistivity of p type porous silicon (PS) is reported on a wide range of PS physical properties. Al/PS/Si/Al structures were used and a rigorous experimental protocol was followed. The PS porosity (P % ) was found to be the major contributor to the PS resistivity (ρ PS ). ρ PS increases exponentially with P % . Values of ρ PS as high as 1 × 10 9 Ω cm at room temperature were obtained once P % exceeds 60%. ρ PS was found to be thermally activated, in particular, when the temperature increases from 30 to 200 °C, a decrease of three decades is observed on ρ PS . Based on these results, it was also possible to deduce the carrier transport mechanisms in PS. For P % lower than 45%, the conduction occurs through band tails and deep levels in the tissue surrounding the crystallites. When P % overpasses 45%, electrons at energy levels close to the Fermi level allow a hopping conduction from crystallite to crystallite to appear. This study confirms the potential of PS as an insulating material for applications such as power electronic devices

  18. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  19. Characterization of 150 $\\mu$m thick epitaxial silicon detectors from different producers after proton irradiation

    CERN Document Server

    Hoedlmoser, H; Haerkoenen, J; Kronberger, M; Trummer, J; Rodeghiero, P

    2007-01-01

    Epitaxial (EPI) silicon has recently been investigated for the development of radiation tolerant detectors for future high-luminosity HEP experiments. A study of 150 mm thick EPI silicon diodes irradiated with 24GeV=c protons up to a fluence of 3 1015 p=cm2 has been performed by means of Charge Collection Efficiency (CCE) measurements, investigations with the Transient Current Technique (TCT) and standard CV=IV characterizations. The aim of the work was to investigate the impact of radiation damage as well as the influence of the wafer processing on the material performance by comparing diodes from different manufacturers. The changes of CCE, full depletion voltage and leakage current as a function of fluence are reported. While the generation of leakage current due to irradiation is similar in all investigated series of detectors, a difference in the effective doping concentration can be observed after irradiation. In the CCE measurements an anomalous drop in performance was found even for diodes exposed to ...

  20. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  1. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  2. First results on the charge collection properties of segmented detectors made with p-type bulk silicon

    International Nuclear Information System (INIS)

    Casse, G.; Allport, P.P.; Bowcock, T.J.V.; Greenall, A.; Hanlon, M.; Jackson, J.N.

    2002-01-01

    Radiation damage of n-type bulk detectors introduces stable defects acting as effective p-type doping and leads to the change of the conductivity type of the silicon substrate (type inversion) after a fluence of a few times 10 13 protons cm -2 . The diode junction after inversion migrates from the original side to the back plane of the detector. The migration of the junction can be prevented using silicon detectors with p-type substrates. Furthermore, the use of n-side readout gives higher charge collection efficiency for segmented devices operated below the full depletion voltage. Large area (∼6.4x6.4 cm 2 ) capacitively coupled 80 μm pitch detectors using polysilicon bias resistors have been fabricated on p-type substrates (n-in-p diode structure). These detectors have been irradiated with 24 GeV/c protons to an integrated fluence of 3x10 14 cm -2 and kept for 7 days at 25 deg. C to reach the broad minimum of the annealing curve. Results are presented on the comparison of their charge collection properties with detectors using p-strip read-out after corresponding dose and annealing

  3. Surface chemistry of a hydrogenated mesoporous p-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Media, El-Mahdi, E-mail: belhadidz@tahoo.fr; Outemzabet, Ratiba, E-mail: oratiba@hotmail.com

    2017-02-15

    Highlights: • Due to its large specific surface porous silicon is used as substrate for drug therapy and biosensors. • We highlight the evidency of the contribution of the hydrides (SiHx) in the formation of the porous silicon. • The responsible species in the porous silicon formation are identified and quantified at different conditions. • By some chemical treatments we show that silicon surface can be turn from hydrophobic to hydrophilic. - Abstract: The finality of this work is devoted to the grafting of organic molecules on hydrogen passivated mesoporous silicon surfaces. The study would aid in the development for the formation of organic monolayers on silicon surface to be exploited for different applications such as the realisation of biosensors and medical devices. The basic material is silicon which has been first investigated by FTIR at atomistic plane during the anodic forward and backward polarization (i.e. “go” and “return”). For this study, we applied a numerical program based on least squares method to infrared absorbance spectra obtained by an in situ attenuated total reflection on p-type silicon in diluted HF electrolyte. Our numerical treatment is based on the fitting of the different bands of IR absorbance into Gaussians corresponding to the different modes of vibration of molecular groups such as siloxanes and hydrides. An adjustment of these absorbance bands is done systematically. The areas under the fitted bands permit one to follow the intensity of the different modes of vibration that exist during the anodic forward and backward polarization in order to compare the reversibility of the phenomenon of the anodic dissolution of silicon. It permits also to follow the evolution between the hydrogen silicon termination at forward and backward scanning applied potential. Finally a comparison between the states of the initial and final surface was carried out. We confirm the presence of clearly four and three distinct vibration modes

  4. Semiconducting p-type MgNiO:Li epitaxial films fabricated by cosputtering method

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Yong Hun; Chun, Sung Hyun; Cho, Hyung Koun [School of Advanced Materials Science and Engineering, Sungkyunkwan University, 300 Cheoncheon-dong, Jangan-gu, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2013-07-15

    Li-doped ternary Mg{sub x}Ni{sub 1-x}O thin films were deposited on (0001) Al{sub 2}O{sub 3} substrates by a radio frequency (RF) magnetron cosputtering method with MgO and NiO:Li targets. The Mg mole fraction and Li content were relatively controlled by changing RF power for the MgO target over a range of 0-300 W, while the NiO:Li target was kept at 150 W. As a result, all films were epitaxially grown on (0001) Al{sub 2}O{sub 3} substrates with the relationship of [110]{sub NiO}||[1110]{sub Al2O3}, [112]{sub NiO}||[2110]{sub Al2O3} (in-plane), and [111]{sub NiO}||[0001]{sub Al2O3} (out-of-plane), and showed p-type semiconducting properties. Furthermore, from x-ray diffraction patterns, the authors found that MgO was effectively mixed with NiO:Li without structural deformation due to low lattice mismatch (0.8%) between NiO and MgO. However, the excess Li contents degraded the crystallinity of the MgNiO films. The band-gap of films was continuously shifted from 3.66 eV (339 nm) to 4.15 eV (299 nm) by the RF power of the MgO target. A visible transmittance of more than 80% was exhibited at RF powers higher than 200 W. Ultimately, the electrical resistivity of p-type MgNiO films was improved from 7.5 to 673.5 {Omega}cm, indicating that the Li-doped MgNiO films are good candidates for transparent p-type semiconductors.

  5. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  6. Variation of minority charge carrier lifetime in high-resistance p-type silicon under irradiation

    International Nuclear Information System (INIS)

    Basheleishvili, Z.V.; Garnyk, V.S.; Gorin, S.N.; Pagava, T.A.

    1984-01-01

    The minority carrier lifetime (tau) variation was studied in the process of p-type silicon bombardment with fast 8 MeV electrons. The irradiation and all measurements were carried out at room temperature. The tau quantity was measured by the photoconductivity attenuation method at a low injection level 20% measurement error; the resistivity was measured by the four-probe method (10% error). The resistivity and minority charge carrier lifetime tau are shown to increase with the exposure dose. It is supposed that as radiation dose increases, the rearrangement of the centres responsible for reducing the lifetime occurs and results in a tau increase in the material being irradiated, however the tau value observed in the original samples is not attained. The restoration of the minority carrier lifetime in p-type high-resistance silicon with a growing exposure dose might proceed due to reduction in the free carrier concentration

  7. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  8. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  9. Charge collection measurements with p-type Magnetic Czochralski silicon single pad detectors

    International Nuclear Information System (INIS)

    Tosi, C.; Bruzzi, M.; Macchiolo, A.; Scaringella, M.; Petterson, M.K.; Sadrozinski, H.F.-W.; Betancourt, C.; Manna, N.; Creanza, D.; Boscardin, M.; Piemonte, C.; Zorzi, N.; Borrello, L.; Messineo, A.

    2007-01-01

    The charge collected from beta source particles in single pad detectors produced on p-type Magnetic Czochralski (MCz) silicon wafers has been measured before and after irradiation with 26 MeV protons. After a 1 MeV neutron equivalent fluence of 1x10 15 cm -2 the collected charge is reduced to 77% at bias voltages below 900 V. This result is compared with previous results from charge collection measurements

  10. Improved vertical MOSFET performance using an epitaxial channel and a stacked silicon-insulator structure

    International Nuclear Information System (INIS)

    Uchino, T; Gili, E; Ashburn, P; Tan, L; Buiu, O; Hall, S

    2012-01-01

    A vertical MOSFET (VMOST) incorporating an epitaxial channel and a drain junction in a stacked silicon-insulator structure is presented. In this device structure, an oxide layer near the drain junction edge (referred to as a junction stop) acts as a dopant diffusion barrier and consequently a shallow drain junction is formed to suppress short channel effects. To investigate the scalability of this device, a simulation study in the sub-100 nm regime calibrated to measured results on the fabricated devices is carried out. The use of an epitaxial channel delivers 50% higher drive current due to the higher mobility of the retrograde channel and the junction stop structure delivers improvements of threshold voltage roll-off and drain-induced barrier lowering compared with a conventional VMOST. (fast track communication)

  11. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  12. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  13. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  14. Electrical activation of phosphorus in silicon

    International Nuclear Information System (INIS)

    Goh, K.E.J.; Oberbeck, L.; Simmons, M.Y.; Clark, R.G.

    2003-01-01

    Full text: We present studies of phosphorus δ-doping in silicon with a view to determining the degree of electrical activation of the dopants. These results have a direct consequence for the use of phosphorus as a qubit in a silicon-based quantum computer such as that proposed by Kane. Room temperature and 4 K Hall effect measurements are presented for phosphorus δ-doped layers grown in n-type silicon using two different methods. In the first method, the δ-layer was deposited by a phosphorus effusion cell in an MBE chamber. In the second method, the Si surface was dosed with phosphine gas and then annealed to 550 deg C to incorporate P into the substrate. In both methods, the P δ-doped layer was subsequently encapsulated by ∼25 nm of Si grown epitaxially. We discuss the implications of our results on the fabrication of the Kane quantum computer

  15. Investigation on the structural characterization of pulsed p-type porous silicon

    Science.gov (United States)

    Wahab, N. H. Abd; Rahim, A. F. Abd; Mahmood, A.; Yusof, Y.

    2017-08-01

    P-type Porous silicon (PS) was sucessfully formed by using an electrochemical pulse etching (PC) and conventional direct current (DC) etching techniques. The PS was etched in the Hydrofluoric (HF) based solution at a current density of J = 10 mA/cm2 for 30 minutes from a crystalline silicon wafer with (100) orientation. For the PC process, the current was supplied through a pulse generator with 14 ms cycle time (T) with 10 ms on time (Ton) and pause time (Toff) of 4 ms respectively. FESEM, EDX, AFM, and XRD have been used to characterize the morphological properties of the PS. FESEM images showed that pulse PS (PPC) sample produces more uniform circular structures with estimated average pore sizes of 42.14 nm compared to DC porous (PDC) sample with estimated average size of 16.37nm respectively. The EDX spectrum for both samples showed higher Si content with minimal presence of oxide.

  16. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  17. Silicon nanowire structures as high-sensitive pH-sensors

    International Nuclear Information System (INIS)

    Belostotskaya, S O; Chuyko, O V; Kuznetsov, A E; Kuznetsov, E V; Rybachek, E N

    2012-01-01

    Sensitive elements for pH-sensors created on silicon nanostructures were researched. Silicon nanostructures have been used as ion-sensitive field effect transistor (ISFET) for the measurement of solution pH. Silicon nanostructures have been fabricated by 'top-down' approach and have been studied as pH sensitive elements. Nanowires have the higher sensitivity. It was shown, that sensitive element, which is made of 'one-dimensional' silicon nanostructure have bigger pH-sensitivity as compared with 'two-dimensional' structure. Integrated element formed from two p- and n-type nanowire ISFET ('inverter') can be used as high sensitivity sensor for local relative change [H+] concentration in very small volume.

  18. Hydrogen interaction with radiation defects in p-type silicon

    CERN Document Server

    Feklisova, O V; Yakimov, E B; Weber, J

    2001-01-01

    Hydrogen interaction with radiation defects in p-type silicon has been investigated by deep-level non-stationary spectroscopy. Hydrogen is introduced into the high-energy electron-irradiated crystals under chemical etching in acid solutions at room temperature followed by the reverse-bias annealing at 380 K. It is observed that passivation of the irradiation-induced defects is accompanied by formation of novel electrically active defects with hydrogen-related profiles. Effect of hydrogen on the electrical activity of the C sub s C sub i complexes is shown for the first time. Based on the spatial distribution and passivation kinetics, possible nature of the novel complexes is analyzed. The radii for hydrogen capture by vacancies, K-centers, C sub s C sub i centers and the novel complexes are determined

  19. Electrical property studies of neutron-transmutation-doped silicon

    International Nuclear Information System (INIS)

    Cleland, J.W.; Fleming, P.H.; Westbrook, R.D.; Wood, R.F.; Young, R.T.

    1978-01-01

    Results of studies of electrical properties of neutron-transmutation-doped (NTD) silicon are presented. Annealing requirements to remove lattice damage were obtained. The electrical role of clustered oxygen and defect-oxygen complex was investigated. An NTD epitaxial layer on a heavily doped n- or p- type substrate can be produced. There is no evident interaction between lithium introduced by diffusion and phosphorous 31 introduced by irradiation. There may be some type of pairing reaction between lithium 7 introduced by boron 10 fission and any remaining boron

  20. Radiation hardness of silicon detectors manufactured on epitaxial material and FZ bulk enriched with oxygen, carbon, tin and platinum

    CERN Document Server

    Ruzin, A; Glaser, M; Lemeilleur, F; Talamonti, R; Watts, S; Zanet, A

    1999-01-01

    Recent results on the radiation hardness of silicon detectors fabricated on epitaxial and float zone bulk silicon enriched by various impurities, such as carbon, oxygen, tin and platinum are reported. A new methodology of measurements of electrical properties of the devices has been utilized in the experiment. It has been shown that in the case of irradiation by protons, oxygen enriched silicon has better radiation hardness than standard float zone silicon. The carbon enriched silicon detectors, on the other hand, exhibited significantly inferior radiation hardness compared to standard detectors. This study shows for the first time, a violation of the widely used normalization technique of the various particle irradiations by NIEL coefficients. The study has been carried out in the framework of the RD48 (ROSE) collaboration, which studies the radiation hardening of silicon detectors. (5 refs).

  1. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  2. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  3. Integrated X-ray and charged particle active pixel CMOS sensor arrays using an epitaxial silicon sensitive region

    International Nuclear Information System (INIS)

    Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene

    2002-01-01

    Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38

  4. Proton irradiation effects in silicon devices

    Energy Technology Data Exchange (ETDEWEB)

    Simoen, E; Vanhellemont, J; Alaerts, A [IMEC, Leuven (Belgium); and others

    1997-03-01

    Proton irradiation effects in silicon devices are studied for components fabricated in various substrates in order to reveal possible hardening effects. The degradation of p-n junction diodes increases in first order proportionally with the fluence, when submitted to 10 MeV proton irradiations in the range 5x10{sup 9} cm{sup -2} to 5x10{sup 11} cm{sup -2}. The damage coefficients for both p- and n-type Czochralski, Float-Zone and epitaxial wafers are reported. Charge-Coupled Devices fabricated in a 1.2 {mu}m CCD-CMOS technology are shown to be quite resistant to 59 MeV H{sup +} irradiations, irrespective of the substrate type. (author)

  5. Highly conducting p-type nanocrystalline silicon thin films preparation without additional hydrogen dilution

    Science.gov (United States)

    Patra, Chandralina; Das, Debajyoti

    2018-04-01

    Boron doped nanocrystalline silicon thin film has been successfully prepared at a low substrate temperature (250 °C) in planar inductively coupled RF (13.56 MHz) plasma CVD, without any additional hydrogen dilution. The effect of B2H6 flow rate on structural and electrical properties of the films has been studied. The p-type nc-Si:H films prepared at 5 ≤ B2H6 (sccm) ≤ 20 retains considerable amount of nanocrystallites (˜80 %) with high conductivity ˜101 S cm-1 and dominant crystallographic orientation which has been correlated with the associated increased ultra- nanocrystalline component in the network. Such properties together make the material significantly effective for utilization as p-type emitter layer in heterojunction nc-Si solar cells.

  6. Influence of γ- radiation on the recombination properties of P-type nickel doped silicon

    International Nuclear Information System (INIS)

    Kurbanov, A.O.; Karimov, M.

    2006-01-01

    Full text: It is well known that the life-time of the charge carriers is most sensitive parameter of the semiconductors. The results of numerous investigations show that by irradiation of the multi-crystal silicon with high-energy particles (electrons, protons, γ-quanta) the life-time of the minor charge carriers appreciably decreases. Ones think that the reason of such effect is the generation of the recombination radiation defects by irradiation. In this connection in this work the investigation of the nickel doped silicon with various post-diffusion cooling is performed. As an initial material the p - Si with ∼ 10 Ohm·cm specific resistance was used. The dislocation density is taken to be ∼10 4 cm -2 . Doping of silicon by nickel carried out in the temperature range of 1050-1150 degree C with succeeding I and II type cooling. The life-time of the charge carriers was determined using the stationary photoconductivity method. It is discovered that the life-time of the charge carriers in p-Si is longer than that in the control silicon as well as τ slightly increases by increasing of the nickel's atoms concentration (in these samples the acceptor centers concentration changes in the range of 1.5·10 14 - 3.5·10 14 cm -3 ). This effect is explained on a basis of investigations of the photoconductivity relaxation kinetics (at 70 K) by the capture of the charge carriers to the sticking level. It is revealed that the relative life-time changing is appreciably various one from other in I and II type samples. In the rapid cooled samples τ more stable than slow cooled samples. In the rapid cooled samples more stable than slow cooled samples up to doze ∼2.5·10 8 R. (author)

  7. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  8. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  9. The invention of graphene electronics and the physics of epitaxial graphene on silicon carbide

    International Nuclear Information System (INIS)

    De Heer, Walt A

    2012-01-01

    Graphene electronics was officially invented at the Georgia Institute of Technology in 2003 after experimental and theoretical research on graphene properties starting from 2001. This paper focuses on the motivation and events leading to the invention of graphene electronics, as well as on recent developments. Graphene electronics was originally conceived as a new electronics paradigm to incorporate the room-temperature ballistic and coherent properties of carbon nanotubes in a patternable electronic material. Graphene on silicon carbide was chosen as the most suitable material. Other electronics schemes, involving transferred (exfoliated and chemical vapor deposition-produced) graphitic materials, that operate in the diffusive regime may not be competitive with standard methods and may therefore not significantly impact electronics. In recent years, epitaxial graphene has improved to the point where graphene electronics according to the original concept appears to be within reach. Beyond electronics, epitaxial graphene research has led to important developments in graphene physics in general and has become a leading platform for graphene science as well.

  10. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  12. Charge collection and space charge distribution in neutron-irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Poehlsen, Thomas

    2010-04-01

    In this work epitaxial n-type silicon diodes with a thickness of 100 μm and 150 μm are investigated. After neutron irradiation with fluences between 10 14 cm -2 and 4 x 10 15 cm -2 annealing studies were performed. CV-IV curves were taken and the depletion voltage was determined for different annealing times. All investigated diodes with neutron fluences greater than 2 x 10 14 cm -2 showed type inversion due to irradiation. Measurements with the transient current technique (TCT) using a pulsed laser were performed to investigate charge collection effects for temperatures of -40 C, -10 C and 20 C. The charge correction method was used to determine the effective trapping time τ eff . Inconsistencies of the results could be explained by assuming field dependent trapping times. A simulation of charge collection could be used to determine the field dependent trapping time τ eff (E) and the space charge distribution in the detector bulk. Assuming a linear field dependence of the trapping times and a linear space charge distribution the data could be described. Indications of charge multiplication were seen in the irradiated 100 μm thick diodes for all investigated fluences at voltages above 800 V. The space charge distribution extracted from TCT measurements was compared to the results of the CV measurements and showed good agreement. (orig.)

  13. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  14. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  15. Characteristic of doping and diffusion of heavily doped n and p type InP and InGaAs epitaxial layers grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pinzone, C.J.; Dupuis, R.D.; Ha, N.T.; Luftman, H.S.; Gerrard, N.D.

    1990-01-01

    Electronic and photonic device applications of the InGaAs/InP materials system often require the growth of epitaxial material doped to or near the solubility limit of the impurity in the host material. These requirements present an extreme challenge for the crystal grower. To produce devices with abrupt dopant profiles, preserve the junction during subsequent growth, and retain a high degree of crystalline perfection, it is necessary to understand the limits of dopant incorporation and the behavior of the impurity in the material. In this study, N-type doping above 10 19 cm -3 has been achieved in InP and InGaAs using Sn as a dopant. P-type Zn doping at these levels has also been achieved in these materials but p type activation above ∼3 x 10 18 cm -3 in InP has not been seen. All materials were grown by the metalorganic chemical vapor deposition (MOCVD) crystal growth technique. Effective diffusion coefficients have been measured for Zn and Sn in both materials from analysis of secondary ion mass spectra (SIMS) of specially grown and annealed samples

  16. Detection of protein kinases P38 based on reflectance spectroscopy with n-type porous silicon microcavities for diagnosing hydatidosis hydatid disease

    Science.gov (United States)

    Lv, Xiaoyi; Lv, Guodong; Jia, Zhenhong; Wang, Jiajia; Mo, Jiaqing

    2014-11-01

    Detection of protein kinases P38 of Echinococcus granulosus and its homologous antibody have great value for early diagnosis and treatment of hydatidosis hydatid disease. In this experiment, n-type mesoporous silicon microcavities have been successfully fabricated without KOH etching or oxidants treatment that reported in other literature. We observed the changes of the reflectivity spectrum before and after the antigen-antibody reaction by n-type mesoporous silicon microcavities. The binding of protein kinases P38 and its homologous antibody causes red shifts in the reflection spectrum of the sensor, and the red shift was proportional to the protein kinases P38 concentration with linear relationship.

  17. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  18. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  19. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  20. Ab initio electronic properties of dual phosphorus monolayers in silicon

    DEFF Research Database (Denmark)

    Drumm, Daniel W.; Per, Manolo C.; Budi, Akin

    2014-01-01

    In the midst of the epitaxial circuitry revolution in silicon technology, we look ahead to the next paradigm shift: effective use of the third dimension - in particular, its combination with epitaxial technology. We perform ab initio calculations of atomically thin epitaxial bilayers in silicon...

  1. Radiation damage in proton-irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Lange, Joern

    2009-07-15

    In this work radiation hardness of 75 {mu}m, 100 {mu}m and 150 {mu}m thick epitaxial silicon pad diodes of both standard and oxygenated material was investigated. Damage after 24 GeV/c proton irradiation in a 1MeV neutron equivalent fluence range between 10{sup 14} cm{sup -2} and 10{sup 16} cm{sup -2} was studied and isothermal annealing experiments at 80 C were carried out. Standard CV/IV measurements could be performed up to 4 x 10{sup 15} cm{sup -2}. The volume-normalised reverse current was found to increase linearly with fluence with a slope independent of the thickness and impurity concentration. However, due to large fluctuations the fluences had to be renormalised using the current-related damage parameter. Concerning the depletion voltage, nearly all materials remained at a moderate level up to 4 x 10{sup 15} cm{sup -2}. During short-term annealing acceptors annealed out, whereas others were introduced during the long-term annealing. The stable damage was characterised by donor removal at low fluences and fluence-proportional predominant donor introduction for highly irradiated diodes, depending on the oxygen level. No type inversion was observed. Time-resolved measurements with a new 670 nm laser-TCT setup made the determination of the trapping time constant with the charge correction method possible. The results agreed with expectations and showed a linear increase of trapping probability with fluence. The electric field exhibited a double peak structure in highly irradiated diodes. Charge collection efficiency measurements with {alpha}-particles were independent of oxygen concentration, but showed an improved efficiency for thinner diodes. A comparison to simulation revealed systematic discrepancies. A non-constant trapping time parameter was proposed as possible solution. (orig.)

  2. Radiation damage in proton-irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Lange, Joern

    2009-07-01

    In this work radiation hardness of 75 μm, 100 μm and 150 μm thick epitaxial silicon pad diodes of both standard and oxygenated material was investigated. Damage after 24 GeV/c proton irradiation in a 1MeV neutron equivalent fluence range between 10 14 cm -2 and 10 16 cm -2 was studied and isothermal annealing experiments at 80 C were carried out. Standard CV/IV measurements could be performed up to 4 x 10 15 cm -2 . The volume-normalised reverse current was found to increase linearly with fluence with a slope independent of the thickness and impurity concentration. However, due to large fluctuations the fluences had to be renormalised using the current-related damage parameter. Concerning the depletion voltage, nearly all materials remained at a moderate level up to 4 x 10 15 cm -2 . During short-term annealing acceptors annealed out, whereas others were introduced during the long-term annealing. The stable damage was characterised by donor removal at low fluences and fluence-proportional predominant donor introduction for highly irradiated diodes, depending on the oxygen level. No type inversion was observed. Time-resolved measurements with a new 670 nm laser-TCT setup made the determination of the trapping time constant with the charge correction method possible. The results agreed with expectations and showed a linear increase of trapping probability with fluence. The electric field exhibited a double peak structure in highly irradiated diodes. Charge collection efficiency measurements with α-particles were independent of oxygen concentration, but showed an improved efficiency for thinner diodes. A comparison to simulation revealed systematic discrepancies. A non-constant trapping time parameter was proposed as possible solution. (orig.)

  3. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  4. Charge collection and space charge distribution in neutron-irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Poehlsen, Thomas

    2010-04-15

    In this work epitaxial n-type silicon diodes with a thickness of 100 {mu}m and 150 {mu}m are investigated. After neutron irradiation with fluences between 10{sup 14} cm{sup -2} and 4 x 10{sup 15} cm{sup -2} annealing studies were performed. CV-IV curves were taken and the depletion voltage was determined for different annealing times. All investigated diodes with neutron fluences greater than 2 x 10{sup 14} cm{sup -2} showed type inversion due to irradiation. Measurements with the transient current technique (TCT) using a pulsed laser were performed to investigate charge collection effects for temperatures of -40 C, -10 C and 20 C. The charge correction method was used to determine the effective trapping time {tau}{sub eff}. Inconsistencies of the results could be explained by assuming field dependent trapping times. A simulation of charge collection could be used to determine the field dependent trapping time {tau}{sub eff}(E) and the space charge distribution in the detector bulk. Assuming a linear field dependence of the trapping times and a linear space charge distribution the data could be described. Indications of charge multiplication were seen in the irradiated 100 {mu}m thick diodes for all investigated fluences at voltages above 800 V. The space charge distribution extracted from TCT measurements was compared to the results of the CV measurements and showed good agreement. (orig.)

  5. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  6. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  7. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  8. A clean measurement of the hydrogen retardation of the rate of solid phase epitaxy in silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1999-01-01

    The rate retarding effects of the impurity hydrogen on solid phase epitaxy (SPE) in silicon have yet to be completely understood. Existing measurements of this behaviour do not coincide exactly, however, several features have attained prominence. Firstly, a linear decrease in the SPE rate is detected up until a certain concentration of hydrogen. Subsequent to this point the rate remains almost constant at around half the intrinsic rate. It is conjectured that the hydrogen bonds to and passivates the defects whose agency enables the incorporation of atoms from the amorphous phase to the crystalline. This rate reduction increases until the defect population is saturated. At this point the reduction in rate ceases. Secondly, a dependence on temperature has not been consolidated, in contrast with the trends observed with the doping species. Here a method is proposed for producing a controlled concentration of hydrogen for the advancing amorphous/crystalline interface to encounter during epitaxy. A bubble layer is formed in crystalline silicon approximately 0.6μm beneath the surface through the implantation of hydrogen at 65 keV with fluences of 4 x 10 16 /cm 2 and 3 x 10 16 /cm 2 and annealing for 1 hour at 850 deg C in dry argon. The anneal doesn't out gas all the introduced hydrogen, leaving a remnant gas pressure in the bubbles. The hydrogen implants at the two fluences should yield two samples with different amounts of hydrogen trapped in the bubbles. A buried amorphous layer is created to encompass the bubble layer containing this residual contaminant through silicon self implantation at appropriate energies and fluences. The progress of the front interface of the buried amorphous layer is monitored by time resolved reflectivity (TRR) as SPE is effected at various temperatures

  9. Dependence of the Mg-related acceptor ionization energy with the acceptor concentration in p-type GaN layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin

    2013-01-01

    Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3

  10. Experimental-statistical model of liquid-phase epitaxy for InP/InGaAsP/InP heterostructures

    International Nuclear Information System (INIS)

    Vasil'ev, M.G.; Selin, A.A.; Shelyakin, A.A.

    1985-01-01

    A mathematic model of the process of liquid-phase epitaxy for double InP/InGaAsP/InP heterostructures is constructed using statistical methods of experiment planning. The analysis of the model shows that the degree of In-P system melt supercooling affects considerably the characteristics of double heterostructures

  11. Self-planarized quantum-disks nanowires ultraviolet-B emitter utilizing pendeo-epitaxy

    KAUST Repository

    Janjua, Bilal

    2017-03-03

    The growth of self-assembled, vertically oriented and uniform nanowires (NWs) has remained a challenge for efficient light-emitting devices. Here, we demonstrate dislocation-free AlGaN NWs with spontaneous coalescence, which are grown by plasma-assisted molecular beam epitaxy on an n-type doped silicon (100) substrate. A high density of NWs (filling factor > 95%) was achieved under optimized growth conditions, enabling device fabrication without planarization using ultraviolet (UV)-absorbing polymer materials. UV-B (280-320 nm) light-emitting diodes (LEDs), which emit at ~303 nm with a narrow full width at half maximum (FWHM) (~20 nm) of the emission spectrum, are demonstrated using a large active region (“active region/NW length-ratio” ~ 50%) embedded with 15 stacks of AlxGa1-xN/AlyGa1-yN quantum-disks (Qdisks). To improve the carrier injection, a graded layer is introduced at the AlGaN/GaN interfaces on both p- and n-type regions. This work demonstrates a viable approach to easily fabricate ultra-thin, efficient UV optoelectronic devices on low-cost and scalable silicon substrates.

  12. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  13. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  14. Lattice defects in LPE InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates

    International Nuclear Information System (INIS)

    Ishida, K.; Matsumoto, Y.; Taguchi, K.

    1982-01-01

    Lattice defects generated during LPE growth of InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates are studied. Two different kinds of dislocations are observed at the two interfaces of the epitaxial layers; at the InP-InGaAsP interface, misfit dislocations are generated in the InP layer by carry over of InGaAsP melt into the InP one and at the InGaAs-InP interface, V-shaped dislocations are generated in the InGaAs layer. It is shown that the critical amount of lattice mismatch to suppress generation of misfit dislocations in InP is about two times smaller than that of other III-V compound semiconductors. Conditions to suppress the generation of these dislocations are clarified. (author)

  15. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    Science.gov (United States)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  16. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  17. Giant piezoresistance of p-type nano-thick silicon induced by interface electron trapping instead of 2D quantum confinement

    International Nuclear Information System (INIS)

    Yang Yongliang; Li Xinxin

    2011-01-01

    The p-type silicon giant piezoresistive coefficient is measured in top-down fabricated nano-thickness single-crystalline-silicon strain-gauge resistors with a macro-cantilever bending experiment. For relatively thicker samples, the variation of piezoresistive coefficient in terms of silicon thickness obeys the reported 2D quantum confinement effect. For ultra-thin samples, however, the variation deviates from the quantum-effect prediction but increases the value by at least one order of magnitude (compared to the conventional piezoresistance of bulk silicon) and the value can change its sign (e.g. from positive to negative). A stress-enhanced Si/SiO 2 interface electron-trapping effect model is proposed to explain the 'abnormal' giant piezoresistance that should be originated from the carrier-concentration change effect instead of the conventional equivalent mobility change effect for bulk silicon piezoresistors. An interface state modification experiment gives preliminary proof of our analysis.

  18. Methods for enhancing P-type doping in III-V semiconductor films

    Science.gov (United States)

    Liu, Feng; Stringfellow, Gerald; Zhu, Junyi

    2017-08-01

    Methods of doping a semiconductor film are provided. The methods comprise epitaxially growing the III-V semiconductor film in the presence of a dopant, a surfactant capable of acting as an electron reservoir, and hydrogen, under conditions that promote the formation of a III-V semiconductor film doped with the p-type dopant. In some embodiments of the methods, the epitaxial growth of the doped III-V semiconductor film is initiated at a first hydrogen partial pressure which is increased to a second hydrogen partial pressure during the epitaxial growth process.

  19. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  20. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  1. Properties of p-type amorphous silicon carbide window layers prepared using boron trifluoride

    Energy Technology Data Exchange (ETDEWEB)

    Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-03-01

    One set (A) of undoped and three sets (B, C and D) of doped hydrogenated amorphous silicon carbide samples have been made in the framework of a research plan for obtaining high quality p-type window layers by radiofrequency glow discharge of silane-based gas mixtures. The samples of sets A and B were made using different RF-power-density to mass-flow ratios for various methane percentages in the gas mixture. The best carbon incorporation in the amorphous silicon lattice was obtained at the highest RF-power density. The properties of sets C and D, prepared using different RF-power densities and silane and methane proportions have been analysed as functions of the concentration of boron trifluoride with respect to silane. In both cases, the optical gap E[sub G], after a slight initial decrease, remains at a value of approximately 2.1 eV without quenching in the doping ranges covered. The best conductivity obtained is 2x10[sup -7] ([Omega] cm)[sup -1]. IR spectra allow to associate these features with the structural quality of the films. (orig.)

  2. Ultrafast Terahertz Conductivity of Photoexcited Nanocrystalline Silicon

    DEFF Research Database (Denmark)

    Cooke, David; MacDonald, A. Nicole; Hryciw, Aaron

    2007-01-01

    The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described by a class...... in the silicon nanocrystal films is dominated by trapping at the Si/SiO2 interface states, occurring on a 1–100 ps time scale depending on particle size and hydrogen passivation......The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described...

  3. Experimental study of the organic light emitting diode with a p-type silicon anode

    International Nuclear Information System (INIS)

    Ma, G.L.; Xu, A.G.; Ran, G.Z.; Qiao, Y.P.; Zhang, B.R.; Chen, W.X.; Dai, L.; Qin, G.G.

    2006-01-01

    We have fabricated and studied an organic light emitting diode (OLED) with a p-type silicon anode and a SiO 2 buffer layer between the anode and the organic layers which emits light from a semitransparent top Yb/Au cathode. The luminance of the OLED is up to 5600 cd/m 2 at 17 V and 1800 mA/cm 2 , the current efficiency is 0.31 cd/A. Both its luminance and current efficiency are much higher than those of the OLEDs with silicon as the anodes reported previously. The enhancement of the luminance and efficiency can be attributed to an improved balance between the hole- and electron-injection through two efficient ways: 1) restraining the hole-injection by inserting an ultra-thin SiO 2 buffer layer between the Si anode and the organic layers; and 2) enhancing the electron-injection by using a low work function, low optical reflectance and absorption semitransparent Yb/Au cathode

  4. Obtaining of bilateral high voltage epitaxial p—i—n Si structures by LPE method

    Directory of Open Access Journals (Sweden)

    Vakiv N. M.

    2013-12-01

    Full Text Available Silicon p—i—n-structures are usually obtained using conventional diffusion method or liquid phase epitaxy (LPE. In both cases, the formation of p- and n-layers occurs in two stages. This technological approach is quite complex. Moreover, when forming bilateral high-voltage epitaxial layers, their parameters significantly deteriorate as a result of prolonged heat treatment of active high-resistivity layer. Besides, when using diffusion method, it is impossible to provide good reproducibility of the process. In this paper a technique of growing bilateral high-voltage silicon p—i—n-structures by LPE in a single process is proposed. The authors have obtained the optimum compounds of silicon-undersaturated molten solutions for highly doped (5•1018 cm–3 contact layers: 0.4—0.8 at. % aluminum in gallium melt for growing p-Si-layers and 0.03—0.15 at. % ytterbium in tin melt for n-Si-layers. Parameters of such structures provide for manufacturing of high-voltage diodes on their basis. Such diodes can be used in navigational equipment, communication systems for household and special purposes, on-board power supply systems, radar systems, medical equipment, etc.

  5. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    Science.gov (United States)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  6. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  7. Suppressing segregation in highly phosphorus doped silicon monolayers

    NARCIS (Netherlands)

    Keizer, Joris; Kölling, Sebastian; Koenraad, Paul; Simmons, Michelle Y.

    2015-01-01

    Sharply defined dopant profiles and low resistivity are highly desired qualities in the microelectronic industry, and more recently, in the development of an all epitaxial Si:P based quantum computer. In this work, we use thin (monolayers thick) room temperature grown silicon layers, so-called

  8. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  9. Wide-bandgap epitaxial heterojunction windows for silicon solar cells

    Science.gov (United States)

    Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.

    1990-01-01

    It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.

  10. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    Science.gov (United States)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  11. The Impact of Metallic Impurities on Minority Carrier Lifetime in High Purity N-type Silicon

    Science.gov (United States)

    Yoon, Yohan

    Boron-doped p-type silicon is the industry standard silicon solar cell substrate. However, it has serious limitations: iron boron (Fe-B) pairs and light induced degradation (LID). To suppress LID, the replacement of boron by gallium as a p-type dopant has been proposed. Although this eliminates B-O related defects, gallium-related pairing with iron, oxygen, and carbon can reduce lifetime in this material. In addition resistivity variations are more pronounced in gallium doped ingots, however Continuous-Czochralski (c-Cz) growth technologies are being developed to overcome this problem. In this work lifetime limiting factors and resistivity variations have been investigated in this material. The radial and axial variations of electrically active defects were observed using deep level transient spectroscopy (DLTS) these have been correlated to lifetime and resistivity variations. The DLTS measurements demonstrated that iron-related pairs are responsible for the lifetime variations. Specifically, Fe-Ga pairs were found to be important recombination sites and are more detrimental to lifetime than Fei. Typically n-type silicon has a higher minority carrier lifetime than p-type silicon with similar levels of contamination. That is because n-type silicon is more tolerant to metallic impurities, especially Fe. Also, it has no serious issues in relation to lifetime degradation, such as FeB pairs and light-induced degradation (LID). However, surface passivation of the p + region in p+n solar cells is much more problematic than the n+p case where silicon nitride provides very effective passivation of the cell. SiO2 is the most effective passivation for n type surfaces, but it does not work well on B-doped surfaces, resulting in inadequate performance. Al2O3 passivation layer suggested for B-doped emitters. With this surface passivation layer a 23.2 % conversion efficiency has been achieved. After this discovery n-type silicon is now being seriously considered for

  12. InP membrane on silicon integration technology

    NARCIS (Netherlands)

    Smit, M.K.

    2013-01-01

    Integration of light sources in silicon photonics is usually done with an active InP-based layer stack on a silicon-based photonic circuit-layer. InP Membrane On Silicon (IMOS) technology integrates all functionality in a single InP-based layer.

  13. Non-adiabatic ab initio molecular dynamics of supersonic beam epitaxy of silicon carbide at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Taioli, Simone [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Chemistry, University of Bologna, Bologna (Italy); Garberoglio, Giovanni [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Simonucci, Stefano [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Physics, University of Camerino, Camerino (Italy); Beccara, Silvio a [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Aversa, Lucrezia [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Nardi, Marco [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Institut fuer Physik, Humboldt-Universitaet zu Berlin, Berlin (Germany); Verucchi, Roberto [Institute of Materials for Electronics and Magnetism, FBK-CNR, Trento (Italy); Iannotta, Salvatore [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Parma (Italy); Dapor, Maurizio [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Materials Engineering and Industrial Technologies, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Padova (Italy); and others

    2013-01-28

    In this work, we investigate the processes leading to the room-temperature growth of silicon carbide thin films by supersonic molecular beam epitaxy technique. We present experimental data showing that the collision of fullerene on a silicon surface induces strong chemical-physical perturbations and, for sufficient velocity, disruption of molecular bonds, and cage breaking with formation of nanostructures with different stoichiometric character. We show that in these out-of-equilibrium conditions, it is necessary to go beyond the standard implementations of density functional theory, as ab initio methods based on the Born-Oppenheimer approximation fail to capture the excited-state dynamics. In particular, we analyse the Si-C{sub 60} collision within the non-adiabatic nuclear dynamics framework, where stochastic hops occur between adiabatic surfaces calculated with time-dependent density functional theory. This theoretical description of the C{sub 60} impact on the Si surface is in good agreement with our experimental findings.

  14. Molecular beam epitaxy of InP single junction and InP/In0.53Ga0.47As monolithically integrated tandem solar cells using solid phosphorous source material

    International Nuclear Information System (INIS)

    Delaney, A.; Chin, K.; Street, S.; Newman, F.; Aguilar, L.; Ignatiev, A.; Monier, C.; Velela, M.; Freundlich, A.

    1998-01-01

    This work reports the first InP solar cells, InP/In 0.53 Ga 0.47 As tandem solar cells and InP tunnel junctions to be grown using a solid phosphorous source cracker cell in a molecular beam epitaxy system. High p-type doping achieved with this system allowed for the development of InP tunnel junctions. These junctions which allow for improved current matching in subsequent monolithically integrated tandem devices also do not absorb photons which can be utilized in the InGaAs structure. Photocurrent spectral responses compared favorably to devices previously grown in a chemical beam epitaxy system. High resolution x-ray scans demonstrated good lattice matching between constituent parts of the tandem cell. AM0 efficiencies of both InP and InP/InGaAs tandem cells are reported

  15. Origin of dislocation luminescence centers and their reorganization in p-type silicon crystal subjected to plastic deformation and high temperature annealing.

    Science.gov (United States)

    Pavlyk, Bohdan; Kushlyk, Markiyan; Slobodzyan, Dmytro

    2017-12-01

    Changes of the defect structure of silicon p-type crystal surface layer under the influence of plastic deformation and high temperature annealing in oxygen atmosphere were investigated by deep-level capacitance-modulation spectroscopy (DLCMS) and IR spectroscopy of molecules and atom vibrational levels. Special role of dislocations in the surface layer of silicon during the formation of its energy spectrum and rebuilding the defective structure was established. It is shown that the concentration of linear defects (N ≥ 10 4  cm -2 ) enriches surface layer with electrically active complexes (dislocation-oxygen, dislocation-vacancy, and dislocation-interstitial atoms of silicon) which are an effective radiative recombination centers.

  16. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  17. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  18. Photon-Enhanced Thermionic Emission in Cesiated p-Type and n-Type Silicon

    DEFF Research Database (Denmark)

    Reck, Kasper; Dionigi, Fabio; Hansen, Ole

    2014-01-01

    electrons. Efficiencies above 60% have been predicted theoretically for high solar concentration systems. Silicon is an interesting absorber material for high efficiency PETE solar cells, partly due to its mechanical and thermal properties and partly due to its electrical properties, including a close......Photon-enhanced thermionic emission (PETE) is a relatively new concept for high efficiency solar cells that utilize not only the energy of electrons excited across the band gap by photons, as in conventional photovoltaic solar cells, but also the energy usual lost to thermalization of the excited...... to ideal band gap. The work function of silicon is, however, too high for practical PETE implementations. A well-known method for lowering the work function of silicon (and other materials) is to apply approximately a monolayer of cesium to the silicon surface. We present the first measurements of PETE...

  19. Development of Silicon Detectors for the High Luminosity LHC

    International Nuclear Information System (INIS)

    Eichhorn, Thomas Valentin

    2015-07-01

    telescope and its software analysis framework. An alignment of DUT and telescope planes has been performed and traversing particle tracks reconstructed for the sensor analysis. Results show that the achievable resolution in the epitaxial silicon strip sensors is at the binary level. The measured charge collection efficiency for p-bulk sensors amounts to 80% of pre-irradiation levels for fluences of 3 x 10 15 n eq /cm 2 and to over 65% for Φ = 1.3 x 10 16 n eq /cm 2 . Signal-to-noise levels at these fluence levels are 7.4 and 3.8, respectively. With particle tracks of various inclinations, the sharing of charge between sensor strips is investigated. Indications of possible charge losses at the sensor surface are described and evidence of commencing charge multiplication effects is presented. Sensors are also compared to thicker, non epitaxial sensors irradiated to the same fluence. From the obtained results, acquired from the first test beam measurements of irradiated epitaxial sensors ever performed, a complete picture of this material has been gained. It can be concluded that thin, p-bulk epitaxial silicon is sufficiently radiation hard for usage as an outer pixel detector sensor material.

  20. Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.

  1. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  2. Enhanced piezoelectric properties of (110)-oriented PbZr1−xTixO3 epitaxial thin films on silicon substrates at shifted morphotropic phase boundary

    NARCIS (Netherlands)

    Wan, X.; Houwman, Evert Pieter; Steenwelle, Ruud Johannes Antonius; van Schaijk, R.; Nguyen, Duc Minh; Dekkers, Jan M.; Rijnders, Augustinus J.H.M.

    2014-01-01

    Piezoelectrical, ferroelectrical, and structural properties of epitaxial pseudocubic (110)pc oriented 500 nm thick PbZr1−xTixO3 thin films, prepared by pulsed laser deposition on (001) silicon substrates, were measured as a function of composition. The dependence of the measurement data on the Ti

  3. Piezoresistance of Silicon and Strained Si0.9Ge0.1

    DEFF Research Database (Denmark)

    Richter, Jacob; Hansen, Ole; Larsen, A. Nylandsted

    2005-01-01

    We present experimentally obtained results of the piezoresistive effect in p-type silicon and strained Si0.9Ge0.1. Today, strained Si1-xGex is used for high speed electronic devices. This paper investigates if this area of use can be expanded to also cover piezoresistive micro electro mechanical...... systems (MEMS) devices. The measurements are performed on microfabricated test chips where resistors are defined in layers grown by molecular beam epitaxy on (0 0 1) silicon substrates. A uniaxial stress along the [1 1 0] direction is applied to the chip, with the use of a four point bending fixture....... The investigation covers materials with doping levels of N-A = 10(18) cm(-3) and NA = 1019 cm(-3), respectively. The results show that the pi(66) piezoresistive coefficient in strained Si0.9Ge0.1 is approximately 30% larger than the comparable pi(44) piezoresistive coefficient in silicon at a doping level of N...

  4. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  5. Guided Growth of Horizontal p-Type ZnTe Nanowires

    Science.gov (United States)

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  6. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  7. Studies of defects in neutron-irradiated p-type silicon by admittance measurements of n+-p diodes

    International Nuclear Information System (INIS)

    Tokuda, Y.; Usami, A.

    1978-01-01

    Defects introduced in p-type silicon by neutron irradiation were studied by measuring the admittance of n + -p diodes. It was shown that the energy levels and capture cross sections estimated from the temperature dependence of the admittance had some uncertainty due to the temperature dependence of the concentration of free carriers in the bulk and the high-frequency-junction capacitance. So, we presented the method of determination of the energy levels, capture cross sections, and concentrations of defects from the frequency dependence of the admittance. This method consists of the measurements of G/ω and C as a function of frequency. From this method, assuming that capture cross sections are independent of temperature, the energy levels of E/sub v/+0.16 and E/sub v/+0.36 eV were obtained. For these defects, the calculated values of the hole capture cross section were 2.4 x 10 -14 and 3.7 x 10 -14 cm 2 , respectively. Comparing with other published data, the energy level of E/sub v/+0.36 eV was found to be correlated with the divacancy

  8. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  9. Primary defect transformations in high-resistivity p-type silicon irradiated with electrons at cryogenic temperatures

    CERN Document Server

    Makarenko, L F; Korshunov, F P; Murin, L I; Moll, M

    2009-01-01

    It has been revealed that self-interstitials formed under low intensity electron irradiationin high resistivity p-type silicon can be retained frozen up to room temperature. Low thermal mobility of the self-interstitials suggests that Frenkelpair sinsilicon can be stable at temperatures of about or higher than 100K. A broad DLTS peak with activation energy of 0.14–0.17eV can be identified as related to Frenkel pairs. This peak anneals out at temperatures of 120 140K. Experimental evidences are presented that be coming more mobile under forwardcurrent injection the self-interstitials change their charge state to a less positive one.

  10. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  11. Summary of theoretical and experimental investigation of grating type, silicon photovoltaic cells. [using p-n junctions on light receiving surface of base crystal

    Science.gov (United States)

    Chen, L. Y.; Loferski, J. J.

    1975-01-01

    Theoretical and experimental aspects are summarized for single crystal, silicon photovoltaic devices made by forming a grating pattern of p/n junctions on the light receiving surface of the base crystal. Based on the general semiconductor equations, a mathematical description is presented for the photovoltaic properties of such grating-like structures in a two dimensional form. The resulting second order elliptical equation is solved by computer modeling to give solutions for various, reasonable, initial values of bulk resistivity, excess carrier concentration, and surface recombination velocity. The validity of the computer model is established by comparison with p/n devices produced by alloying an aluminum grating pattern into the surface of n-type silicon wafers. Current voltage characteristics and spectral response curves are presented for cells of this type constructed on wafers of different resistivities and orientations.

  12. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  13. Oxygen and minority carrier lifetimes in N-and P-type AL0.2GA0.8AS grown by metal organics vapor phase epitaxy

    International Nuclear Information System (INIS)

    Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.

    2000-01-01

    author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  16. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    Science.gov (United States)

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  17. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  18. Rapid diffusion of molybdenum trace contamination in silicon

    International Nuclear Information System (INIS)

    Tobin, S.P.; Greenwald, A.C.; Wolfson, R.G.; Meier, D.L.; Drevinsky, P.J.

    1985-01-01

    Molybdenum contamination has been detected in silicon epitaxial layers and substrate wafers after processing in any one of several epitaxial silicon reactors. Greatly reduced minority carrier diffusion lengths and lifetimes are consistent with Mo concentrations measured by DLTS in the 10 12 and 10 13 cm -3 ranges. Depth profiling of diffusion length and the Mo deep level show much greater penetration than expected from previous reports of Mo as a slow diffuser. The data indicate a lower limit of 10 -8 cm 2 /sec for the diffusion coefficient of Mo in silicon at 1200 0 C, consistent with high diffusivities measured for other transition metals

  19. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei; Song, Jinhui; Lu, Ming-Yen; Chen, Min-Teng; Gao, Yifan; Chen, Lih-Juann; Wang, Zhong Lin

    2009-01-01

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive

  20. Effect of cobalt-60 γ radiation and of thermal neutrons on high resistance P and N silicon. Possibility of obtaining a nuclear compensation for P type silicon

    International Nuclear Information System (INIS)

    Messier, J.

    1965-11-01

    Type P silicon has been compensated by the production of a controlled and uniform amount of donor atoms ( 31 P) using thermal neutrons to bring about a nuclear transformation. It is shown that it is possible in this way to reduce by a factor of about one hundred the overall concentration of residual ionised impurities in the purest crystals obtained by floating zone purification (2 x 10 12 atoms/cm 3 ). The degree compensation obtained is limited by the initial inhomogeneity of acceptor impurities which have to be compensated. Lattice defects which still remain after prolonged annealings reduce the life-time of the material to about 10 μs approximately. Particle detectors having thicknesses of 2 to 5 mm have been built by this process; they give good results, particularly at low temperatures. A study has also been made of the number and of the nature of lattice defects produced by thermal neutrons in high resistivity P and N type crystals. These defects have been compared to those produced by γ rays from 60 Co. A discussion is given of the validity of the Wertheim model concerning pronounced recombination at low temperatures (77 deg. K - 300 deg. K) of primary defect-interstitial pairs. The nature of the defects introducing energy levels into the lower half of the forbidden band has been studied. (author) [fr

  1. Effects of trap-assisted tunneling on gate-induced drain leakage in silicon-germanium channel p-type FET for scaled supply voltages

    Science.gov (United States)

    Tiwari, Vishal A.; Divakaruni, Rama; Hook, Terence B.; Nair, Deleep R.

    2016-04-01

    Silicon-germanium is considered as an alternative channel material to silicon p-type FET (pFET) for the development of energy efficient high performance transistors for 28 nm and beyond in a high-k metal gate technology because of its lower threshold voltage and higher mobility. However, gate-induced drain leakage (GIDL) is a concern for high threshold voltage device design because of tunneling at reduced bandgap. In this work, the trap-assisted tunneling and band-to-band tunneling (BTBT) effects on GIDL is analyzed and modeled for SiGe pFETs. Experimental results and Monte Carlo simulation results reveal that the pre-halo germanium pre-amorphization implant used to contain the short channel effects contribute to GIDL at the drain sidewall in addition to GIDL due to BTBT in SiGe devices. The results are validated by comparing the experimental observations with the numerical simulation and a set of calibrated models are used to describe the GIDL mechanisms for various drain and gate bias.

  2. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  3. Radiation hardness of silicon detectors manufactured on wafers from various sources

    International Nuclear Information System (INIS)

    Dezillie, B.; Bates, S.; Glaser, M.; Lemeilleur, F.; Leroy, C.

    1997-01-01

    Impurity concentrations in the initial silicon material are expected to play an important role for the radiation hardness of silicon detectors, during their irradiation and for their evolution with time after irradiation. This work reports on the experimental results obtained with detectors manufactured using various float-zone (FZ) and epitaxial-grown material. Preliminary results comparing the changes in leakage current and full depletion voltage of FZ and epitaxial detectors as a function of fluence and of time after 10 14 cm -2 proton irradiation are given. The measurement of charge collection efficiency for epitaxial detectors is also presented. (orig.)

  4. Porous silicon gettering

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.

  5. Predictable quantum efficient detector based on n-type silicon photodiodes

    Science.gov (United States)

    Dönsberg, Timo; Manoocheri, Farshid; Sildoja, Meelis; Juntunen, Mikko; Savin, Hele; Tuovinen, Esa; Ronkainen, Hannu; Prunnila, Mika; Merimaa, Mikko; Tang, Chi Kwong; Gran, Jarle; Müller, Ingmar; Werner, Lutz; Rougié, Bernard; Pons, Alicia; Smîd, Marek; Gál, Péter; Lolli, Lapo; Brida, Giorgio; Rastello, Maria Luisa; Ikonen, Erkki

    2017-12-01

    The predictable quantum efficient detector (PQED) consists of two custom-made induced junction photodiodes that are mounted in a wedged trap configuration for the reduction of reflectance losses. Until now, all manufactured PQED photodiodes have been based on a structure where a SiO2 layer is thermally grown on top of p-type silicon substrate. In this paper, we present the design, manufacturing, modelling and characterization of a new type of PQED, where the photodiodes have an Al2O3 layer on top of n-type silicon substrate. Atomic layer deposition is used to deposit the layer to the desired thickness. Two sets of photodiodes with varying oxide thicknesses and substrate doping concentrations were fabricated. In order to predict recombination losses of charge carriers, a 3D model of the photodiode was built into Cogenda Genius semiconductor simulation software. It is important to note that a novel experimental method was developed to obtain values for the 3D model parameters. This makes the prediction of the PQED responsivity a completely autonomous process. Detectors were characterized for temperature dependence of dark current, spatial uniformity of responsivity, reflectance, linearity and absolute responsivity at the wavelengths of 488 nm and 532 nm. For both sets of photodiodes, the modelled and measured responsivities were generally in agreement within the measurement and modelling uncertainties of around 100 parts per million (ppm). There is, however, an indication that the modelled internal quantum deficiency may be underestimated by a similar amount. Moreover, the responsivities of the detectors were spatially uniform within 30 ppm peak-to-peak variation. The results obtained in this research indicate that the n-type induced junction photodiode is a very promising alternative to the existing p-type detectors, and thus give additional credibility to the concept of modelled quantum detector serving as a primary standard. Furthermore, the manufacturing of

  6. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  7. Development of AC-coupled, poly-silicon biased, p-on-n silicon strip detectors in India for HEP experiments

    Science.gov (United States)

    Jain, Geetika; Dalal, Ranjeet; Bhardwaj, Ashutosh; Ranjan, Kirti; Dierlamm, Alexander; Hartmann, Frank; Eber, Robert; Demarteau, Marcel

    2018-02-01

    P-on-n silicon strip sensors having multiple guard-ring structures have been developed for High Energy Physics applications. The study constitutes the optimization of the sensor design, and fabrication of AC-coupled, poly-silicon biased sensors of strip width of 30 μm and strip pitch of 55 μm. The silicon wafers used for the fabrication are of 4 inch n-type, having an average resistivity of 2-5 k Ω cm, with a thickness of 300 μm. The electrical characterization of these detectors comprises of: (a) global measurements of total leakage current, and backplane capacitance; (b) strip and voltage scans of strip leakage current, poly-silicon resistance, interstrip capacitance, interstrip resistance, coupling capacitance, and dielectric current; and (c) charge collection measurements using ALiBaVa setup. The results of the same are reported here.

  8. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  9. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  10. Technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE)

    Science.gov (United States)

    Wegrzecka, Iwona; Panas, Andrzej; Bar, Jan; Budzyński, Tadeusz; Grabiec, Piotr; Kozłowski, Roman; Sarnecki, Jerzy; Słysz, Wojciech; Szmigiel, Dariusz; Wegrzecki, Maciej; Zaborowski, Michał

    2013-07-01

    The paper discusses the technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE). The developed technology enables the fabrication of both planar and epiplanar p+-ν-n+ detector structures with an active area of up to 50 cm2. The starting material for epiplanar structures are silicon wafers with a high-resistivity n-type epitaxial layer ( ν layer - ρ < 3 kΩcm) deposited on a highly doped n+-type substrate (ρ< 0,02Ωcm) developed and fabricated at the Institute of Electronic Materials Technology. Active layer thickness of the epiplanar detectors (νlayer) may range from 10 μm to 150 μm. Imported silicon with min. 5 kΩcm resistivity is used to fabricate planar detectors. Active layer thickness of the planar detectors (ν) layer) may range from 200 μm to 1 mm. This technology enables the fabrication of both discrete and multi-junction detectors (monolithic detector arrays), such as single-sided strip detectors (epiplanar and planar) and double-sided strip detectors (planar). Examples of process diagrams for fabrication of the epiplanar and planar detectors are presented in the paper, and selected technological processes are discussed.

  11. Performance of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    CERN Document Server

    INSPIRE-00052711; Boscardin, Maurizio; Bosisio, Luciano; Calderini, Giovanni; Chauveau, Jacques; Ducourthial, Audrey; Giacomini, Gabriele; Marchiori, Giovanni; Zorzi, Nicola

    2016-01-01

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The paper reports on the performance of novel n-on-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology an overview of the first beam test results will be given.

  12. Development of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Beccherle, R. [Istituto Nazionale di Fisica Nucleare, Sez. di Pisa (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste (Italy); INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2016-09-21

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The presentation describes the performance of novel n-in-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology, some feedback from preliminary results of the first beam test will be discussed.

  13. Characterization of double Shockley-type stacking faults formed in lightly doped 4H-SiC epitaxial films

    Science.gov (United States)

    Yamashita, T.; Hayashi, S.; Naijo, T.; Momose, K.; Osawa, H.; Senzaki, J.; Kojima, K.; Kato, T.; Okumura, H.

    2018-05-01

    Double Shockley-type stacking faults (2SSFs) formed in 4H-SiC epitaxial films with a dopant concentration of 1.0 × 1016 cm-3 were characterized using grazing incident X-ray topography and high-resolution scanning transmission electron microscopy. The origins of 2SSFs were investigated, and it was found that 2SSFs in the epitaxial layer originated from narrow SFs with a double Shockley structure in the substrate. Partial dislocations formed between 4H-type and 2SSF were also characterized. The shapes of 2SSFs are related with Burgers vectors and core types of the two Shockley partial dislocations.

  14. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  15. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  16. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  17. Room-temperature operation of a 2.25 μm electrically pumped laser fabricated on a silicon substrate

    International Nuclear Information System (INIS)

    Rodriguez, J. B.; Cerutti, L.; Grech, P.; Tournie, E.

    2009-01-01

    We report on a GaSb-based type-I laser structure grown by molecular beam epitaxy on a (001) silicon substrate. A thin AlSb nucleation layer followed by a 1 μm thick GaSb buffer layer was used to accommodate the very large lattice mismatch existing with the silicon substrate. Processed devices with mesa geometry exhibited laser operation in pulsed mode with a duty cycle up to 10% at room temperature

  18. Silicon fiber with p-n junction

    International Nuclear Information System (INIS)

    Homa, D.; Cito, A.; Pickrell, G.; Hill, C.; Scott, B.

    2014-01-01

    In this study, we fabricated a p-n junction in a fiber with a phosphorous doped silicon core and fused silica cladding. The fibers were fabricated via a hybrid process of the core-suction and melt-draw techniques and maintained overall diameters ranging from 200 to 900 μm and core diameters of 20–800 μm. The p-n junction was formed by doping the fiber with boron and confirmed via the current-voltage characteristic. The demonstration of a p-n junction in a melt-drawn silicon core fiber paves the way for the seamless integration of optical and electronic devices in fibers.

  19. Characterization of silicon sensor materials and designs for the CMS Tracker Upgrade

    CERN Document Server

    Dierlamm, Alexander Hermann

    2012-01-01

    During the high luminosity phase of the LHC (HL-LHC, starting around 2020) the inner tracking system of CMS will be exposed to harsher conditions than the current system was designed for. Therefore a new tracker is planned to cope with higher radiation levels and higher occupancies. Within the strip sensor developments of CMS a comparative survey of silicon materials and technologies is being performed in order to identify the baseline material for the future tracker. Hence, a variety of materials (float-zone, magnetic Czochralski and epitaxially grown silicon with thicknesses from 50$\\mu$m to 320$\\mu$m as p- and n-type) has been processed at one company (Hamamatsu Photonics K.K.), irradiated (proton, neutron and mixed irradiations up to 1.5e15n$_{eq}$/cm$^2$ and beyond) and tested under identical conditions. The wafer layout includes a variety of devices to investigate different aspects of sensor properties like simple diodes, test-structures, small strip sensors and a strip sensor array with varying strip p...

  20. Surface Plasmons on Highly Doped InP

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Ottaviano, Luisa; Semenova, Elizaveta

    2016-01-01

    Silicon doped InP is grown by metal-organic vapor phase epitaxy (MOVPE) using optimized growth parameters to achieve high free carrier concentration. Reflectance of the grown sample in mid-IR range is measured using FTIR and the result is used to retrieve the parameters of the dielectric function...

  1. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  2. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  3. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  4. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  5. The ALU+ concept: n-type silicon solar cells with surface passivated screen-printed aluminum-alloyed rear emitter

    NARCIS (Netherlands)

    Bock, R.; Schmidt, J.; Mau, S.; Hoex, B.; Kessels, W.M.M.; Brendel, R.

    2009-01-01

    Aluminum-doped p-type (Al-p+) silicon emitters fabricated by means of screen-printing and firing are effectively passivated by plasma-enhanced chemicalvapor deposited (PECVD) amorphous silicon (a-Si) and atomic-layer-deposited (ALD) aluminum oxide (Al2O3) as well as Al2O3/SiNx stacks, where the

  6. Short p-type silicon microstrip detectors in 3D-stc technology

    Energy Technology Data Exchange (ETDEWEB)

    Eckert, S. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany)], E-mail: simon.eckert@physik.uni-freiburg.de; Jakobs, K.; Kuehn, S.; Parzefall, U. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany); Dalla-Betta, G.-F.; Zoboli, A. [Dipartimento di Ingegneria e Scienza dell' Informazione, Universita degli Studi di Trento, via Sommarive 14, I-38050 Povo di Trento (Italy); Pozza, A.; Zorzi, N. [FBK-irst Trento, Microsystems Division, via Sommarive 18, I-38050 Povo di Trento (Italy)

    2008-10-21

    The luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, will constitute an extremely challenging radiation environment for tracking detectors. Significant improvements in radiation hardness are needed to cope with the increased radiation dose, requiring new tracking detectors. In the upgraded ATLAS detector the region from 20 to 50 cm distance to the beam will be covered by silicon strip detectors (SSD) with short strips. These will have to withstand a 1 MeV neutron equivalent fluence of about 1x10{sup 15}n{sub eq}/cm{sup 2}, hence extreme radiation resistance is necessary. For the short strips, we propose to use SSD realised in the radiation tolerant 3D technology, where rows of columns-etched into the silicon bulk-are joined together to form strips. To demonstrate the feasibility of 3D SSD for the sLHC, we have built prototype modules using 3D-single-type-column (stc) SSD with short strips and front-end electronics from the present ATLAS SCT. The modules were read out with the SCT Data Acquisition system and tested with an IR-laser. We report on the performance of these 3D modules, in particular the noise at 40 MHz which constitutes a measurement of the effective detector capacitance. Conclusions about options for using 3D SSD detectors for tracking at the sLHC are drawn.

  7. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  8. UV absorption and photoisomerization of p-methoxycinnamate grafted silicone.

    Science.gov (United States)

    Pattanaargson, Supason; Hongchinnagorn, Nantawan; Hirunsupachot, Piyawan; Sritana-anant, Yongsak

    2004-01-01

    p-Methoxycinnamate moieties, UV-B-absorptive chromophores of the widely used UV-B filter, 2-ethylhexyl p-methoxycinnamate (OMC), were grafted onto the 7 mol% amino functionalized silicone polymer through amide linkages. Comparing with OMC, the resulting poly [3-(p-methoxycinnamido)(propyl)(methyl)-dimethyl] siloxane copolymer (CAS) showed less E to Z isomerization when exposed to UV-B light. The absorption profiles of the product showed the maximum absorption wavelength to be similar to that of OMC but with less sensitivity to the type of solvent. Poly (methylhydrosiloxane) grafted with 10 mol% p-methoxycinnamoyl moieties was prepared through hydrosilylations of 2-propenyl-p-methoxycinnamate, in which the resulting copolymer showed similar results to those of CAS.

  9. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  10. Substrate-bias effect on the breakdown characteristic in a new silicon high-voltage device structure

    International Nuclear Information System (INIS)

    Li Qi; Wang Weidong; Zhao Qiuming; Wei Xueming

    2012-01-01

    A novel silicon double-RESURF LDMOS structure with an improved breakdown characteristic by substrate bias technology (SB) is reported. The P-type epitaxial layer is embedded between an N-type drift region and an N-type substrate to block the conduction path in the off-state and change the distributions of the bulk electric field. The substrate bias strengthens the charge share effect of the drift region near the source, and the vertical electric field peak under the drain is decreased, which is especially helpful in improving the vertical breakdown voltage in a lateral power device with a thin drift region. The numerical results by MEDICI indicate that the breakdown voltage of the proposed device is increased by 97% compared with a conventional LDMOS, while maintaining a lowon-resistance. (semiconductor devices)

  11. Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1997-01-01

    Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.

  12. Controlled p-type to n-type conductivity transformation in NiO thin films by ultraviolet-laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Pranav; Dutta, Titas; Mal, Siddhartha; Narayan, Jagdish [Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27606 (United States)

    2012-01-01

    We report the systematic changes in structural, electrical, and optical properties of NiO thin films on c-sapphire introduced by nanosecond ultraviolet excimer laser pulses. Epitaxial nature of as deposited NiO was determined by x-ray diffraction phi scans and transmission electron microscopy (TEM) and it was established that NiO film growth takes place with twin domains on sapphire where two types of domains have 60 deg. in-plane rotation with respect to each other about the [111] growth direction. We determined that at pulsed laser energy density of 0.275 J/cm{sup 2}, NiO films exhibited conversion from p-type semiconducting to n-type conductive behavior with three orders of magnitude decrease in resistivity, while maintaining its cubic crystal structure and good epitaxial relationship. Our TEM and electron-energy-loss spectroscopy studies conclusively ruled out the presence of any Ni clustering or precipitation due to the laser treatment. The laser-induced n-type carrier transport and conductivity enhancement were shown to be reversible through subsequent thermal annealing in oxygen. This change in conductivity behavior was correlated with the nonequilibrium concentration of laser induced Ni{sup 0}-like defect states.

  13. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  14. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  15. Superparamagnetic iron oxide nanoparticle attachment on array of micro test tubes and microbeakers formed on p-type silicon substrate for biosensor applications

    Directory of Open Access Journals (Sweden)

    Raja Sufi

    2011-01-01

    Full Text Available Abstract A uniformly distributed array of micro test tubes and microbeakers is formed on a p-type silicon substrate with tunable cross-section and distance of separation by anodic etching of the silicon wafer in N, N-dimethylformamide and hydrofluoric acid, which essentially leads to the formation of macroporous silicon templates. A reasonable control over the dimensions of the structures could be achieved by tailoring the formation parameters, primarily the wafer resistivity. For a micro test tube, the cross-section (i.e., the pore size as well as the distance of separation between two adjacent test tubes (i.e., inter-pore distance is typically approximately 1 μm, whereas, for a microbeaker the pore size exceeds 1.5 μm and the inter-pore distance could be less than 100 nm. We successfully synthesized superparamagnetic iron oxide nanoparticles (SPIONs, with average particle size approximately 20 nm and attached them on the porous silicon chip surface as well as on the pore walls. Such SPION-coated arrays of micro test tubes and microbeakers are potential candidates for biosensors because of the biocompatibility of both silicon and SPIONs. As acquisition of data via microarray is an essential attribute of high throughput bio-sensing, the proposed nanostructured array may be a promising step in this direction.

  16. Multiplication in Silicon p-n Junctions

    DEFF Research Database (Denmark)

    Moll, John L.

    1965-01-01

    Multiplication values were measured in the collector junctions of silicon p-n-p and n-p-n transistors before and after bombardment by 1016 neutrons/cm2. Within experimental error there was no change either in junction fields, as deduced from capacitance measurements, or in multiplication values i...

  17. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  18. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  19. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Energy Technology Data Exchange (ETDEWEB)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Minden 11800 Penang (Malaysia)

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  20. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Science.gov (United States)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-01

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×1016 atoms/cm3) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  1. Radiation-induced bistable centers with deep levels in silicon n{sup +}–p structures

    Energy Technology Data Exchange (ETDEWEB)

    Lastovskii, S. B., E-mail: lastov@ifttp.bas-net.by [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Markevich, V. P. [Manchester University, Photon Science Institute (United Kingdom); Yakushevich, H. S.; Murin, L. I. [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Krylov, V. P. [Vladimir State University (Russian Federation)

    2016-06-15

    The method of deep level transient spectroscopy is used to study electrically active defects in p-type silicon crystals irradiated with MeV electrons and α particles. A new radiation-induced defect with the properties of bistable centers is determined and studied. After keeping the irradiated samples at room temperature for a long time or after their short-time annealing at T ∼ 370 K, this defect does not display any electrical activity in p-type silicon. However, as a result of the subsequent injection of minority charge carriers, this center transforms into the metastable configuration with deep levels located at E{sub V} + 0.45 and E{sub V} + 0.54 eV. The reverse transition to the main configuration occurs in the temperature range of 50–100°C and is characterized by the activation energy ∼1.25 eV and a frequency factor of ∼5 × 10{sup 15} s{sup –1}. The determined defect is thermally stable at temperatures as high as T ∼ 450 K. It is assumed that this defect can either be a complex of an intrinsic interstitial silicon atom with an interstitial carbon atom or a complex consisting of an intrinsic interstitial silicon atom with an interstitial boron atom.

  2. 2 μm wavelength range InP-based type-II quantum well photodiodes heterogeneously integrated on silicon photonic integrated circuits.

    Science.gov (United States)

    Wang, Ruijun; Sprengel, Stephan; Muneeb, Muhammad; Boehm, Gerhard; Baets, Roel; Amann, Markus-Christian; Roelkens, Gunther

    2015-10-05

    The heterogeneous integration of InP-based type-II quantum well photodiodes on silicon photonic integrated circuits for the 2 µm wavelength range is presented. A responsivity of 1.2 A/W at a wavelength of 2.32 µm and 0.6 A/W at 2.4 µm wavelength is demonstrated. The photodiodes have a dark current of 12 nA at -0.5 V at room temperature. The absorbing active region of the integrated photodiodes consists of six periods of a "W"-shaped quantum well, also allowing for laser integration on the same platform.

  3. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  4. Molecular beam epitaxial growth and characterization of zinc-blende ZnMgSe on InP (001)

    International Nuclear Information System (INIS)

    Sohel, Mohammad; Munoz, Martin; Tamargo, Maria C.

    2004-01-01

    High crystalline quality zinc-blende structure Zn (1-x) Mg x Se epitaxial layers were grown on InP (001) substrates by molecular beam epitaxy. Their band gap energies were determined as a function of Mg concentration and a linear dependence was observed. The band gap of the Zn (1-x) Mg x Se closely lattice matched to InP was found to be 3.59 eV at 77 K and the extrapolated value for zinc-blende MgSe was determined to be 3.74 eV. Quantum wells of Zn (1-x) Cd x Se with Zn (1-x) Mg x Se as the barrier layer were grown which exhibit near ultraviolet emission

  5. Epitaxial Al{sub x}Ga{sub 1–x}As:Mg alloys with different conductivity types

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S. [Voronezh State University (Russian Federation); Arsentiev, I. N., E-mail: arsentyev@mail.ioffe.ru; Zhabotinskii, A. V.; Nikolaev, D. N.; Tarasov, I. S.; Shamakhov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Prutskij, Tatiana, E-mail: prutskiy@yahoo.com [Benemérita Universidad Autónoma de Puebla, Instituto de Ciencias (Mexico); Leiste, Harald; Rinke, Monika [Karlsruhe Nano Micro Facility (Germany)

    2017-01-15

    The structural, optical, and energy properties of epitaxial Al{sub x}Ga{sub 1–x}As:Mg/GaAs(100) heterostructures at different levels of doping with Mg are studied by high-resolution X-ray diffraction analysis and Raman and photoluminescence spectroscopies. It is shown that, by choosing the technological conditions of Al{sub x}Ga{sub 1–x}As:Mg alloy production, it is possible to achieve not only different conductivity types, but also substantially different charge-carrier concentrations in an epitaxial film.

  6. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    Science.gov (United States)

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  7. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  8. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  9. Eliminating Light-Induced Degradation in Commercial p-Type Czochralski Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Brett Hallam

    2017-12-01

    Full Text Available This paper discusses developments in the mitigation of light-induced degradation caused by boron-oxygen defects in boron-doped Czochralski grown silicon. Particular attention is paid to the fabrication of industrial silicon solar cells with treatments for sensitive materials using illuminated annealing. It highlights the importance and desirability of using hydrogen-containing dielectric layers and a subsequent firing process to inject hydrogen throughout the bulk of the silicon solar cell and subsequent illuminated annealing processes for the formation of the boron-oxygen defects and simultaneously manipulate the charge states of hydrogen to enable defect passivation. For the photovoltaic industry with a current capacity of approximately 100 GW peak, the mitigation of boron-oxygen related light-induced degradation is a necessity to use cost-effective B-doped silicon while benefitting from the high-efficiency potential of new solar cell concepts.

  10. Characterization of majority and minority carrier deep levels in p-type GaN:Mg grown by molecular beam epitaxy using deep level optical spectroscopy

    International Nuclear Information System (INIS)

    Armstrong, A.; Caudill, J.; Ringel, S. A.; Corrion, A.; Poblenz, C.; Mishra, U. K.; Speck, J. S.

    2008-01-01

    Deep level defects in p-type GaN:Mg grown by molecular beam epitaxy were characterized using steady-state photocapacitance and deep level optical spectroscopy (DLOS). Low frequency capacitance measurements were used to alleviate dispersion effects stemming from the deep Mg acceptor. Use of DLOS enabled a quantitative survey of both deep acceptor and deep donor levels, the latter being particularly important due to the limited understanding of minority carrier states for p-type GaN. Simultaneous electron and hole photoemissions resulted in a convoluted deep level spectrum that was decoupled by emphasizing either majority or minority carrier optical emission through control of the thermal filling time conditions. In this manner, DLOS was able to resolve and quantify the properties of deep levels residing near both the conduction and valence bandedges in the same sample. Bandgap states through hole photoemission were observed at E v +3.05 eV, E v +3.22 eV and E v +3.26 eV. Additionally, DLOS revealed levels at E c -3.24 eV and E c -2.97 eV through electron emission to the conduction band with the former attributed to the Mg acceptor itself. The detected deep donor concentration is less than 2% of activated [Mg] and demonstrates the excellent quality of the film

  11. Technology Development on P-type Silicon Strip Detectors for Proton Beam Dosimetry

    International Nuclear Information System (INIS)

    Aouadi, K.; Bouterfa, M.; Delamare, R.; Flandre, D.; Bertrand, D.; Henry, F.

    2013-06-01

    In this paper, we present a technology for the fabrication of n-in-p silicon strip detectors, which is based on the use of Al 2 O 3 oxide compared to p-spray insulation scheme. This technology has been developed using the best technological parameters deduced from simulations, particularly for the p-spray implantation parameters. Different wafers were processed towards the fabrication of the radiation detectors with p-spray insulation and Al 2 O 3 . The evaluation of the prototype detectors has been carried out by performing the electrical characterization of the devices through the measurement of current-voltage and capacitance-voltage characteristics, as well as the measurement of detection response under radiation. The results of electrical measurements indicate that detectors fabricated with Al 2 O 3 exhibit a dark current several times lower than p-spray detectors and show an excellent electrical insulation between strips with a higher inter-strip resistance. Response of Al 2 O 3 strip detector under radiation has been found better. The resulting improved output signal dynamic range finally makes the use of Al 2 O 3 more attractive. (authors)

  12. Reinventing a p-type doping process for stable ZnO light emitting devices

    Science.gov (United States)

    Xie, Xiuhua; Li, Binghui; Zhang, Zhenzhong; Shen, Dezhen

    2018-06-01

    A tough challenge for zinc oxide (ZnO) as the ultraviolet optoelectronics materials is realizing the stable and reliable p-type conductivity. Self-compensation, coming from native donor-type point defects, is a big obstacle. In this work, we introduce a dynamic N doping process with molecular beam epitaxy, which is accomplished by a Zn, N-shutter periodic switch (a certain time shift between them for independent optimization of surface conditions). During the epitaxy, N adatoms are incorporated under the condition of (2  ×  2)  +  Zn vacancies reconstruction on a Zn-polar surface, at which oxygen vacancies (V O), the dominating compensating donors, are suppressed. With the p-ZnO with sufficient holes surviving, N concentration ~1  ×  1019 cm‑3, is employed in a p-i-n light emitting devices. Significant ultraviolet emission of electroluminescence spectra without broad green band (related to V O) at room-temperature are demonstrated. The devices work incessantly without intentional cooling for over 300 h at a luminous intensity reduction of one order of magnitude under the driving of a 10 mA continuous current, which are the demonstration for p-ZnO stability and reliability.

  13. P-type silicon surface barrier detector used for x-ray dosimetry

    International Nuclear Information System (INIS)

    Yamamoto, Hisao; Hatakeyama, Satoru; Norimura, Toshiyuki; Tsuchiya, Takehiko

    1983-01-01

    Responses to X-rays of a P-type surface barrier detector fabricated in our laboratory were studied, taking into consideration the dependence on the temperature in order to examine its applicability to dosimetry of short-range radiation. The study was also made in the case of N-type surface barrier detector. At room temperature, the short-circuit current increased linearly with exposure dose rate (15 - 50 R/min) for N- and P-type detectors. The open-circuit voltage showed a nonlinear dependence. With increasing temperature, the short-circuit current for the N-type detector was approximately constant up to 30 0 C and then decreased, though the open-circuit voltage decreased linearly. For the P- type detector, both open-circuit voltage and short-circuit current decreased almost linearly with increasing temperature. While a P-type detector is still open to some improvements, these results indicate that it can be used as a dosimeter. (author)

  14. Silicon Sensor and Detector Developments for the CMS Tracker Upgrade

    CERN Document Server

    D'Alessandro, Raffaello

    2011-01-01

    CMS started a campaign to identify the future silicon sensor technology baseline for a new Tracker for the high-luminosity phase of LHC, coupled to a new effective way of providing tracking information to the experiment trigger. To this end a large variety of 6'' wafers was acquired in different thicknesses and technologies at HPK and new detector module designs were investigated. Detector thicknesses ranging from 50$\\mu$m to 300$\\mu$m are under investigation on float zone, magnetic Czochralski and epitaxial material both in n-in-p and p-in-n versions. P-stop and p-spray are explored as isolation technology for the n-in-p type sensors as well as the feasibility of double metal routing on 6'' wafers. Each wafer contains different structures to answer different questions, e.g. influence of geometry, Lorentz angle, radiation tolerance, annealing behaviour, validation of read-out schemes. Dedicated process test-structures, as well as diodes, mini-sensors, long and very short strip sensors and real pixel sensors ...

  15. Hole traps associated with high-concentration residual carriers in p-type GaAsN grown by chemical beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Elleuch, Omar, E-mail: mr.omar.elleuch@gmail.com; Wang, Li; Lee, Kan-Hua; Demizu, Koshiro; Ikeda, Kazuma; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan)

    2015-01-28

    The hole traps associated with high background doping in p-type GaAsN grown by chemical beam epitaxy are studied based on the changes of carrier concentration, junction capacitance, and hole traps properties due to the annealing. The carrier concentration was increased dramatically with annealing time, based on capacitance–voltage (C–V) measurement. In addition, the temperature dependence of the junction capacitance (C–T) was increased rapidly two times. Such behavior is explained by the thermal ionization of two acceptor states. These acceptors are the main cause of high background doping in the film, since the estimated carrier concentration from C–T results explains the measured carrier concentration at room temperature using C–V method. The acceptor states became shallower after annealing, and hence their structures are thermally unstable. Deep level transient spectroscopy (DLTS) showed that the HC2 hole trap was composed of two signals, labeled HC21 and HC22. These defects correspond to the acceptor levels, as their energy levels obtained from DLTS are similar to those deduced from C–T. The capture cross sections of HC21 and HC22 are larger than those of single acceptors. In addition, their energy levels and capture cross sections change in the same way due to the annealing. This tendency suggests that HC21 and HC22 signals originate from the same defect which acts as a double acceptor.

  16. Hole traps associated with high-concentration residual carriers in p-type GaAsN grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Elleuch, Omar; Wang, Li; Lee, Kan-Hua; Demizu, Koshiro; Ikeda, Kazuma; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2015-01-01

    The hole traps associated with high background doping in p-type GaAsN grown by chemical beam epitaxy are studied based on the changes of carrier concentration, junction capacitance, and hole traps properties due to the annealing. The carrier concentration was increased dramatically with annealing time, based on capacitance–voltage (C–V) measurement. In addition, the temperature dependence of the junction capacitance (C–T) was increased rapidly two times. Such behavior is explained by the thermal ionization of two acceptor states. These acceptors are the main cause of high background doping in the film, since the estimated carrier concentration from C–T results explains the measured carrier concentration at room temperature using C–V method. The acceptor states became shallower after annealing, and hence their structures are thermally unstable. Deep level transient spectroscopy (DLTS) showed that the HC2 hole trap was composed of two signals, labeled HC21 and HC22. These defects correspond to the acceptor levels, as their energy levels obtained from DLTS are similar to those deduced from C–T. The capture cross sections of HC21 and HC22 are larger than those of single acceptors. In addition, their energy levels and capture cross sections change in the same way due to the annealing. This tendency suggests that HC21 and HC22 signals originate from the same defect which acts as a double acceptor

  17. Characterization of electrical and optical properties of silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Guobin

    2009-12-04

    characteristic DRL lines D1 to D4 has been detected, indicating the dislocations in the Alile sample are relatively clean. Test p-n junction diodes with dislocation networks (DNs) produced by silicon wafer direct bonding have been investigated by EBIC technique. Charge carriers collection and electrical conduction phenomena by the DNs were observed. Inhomogeneities in the charge collection were detected in n- and p-type samples under appropriate beam energy. The diffusion lengths in the thin top layer of silicon-on-insulator (SOI) have been measured by EBIC with full suppression of the surface recombination at the buried oxide (BOX) layer and at surface of the top layer by biasing method. The measured diffusion length is several times larger than the layer thickness. Silicon nanostructures are another important subject of this work. Electrical and optical properties of various silicon based materials like silicon nanowires, silicon nano rods, porous silicon, and Si/SiO{sub 2} multi quantum wells (MQWs) samples were investigated in this work. Silicon sub-bandgap infrared (IR) luminescence around 1570 nm was found in silicon nanowires, nano rods and porous silicon. PL measurements with samples immersed in different liquid media, for example, in aqueous HF (50%), concentrated H{sub 2}SO{sub 4} (98%) and H{sub 2}O{sub 2} established that the subbandgap IR luminescence originated from the Si/SiO{sub x} interface. EL in the sub-bandgap IR range has been observed in simple devices prepared on porous silicon and MQWs at room temperature. (orig.)

  18. Silicon technologies ion implantation and thermal treatment

    CERN Document Server

    Baudrant, Annie

    2013-01-01

    The main purpose of this book is to remind new engineers in silicon foundry, the fundamental physical and chemical rules in major Front end treatments: oxidation, epitaxy, ion implantation and impurities diffusion.

  19. Controlled oxygen vacancy induced p-type conductivity in HfO{sub 2-x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Mueller, Mathis M.; Kleebe, Hans-Joachim; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany); Schroeder, Thomas [IHP, 15236 Frankfurt/Oder (Germany)

    2011-09-12

    We have synthesized highly oxygen deficient HfO{sub 2-x} thin films by controlled oxygen engineering using reactive molecular beam epitaxy. Above a threshold value of oxygen vacancies, p-type conductivity sets in with up to 6 times 10{sup 21} charge carriers per cm{sup 3}. At the same time, the band-gap is reduced continuously by more than 1 eV. We suggest an oxygen vacancy induced p-type defect band as origin of the observed behavior.

  20. Behaviors of beryllium compensation doping in InGaAsP grown by gas source molecular beam epitaxy

    Science.gov (United States)

    Ma, Y. J.; Zhang, Y. G.; Gu, Y.; Xi, S. P.; Chen, X. Y.; Liang, Baolai; Juang, Bor-Chau; Huffaker, Diana L.; Du, B.; Shao, X. M.; Fang, J. X.

    2017-07-01

    We report structural properties as well as electrical and optical behaviors of beryllium (Be)-doped InGaAsP lattice-matched to InP grown by gas source molecular beam epitaxy. P type layers present a high degree of compensation on the order of 1018 cm-3, and for Be densities below 9.5×1017 cm-3, they are found to be n type. Enhanced incorporation of oxygen during Be doping is observed by secondary ion mass spectroscopy. Be in forms of interstitial donors or donor-like Be-O complexes for cell temperatures below 800°C is proposed to account for such anomalous compensation behaviors. A constant photoluminescence energy of 0.98 eV without any Moss-Burstein shift for Be doping levels up to 1018 cm-3 along with increased emission intensity due to passivation effect of Be is also observed. An increasing number of minority carriers tend to relax via Be defect state-related Shockley-Read-Hall recombination with the increase of Be doping density.

  1. Behaviors of beryllium compensation doping in InGaAsP grown by gas source molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Y. J. Ma

    2017-07-01

    Full Text Available We report structural properties as well as electrical and optical behaviors of beryllium (Be-doped InGaAsP lattice-matched to InP grown by gas source molecular beam epitaxy. P type layers present a high degree of compensation on the order of 1018 cm−3, and for Be densities below 9.5×1017 cm−3, they are found to be n type. Enhanced incorporation of oxygen during Be doping is observed by secondary ion mass spectroscopy. Be in forms of interstitial donors or donor-like Be-O complexes for cell temperatures below 800°C is proposed to account for such anomalous compensation behaviors. A constant photoluminescence energy of 0.98 eV without any Moss-Burstein shift for Be doping levels up to 1018 cm−3 along with increased emission intensity due to passivation effect of Be is also observed. An increasing number of minority carriers tend to relax via Be defect state-related Shockley-Read-Hall recombination with the increase of Be doping density.

  2. Microscopic study of electrical properties of CrSi2 nanocrystals in silicon

    Directory of Open Access Journals (Sweden)

    Lányi Štefan

    2011-01-01

    Full Text Available Abstract Semiconducting CrSi2 nanocrystallites (NCs were grown by reactive deposition epitaxy of Cr onto n-type silicon and covered with a 50-nm epitaxial silicon cap. Two types of samples were investigated: in one of them, the NCs were localized near the deposition depth, and in the other they migrated near the surface. The electrical characteristics were investigated in Schottky junctions by current-voltage and capacitance-voltage measurements. Atomic force microscopy (AFM, conductive AFM and scanning probe capacitance microscopy (SCM were applied to reveal morphology and local electrical properties. The scanning probe methods yielded specific information, and tapping-mode AFM has shown up to 13-nm-high large-area protrusions not seen in the contact-mode AFM. The electrical interaction of the vibrating scanning tip results in virtual deformation of the surface. SCM has revealed NCs deep below the surface not seen by AFM. The electrically active probe yielded significantly better spatial resolution than AFM. The conductive AFM measurements have shown that the Cr-related point defects near the surface are responsible for the leakage of the macroscopic Schottky junctions, and also that NCs near the surface are sensitive to the mechanical and electrical stress induced by the scanning probe.

  3. Low cost silicon solar array project: Feasibility of low-cost, high-volume production of silane and pyrolysis of silane to semiconductor-grade silicon

    Science.gov (United States)

    Breneman, W. C.

    1978-01-01

    Silicon epitaxy analysis of silane produced in the Process Development Unit operating in a completely integrated mode consuming only hydrogen and metallurgical silicon resulted in film resistivities of up to 120 ohms cm N type. Preliminary kinetic studies of dichlorosilane disproportionation in the liquid phase have shown that 11.59% SiH4 is formed at equilibrium after 12 minutes contact time at 56 C. The fluid-bed reactor was operated continuously for 48 hours with a mixture of one percent silane in helium as the fluidizing gas. A high silane pyrolysis efficiency was obtained without the generation of excessive fines. Gas flow conditions near the base of the reactor were unfavorable for maintaining a bubbling bed with good heat transfer characteristics. Consequently, a porous agglomerate formed in the lower portion of the reactor. Dense coherent plating was obtained on the silicon seed particles which had remained fluidizied throughout the experiment.

  4. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  5. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  6. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    Science.gov (United States)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  7. a Study of Oxygen Precipitation in Heavily Doped Silicon.

    Science.gov (United States)

    Graupner, Robert Kurt

    Gettering of impurities with oxygen precipitates is widely used during the fabrication of semiconductors to improve the performance and yield of the devices. Since the effectiveness of the gettering process is largely dependent on the initial interstitial oxygen concentration, accurate measurements of this parameter are of considerable importance. Measurements of interstitial oxygen following thermal cycles are required for development of semiconductor fabrication processes and for research into the mechanisms of oxygen precipitate nucleation and growth. Efforts by industrial associations have led to the development of standard procedures for the measurement of interstitial oxygen in wafers. However practical oxygen measurements often do not satisfy the requirements of such standard procedures. An additional difficulty arises when the silicon wafer has a low resitivity (high dopant concentration). In such cases the infrared light used for the measurement is severely attenuated by the electrons of holes introduced by the dopant. Since such wafers are the substrates used for the production of widely used epitaxial wafers, this measurement problem is economically important. Alternative methods such as Secondary Ion Mass Spectroscopy or Gas Fusion Analysis have been developed to measure oxygen in these cases. However, neither of these methods is capable of distinguishing interstitial oxygen from precipitated oxygen as required for precipitation studies. In addition to the commercial interest in heavily doped silicon substrates, they are also of interest for research into the role of point defects in nucleation and precipitation processes. Despite considerable research effort, there is still disagreement concerning the type of point defect and its role in semiconductor processes. Studies of changes in the interstitial oxygen concentration of heavily doped and lightly doped silicon wafers could help clarify the role of point defects in oxygen nucleation and precipitation

  8. Epitaxial lateral overgrowth of Ga{sub x}In{sub 1-x}P toward direct Ga{sub x}In{sub 1-x}P/Si heterojunction

    Energy Technology Data Exchange (ETDEWEB)

    Omanakuttan, Giriprasanth; Stergiakis, Stamoulis; Sychugov, Ilya; Lourdudoss, Sebastian; Sun, Yan-Ting [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Sahgal, Abhishek [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Department of Physics, Indian Institute of Technology Delhi, New Delhi (India)

    2017-03-15

    The growth of GaInP by hydride vapor phase epitaxy (HVPE) was studied on planar GaAs, patterned GaAs for epitaxial lateral overgrowth (ELOG), and InP/Si seed templates for corrugated epitaxial lateral overgrowth (CELOG). First results on the growth of direct GaInP/Si heterojunction by CELOG is presented. The properties of Ga{sub x}In{sub (1-x)}P layer and their dependence on the process parameters were investigated by X-ray diffraction, including reciprocal lattice mapping (XRD-RLM), scanning electron microscopy equipped with energy-dispersive X-ray spectroscopy (SEM-EDS), photoluminescence (PL), and Raman spectroscopy. The fluctuation of Ga composition in the Ga{sub x}In{sub (1-x)}P layer was observed on planar substrate, and the strain caused by the composition variation is retained until relaxation occurs. Fully relaxed GaInP layers were obtained by ELOG and CELOG. Raman spectroscopy reveals that there is a certain amount of ordering in all of the layers except those grown at high temperatures. Orientation dependent Ga incorporation in the CELOG, but not in the ELOG Ga{sub x}In{sub (1-x)}P layer, and Si incorporation in the vicinity of direct Ga{sub x}In{sub (1-x)}P/Si heterojunction from CELOG are observed in the SEM-EDS analyses. The high optical quality of direct GaInP/Si heterojunction was observed by cross-sectional micro-PL mapping and the defect reduction effect of CELOG was revealed by high PL intensity in GaInP above Si. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Control of back surface reflectance from aluminum alloyed contacts on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Cudzinovic, M.; Sopori, B. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    A process for forming highly reflective aluminum back contacts with low contact resistance to silicon solar cells is described. By controlling the process conditions, it is possible to vary the silicon/aluminum interface from a specular to a diffuse reflector while maintaining a high interface reflectance. The specular interface is found to be a uniform silicon/aluminum alloy layer a few angstroms thick that has epitaxially regrown on the silicon. The diffuse interface consists of randomly distributed (111) pyramids produced by crystallographic out-diffusion of the bulk silicon. The light trapping ability of the diffuse contact is found to be close to the theoretical limit. Both types of contacts are found to have specific contact resistivities of 10{sup {minus}5} {Omega}-cm{sup 2}. The process for forming the contacts involves illuminating the devices with tungsten halogen lamps. The process is rapid (under 100 s) and low temperature (peak temperature < 580{degrees}C), making it favorable for commercial solar cell fabrication.

  10. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  11. Study of the impurity photoconductivity in p-InSb using epitaxial p{sup +} contacts

    Energy Technology Data Exchange (ETDEWEB)

    Eminov, Sh. O., E-mail: shikhamirem@gmail.com [National Academy of Sciences of Azerbaijan, Abdullaev Institute of Physics (Azerbaijan)

    2016-08-15

    The optical absorption coefficient α in p{sup +}-InSb layers (with hole concentrations of p ≈ 1 × 10{sup 17}–1.2 × 10{sup 19} cm{sup –3}), grown by liquid-phase epitaxy on p-InSb substrates, is measured in the spectral range of 5-12 µm at 90 K, and the impurity photoconductivity is measured (at 60 and 90 K) in p{sup +}–p structures. It is found that a in the p{sup +} layers reaches a value of 7000 cm{sup –1} (at p ≈ 2 × 10{sup 19} cm{sup –1}). It is shown that the measured substrate value of (α ≈1–3 cm{sup –1}) is overestimated in comparison with estimates (α ≈ 0.1 cm{sup –1}) based on comparing the photoconductivity data. This discrepancy is explained by the fact that the optical transitions of holes responsible for photoconductivity are obscured by the excitation of electrons to the conduction band. The photoionization cross section for these transitions does not exceed 1 × 10{sup –15} cm{sup 2}.

  12. Cu gettering by phosphorus-doped emitters in p-type silicon: Effect on light-induced degradation

    Science.gov (United States)

    Inglese, Alessandro; Laine, Hannu S.; Vähänissi, Ville; Savin, Hele

    2018-01-01

    The presence of copper (Cu) contamination is known to cause relevant light-induced degradation (Cu-LID) effects in p-type silicon. Due to its high diffusivity, Cu is generally regarded as a relatively benign impurity, which can be readily relocated during device fabrication from the wafer bulk, i.e. the region affected by Cu-LID, to the surface phosphorus-doped emitter. This contribution examines in detail the impact of gettering by industrially relevant phosphorus layers on the strength of Cu-LID effects. We find that phosphorus gettering does not always prevent the occurrence of Cu-LID. Specifically, air-cooling after an isothermal anneal at 800°C results in only weak impurity segregation to the phosphorus-doped layer, which turns out to be insufficient for effectively mitigating Cu-LID effects. Furthermore, we show that the gettering efficiency can be enhanced through the addition of a slow cooling ramp (-4°C/min) between 800°C and 600°C, resulting in the nearly complete disappearance of Cu-LID effects.

  13. Formation of copper precipitates in silicon

    Science.gov (United States)

    Flink, Christoph; Feick, Henning; McHugo, Scott A.; Mohammed, Amna; Seifert, Winfried; Hieslmair, Henry; Heiser, Thomas; Istratov, Andrei A.; Weber, Eicke R.

    1999-12-01

    The formation of copper precipitates in silicon was studied after high-temperature intentional contamination of p- and n-type FZ and Cz-grown silicon and quench to room temperature. With the Transient Ion Drift (TID) technique on p-type silicon a critical Fermi level position at EC-0.2 eV was found. Only if the Fermi level position, which is determined by the concentrations of the acceptors and the copper donors, surpasses this critical value precipitation takes place. If the Fermi level is below this level the supersaturated interstitial copper diffuses out. An electrostatic precipitation model is introduced that correlates the observed precipitation behavior with the electrical activity of the copper precipitates as detected with Deep Level Transient Spectroscopy (DLTS) on n-type and with Minority Carrier Transient Spectroscopy (MCTS) on p-type silicon.

  14. Radiation Hardening of Silicon Detectors

    CERN Multimedia

    Leroy, C; Glaser, M

    2002-01-01

    %RD48 %title\\\\ \\\\Silicon detectors will be widely used in experiments at the CERN Large Hadron Collider where high radiation levels will cause significant bulk damage. In addition to increased leakage current and charge collection losses worsening the signal to noise, the induced radiation damage changes the effective doping concentration and represents the limiting factor to long term operation of silicon detectors. The objectives are to develop radiation hard silicon detectors that can operate beyond the limits of the present devices and that ensure guaranteed operation for the whole lifetime of the LHC experimental programme. Radiation induced defect modelling and experimental results show that the silicon radiation hardness depends on the atomic impurities present in the initial monocrystalline material.\\\\ \\\\ Float zone (FZ) silicon materials with addition of oxygen, carbon, nitrogen, germanium and tin were produced as well as epitaxial silicon materials with epilayers up to 200 $\\mu$m thickness. Their im...

  15. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface. 

  16. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  17. Luminescence of porous silicon doped by erbium

    International Nuclear Information System (INIS)

    Bondarenko, V.P.; Vorozov, N.N.; Dolgij, L.N.; Dorofeev, A.M.; Kazyuchits, N.M.; Leshok, A.A.; Troyanova, G.N.

    1996-01-01

    The possibility of the 1.54 μm intensive luminescence in the silicon dense porous layers, doped by erbium, with various structures is shown. Low-porous materials of both porous type on the p-type silicon and porous silicon with wood-like structure on the n + type silicon may be used for formation of light-emitting structures

  18. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  19. Effect of compressive stress on stability of N-doped p-type ZnO

    International Nuclear Information System (INIS)

    Chen Xingyou; Zhang Zhenzhong; Jiang Mingming; Wang Shuangpeng; Li Binghui; Shan Chongxin; Liu Lei; Zhao Dongxu; Shen Dezhen; Yao Bin

    2011-01-01

    Nitrogen-doped p-type zinc oxide (p-ZnO:N) thin films were fabricated on a-/c-plane sapphire (a-/c-Al 2 O 3 ) by plasma-assisted molecular beam epitaxy. Hall-effect measurements show that the p-type ZnO:N on c-Al 2 O 3 degenerated into n-type after a preservation time; however, the one grown on a-Al 2 O 3 showed good stability. The conversion of conductivity in the one grown on c-Al 2 O 3 ascribed to the faster disappearance of N O and the growing N 2(O) , which is demonstrated by x-ray photoelectron spectroscopy (XPS). Compressive stress, caused by lattice misfit, was revealed by Raman spectra and optical absorption spectra, and it was regarded as the root of the instability in ZnO:N.

  20. Hadron-therapy beam monitoring: Towards a new generation of ultra-thin p-type silicon strip detectors

    International Nuclear Information System (INIS)

    Bouterfa, M.; Aouadi, K.; Bertrand, D.; Olbrechts, B.; Delamare, R.; Raskin, J. P.; Gil, E. C.; Flandre, D.

    2011-01-01

    Hadron-therapy has gained increasing interest for cancer treatment especially within the last decade. System commissioning and quality assurance procedures impose to monitor the particle beam using 2D dose measurements. Nowadays, several monitoring systems exist for hadron-therapy but all show a relatively high influence on the beam properties: indeed, most devices consist of several layers of materials that degrade the beam through scattering and energy losses. For precise treatment purposes, ultra-thin silicon strip detectors are investigated in order to reduce this beam scattering. We assess the beam size increase provoked by the Multiple Coulomb Scattering when passing through Si, to derive a target thickness. Monte-Carlo based simulations show a characteristic scattering opening angle lower than 1 mrad for thicknesses below 20 μm. We then evaluated the fabrication process feasibility. We successfully thinned down silicon wafers to thicknesses lower than 10 μm over areas of several cm 2 . Strip detectors are presently being processed and they will tentatively be thinned down to 20 μm. Moreover, two-dimensional TCAD simulations were carried out to investigate the beam detector performances on p-type Si substrates. Additionally, thick and thin substrates have been compared thanks to electrical simulations. Reducing the pitch between the strips increases breakdown voltage, whereas leakage current is quite insensitive to strips geometrical configuration. The samples are to be characterized as soon as possible in one of the IBA hadron-therapy facilities. For hadron-therapy, this would represent a considerable step forward in terms of treatment precision. (authors)

  1. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  2. High-efficiency silicon doping of InP and In0.53Ga0.47As in gas source and metalorganic molecular beam epitaxy using silicon tetrabromide

    International Nuclear Information System (INIS)

    Jackson, S.L.; Fresina, M.T.; Baker, J.E.; Stillman, G.E.

    1994-01-01

    Efficient vapor source Si doping of InP and In 0.53 Ga 0.47 As have been demonstrated using SiBr 4 as the Si source for both gas source (GSMBE) and metalorganic molecular beam epitaxy (MOMBE). Net electron concentrations ranging from n=2x10 17 to 6.8x10 19 cm -3 and from 9x10 16 to 3x10 19 cm -3 have been obtained for InP and In 0.53 Ga 0.47 As, respectively. Comparison of these data with those for Si 2 H 6 indicate that the Si incorporation efficiency with SiBr 4 is more than 10 000 times greater than with Si 2 H 6 for substrate temperatures in the range of 475≤T s ≤500 degree C. Specular surface morphologies were obtained, even for the most heavily doped samples. While [Si] as high as 1.8x10 20 cm -3 was obtained in InP, the net electron concentrations and 300 K Hall mobilities decrease with increasing [Si] for [Si]>6.8x10 19 cm -3 . Contact resistances as low as R c =3x10 -8 Ω cm 2 were obtained using a nonalloyed Ti/Pt/Au contact to InP layers doped to n=6.3x10 19 cm -3 . During GSMBE growth, an increased Si background concentration ([Si]∼2x10 17 cm -3 ) was observed after extended use of the SiBr 4 source for these heavy doping concentrations. This increased background was not observed in MOMBE-grown material. Depth profiles of pulse-doped structures indicate the absence of memory effects for structures grown by MOMBE

  3. Migration of CrSi2 nanocrystals through nanopipes in the silicon cap

    International Nuclear Information System (INIS)

    Galkin, N.G.; Dozsa, L.; Chusovitin, E.A.; Pecz, B.; Dobos, L.

    2010-01-01

    CrSi 2 nanocrystals (NC) were grown by reactive deposition epitaxy of Cr at 550 deg. C. After deposition the Cr is localized in about 20-30 nm dots on the Si surface. The NCs were covered by silicon cap grown by molecular beam epitaxy at 700 deg. C. The redistribution of NCs in the silicon cap was investigated by transmission electron microscopy and atomic force microscopy. The NCs are partly localized at the deposition depth, and partly migrate near the surface. A new migration mechanism of the CrSi 2 NCs is observed, they are transferred from the bulk toward the surface through nanopipes formed in the silicon cap. The redistribution of CrSi 2 NCs strongly depends on Cr deposition rate and on the cap growth temperature.

  4. High-efficiency, deep-junction, epitaxial InP solar cells on (100) and (111)B InP substrates

    Science.gov (United States)

    Venkatasubramanian, R.; Timmons, M. L.; Hutchby, J. A.; Walters, Robert J.; Summers, Geoffrey P.

    1994-01-01

    We report on the development and performance of deep-junction (approximately 0.25 micron), graded-emitter-doped, n(sup +)-p InP solar cells grown by metallorganic chemical vapor deposition (MOCVD). A novel, diffusion-transport process for obtaining lightly-doped p-type base regions of the solar cell is described. The I-V data and external quantum-efficiency response of these cells are presented. The best active-area AMO efficiency for these deep-junction cells on (100)-oriented InP substrates is 16.8 percent, with a J(sub SC) of 31.8 mA/sq cm, a V(sub OC) of 0.843 V, and a fill-factor of 0.85. By comparison, the best cell efficiency on the (111)B-oriented InP substrates was 15.0 percent. These efficiency values for deep-junction cells are encouraging and compare favorably with performance of thin-emitter (0.03 micron) epitaxial cells as well as that of deep-emitter diffused cells. The cell performance and breakdown voltage characteristics of a batch of 20 cells on each of the orientations are presented, indicating the superior breakdown voltage properties and other characteristics of InP cells on the (111)B orientation. Spectral response, dark I-V data, and photoluminescence (PL) measurements on the InP cells are presented with an analysis on the variation in J(sub SC) and V(sub OC) of the cells. It is observed, under open-circuit conditions, that lower-V(sub OC) cells exhibit higher band-edge PL intensity for both the (100) and (111)B orientations. This anomalous behavior suggests that radiative recombination in the heavily-doped n(sup +)-InP emitter may be detrimental to achieving higher V(sub OC) in n(sup +)-p InP solar cells.

  5. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  6. Analysis of temperature profiles and the mechanism of silicon substrate plastic deformation under epitaxial growth

    International Nuclear Information System (INIS)

    Mirkurbanov, H.A.; Sazhnev, S.V.; Timofeev, V.N.

    2004-01-01

    Full text: Thermal treatment of silicon wafers holds one of the major place in the manufacturing of semi-conductor devices. Thermal treatment includes wafer annealing, thermal oxidation, epitaxial growing etc. Quality of wafers in the high-temperature processes (900-1200 deg C) is estimated by the density of structural defects, including areas of plastic deformation, which are shown as the slip lines appearance. Such areas amount to 50-60 % of total wafer surface. The plastic deformation is caused by the thermal stresses. Experimental and theoretical researches allowed to determine thermal balance and to construct a temperature profiles throughout the plate surface. Thermal stresses are caused by temperature drop along the radius of a wafer and at the basic peripheral ring. The threshold temperature drop between center f a wafer and its peripherals (ΔT) for slip lines appearance, amounts to 15-17 deg. C. At the operating temperature of 900-1200 deg. C and ΔT>20 deg. C, the stresses reach the silicon yield point. According to the results of the researches of structure and stress profiles in a wafer, the mechanism of slip lines formation has been constructed. A source of dislocations is the rear broken layer of thickness 8-10 microns, formed after polishing. The micro-fissures with a density 10 5 -10 6 cm -2 are the sources of dislocations. Dislocations move on a surface of a wafer into a slip plane (111). On a wafer surface with orientation (111) it is possible to allocate zones where the tangential stress vector is most favorably directed with respect to a slip plane leaving on a surface, i.e. the shift stresses are maximal in the slip plane. The way to eliminate plastic deformation is to lower the temperature drop to a level of <15 deg. C and elimination of the broken layer in wafer

  7. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  8. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  9. GaN-on-Silicon - Present capabilities and future directions

    Science.gov (United States)

    Boles, Timothy

    2018-02-01

    Gallium Nitride, in the form of epitaxial HEMT transistors on various substrate materials, is the newest and most promising semiconductor technology for high performance devices in the RF, microwave, and mmW arenas. This is particularly true for GaN-on-Silicon based devices and MMIC's which enable both state-of-the-art high frequency functionality and the ability to scale production into large wafer diameter CMOS foundries. The design and development of GaN-on-Silicon structures and devices will be presented beginning with the basic material parameters, growth of the required epitaxial construction, and leading to the fundamental operational theory of high frequency, high power HEMTs. In this discussion comparisons will be made with alternative substrate materials with emphasis on contrasting the inherent advantages of a silicon based system. Theory of operation of microwave and mmW high power HEMT devices will be presented with special emphasis on fundamental limitations of device performance including inherent frequency limiting transit time analysis, required impedance transformations, internal and external parasitic reactance, thermal impedance optimization, and challenges improved by full integration into monolithic MMICs. Lastly, future directions for implementing GaN-on-Silicon into mainstream CMOS silicon semiconductor technologies will be discussed.

  10. Terahertz-radiation generation in low-temperature InGaAs epitaxial films on (100) and (411) InP substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B., E-mail: galiev-galib@mail.ru [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Kitaeva, G. Kh. [Moscow State University, Faculty of Physics (Russian Federation); Klimov, E. A.; Klochkov, A. N. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Kolentsova, O. S. [National Research Nuclear University “MEPhI” (Russian Federation); Kornienko, V. V.; Kuznetsov, K. A. [Moscow State University, Faculty of Physics (Russian Federation); Maltsev, P. P.; Pushkarev, S. S. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation)

    2017-03-15

    The spectrum and waveforms of broadband terahertz-radiation pulses generated by low-temperature In{sub 0.53}Ga{sub 0.47}As epitaxial films under femtosecond laser pumping are investigated by terahertz time-resolved spectroscopy. The In{sub 0.53}Ga{sub 0.47}As films are fabricated by molecular-beam epitaxy at a temperature of 200°C under different arsenic pressures on (100)-oriented InP substrates and, for the first time, on (411)A InP substrates. The surface morphology of the samples is studied by atomic-force microscopy and the structural quality is established by high-resolution X-ray diffraction analysis. It is found that the amplitude of terahertz radiation from the LT-InGaAs layers on the (411)A InP substrates exceeds that from similar layers formed on the (100) InP substrates by a factor of 3–5.

  11. A low-energy ion source for p-type doping in MBE

    International Nuclear Information System (INIS)

    Park, R.M.; Stanley, C.R.; Clampitt, R.

    1980-01-01

    A compact low-energy ion cell has been developed for use as a source of acceptor impurities for the growth of p-type semiconductor material in ultra-high vacuum by molecular beam epitaxy. A flux of either zinc or cadmium atoms is emitted under molecular effusion conditions and partially ionised in the orifice of the cell by electron bombardment. The design provides for control of both the ion energy and current at constant cell temperature. (100)InP has been grown by MBE in a flux of 1 keV Zn ions. The surface morphology and crystal structure show no degradation when compared with (100)InP grown without the Zn ions present. (author)

  12. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca, E-mail: f.iacopi@griffith.edu.au [Environmental Futures Research Institute, Griffith University, Nathan 4111 (Australia); Wood, Barry [Centre for Microscopy and Microanalysis, The University of Queensland, St. Lucia 4072 (Australia)

    2016-05-02

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm{sup −2} with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  13. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    International Nuclear Information System (INIS)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca; Wood, Barry

    2016-01-01

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm"−"2 with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  14. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2010-01-01

    The effect of low power density of ∼5 μWcm - 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Tow peak PL red and green is resolved in PS samples etched under blue-green wavelength illumination; 480,533 and 580 nm. It is found that the weight of green PL has maxima for the sample illuminated with 533 nm wavelength whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit green PL band, but shows considerable enhancement in the red PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS. (author)

  15. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2009-01-01

    The effect of low power density of ∼ 5 μW/cm 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  16. Spin-injection into epitaxial graphene on silicon carbide

    Science.gov (United States)

    Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji

    2013-09-01

    We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.

  17. Epitaxial alloys of Al{sub x}Ga{sub 1−x}As:Mg with different types of conductivity

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Lenshin, A.S. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Tarasov, I.S. [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Pue. (Mexico); Leiste, Harald; Rinke, Monika [Karlsruhe Nano Micro Facility, H.-von-Helmholtz-Platz, 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2016-10-01

    This project employed high-resolution X-ray diffraction, Raman spectroscopy and photoluminescence spectroscopy to investigate the structural, optical and band energy properties of the MOCVD epitaxial heterostructures, Al{sub x}Ga{sub 1−x}As:Mg/GaAs(100), with different levels of magnesium doping. It was shown that the choice of technological conditions used in the preparation of the Al{sub x}Ga{sub 1−x}As:Mg alloy allowed different types of conductivity and it was also possible to achieve significantly different concentrations of the charge carriers in the epitaxial film.

  18. Effect of sulphur-doping on the formation of deep centers in n-type InP under irradiation

    International Nuclear Information System (INIS)

    Kol'chenko, T.I.; Lomako, V.M.; Moroz, S.E.

    1988-01-01

    Effect of sulfur-doping on the efficiency of electron trap formation in InP under irradiation was studied using deep level capacity nonstationary spectroscopy method (DLCNS). Structures with Schottky barrier based on epitaxial InP films with ∼10μm thickness (n 0 =8x10 14 -6x10 17 cm -3 ) were irradiated with 60 Co γ-quanta at 40 deg C; the particle flux intensity made up ∼10 12 cm -2 xs -1 . Experimental results presented allow one to conclude that InP doping with sulfur up to n 0 =6x10 17 cm -3 in contrast to the case of silicon doping does not produce a notable effect on the electron trap formation efficiency under irradiation. The observed reduction of configuration-bistable M-center introduction rate in samples with n 0 >10 16 cm -3 is explained by the change of filling of E c -0.12 eV level belonging to unknown X defect

  19. Low-temperature radiation damage in silicon - 1: Annealing studies on N-type material

    International Nuclear Information System (INIS)

    Awadelkarim, O.O.

    1986-07-01

    The presence of electrically active defects in electron-irradiated P-doped n-type silicon was monitored using capacitance and loss factor measurements. Irradiations were performed at temperatures c - 0.14) eV and (E c - 0.24) eV in the gap are ascribed to the carbon interstitial and the divacancy, respectively. (author)

  20. Hybrid Integration of Solid-State Quantum Emitters on a Silicon Photonic Chip.

    Science.gov (United States)

    Kim, Je-Hyung; Aghaeimeibodi, Shahriar; Richardson, Christopher J K; Leavitt, Richard P; Englund, Dirk; Waks, Edo

    2017-12-13

    Scalable quantum photonic systems require efficient single photon sources coupled to integrated photonic devices. Solid-state quantum emitters can generate single photons with high efficiency, while silicon photonic circuits can manipulate them in an integrated device structure. Combining these two material platforms could, therefore, significantly increase the complexity of integrated quantum photonic devices. Here, we demonstrate hybrid integration of solid-state quantum emitters to a silicon photonic device. We develop a pick-and-place technique that can position epitaxially grown InAs/InP quantum dots emitting at telecom wavelengths on a silicon photonic chip deterministically with nanoscale precision. We employ an adiabatic tapering approach to transfer the emission from the quantum dots to the waveguide with high efficiency. We also incorporate an on-chip silicon-photonic beamsplitter to perform a Hanbury-Brown and Twiss measurement. Our approach could enable integration of precharacterized III-V quantum photonic devices into large-scale photonic structures to enable complex devices composed of many emitters and photons.

  1. Chromium Trioxide Hole-Selective Heterocontacts for Silicon Solar Cells.

    Science.gov (United States)

    Lin, Wenjie; Wu, Weiliang; Liu, Zongtao; Qiu, Kaifu; Cai, Lun; Yao, Zhirong; Ai, Bin; Liang, Zongcun; Shen, Hui

    2018-04-25

    A high recombination rate and high thermal budget for aluminum (Al) back surface field are found in the industrial p-type silicon solar cells. Direct metallization on lightly doped p-type silicon, however, exhibits a large Schottky barrier for the holes on the silicon surface because of Fermi-level pinning effect. As a result, low-temperature-deposited, dopant-free chromium trioxide (CrO x , x solar cell as a hole-selective contact at the rear surface. By using 4 nm CrO x between the p-type silicon and Ag, we achieve a reduction of the contact resistivity for the contact of Ag directly on p-type silicon. For further improvement, we utilize a CrO x (2 nm)/Ag (30 nm)/CrO x (2 nm) multilayer film on the contact between Ag and p-type crystalline silicon (c-Si) to achieve a lower contact resistance (40 mΩ·cm 2 ). The low-resistivity Ohmic contact is attributed to the high work function of the uniform CrO x film and the depinning of the Fermi level of the SiO x layer at the silicon interface. Implementing the advanced hole-selective contacts with CrO x /Ag/CrO x on the p-type silicon solar cell results in a power conversion efficiency of 20.3%, which is 0.1% higher than that of the cell utilizing 4 nm CrO x . Compared with the commercialized p-type solar cell, the novel CrO x -based hole-selective transport material opens up a new possibility for c-Si solar cells using high-efficiency, low-temperature, and dopant-free deposition techniques.

  2. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  3. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  4. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  5. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  6. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  7. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  8. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  9. Field-induced surface passivation of p-type silicon by using AlON films

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, S.N.; Parm, I.O.; Dhungel, S.K.; Jang, K.S.; Jeong, S.W.; Yoo, J.; Hwang, S.H.; Yi, J. [School of Information and Communication Engineering, Sungkyunkwan University, 300 Chunchun dong, Jangan-gu, Suwon-440746 (Korea)

    2008-02-15

    In the present work, we report on the evidence for a high negative charge density in aluminum oxynitride (AlON) coating on silicon. A comparative study was carried out on the composition and electrical properties of AlON and aluminum nitride (AlN). AlON films were deposited on p-type Si (1 0 0) substrate by RF magnetron sputtering using a mixture of argon and oxygen gases at substrate temperature of 300 C. The electrical properties of the AlON, AlN films were studied through capacitance-voltage (C-V) characteristics of metal-insulator-semiconductor (MIS) using the films as insulating layers. The flatband voltage shift V{sub FB} observed for AlON is around 4.5 V, which is high as compared to the AlN thin film. Heat treatment caused the V{sub FB} reduction to 3 V, but still the negative charge density was observed to be very high. In the AlN film, no fixed negative charge was observed at all. The XRD spectrum of AlON shows the major peaks of AlON (2 2 0) and AlN (0 0 2), located at 2{theta} value of 32.96 and 37.8 , respectively. The atomic percentage of Al, N in AlN film was found to be 42.5% and 57.5%, respectively. Atomic percentages of Al, N and O in EDS of AlON film are 20.21%, 27.31% and 52.48%, respectively. (author)

  10. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  11. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  12. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  13. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  14. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  15. Direct Current Sputter Epitaxy of Heavily Doped p+ Layer for Monocrystalline Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Wenchang Yeh

    2017-01-01

    Full Text Available Sputter epitaxy of p+ layer for fabrication of Si solar cells (SCs was demonstrated. Hall carrier concentration of p+ layer was 2.6 × 1020 cm−3 owing to cosputtering of B with Si at low temperature, which had enabled heavy and shallow p+ dope layer. p+nn+ SCs were fabricated and influence of p+ and n+ layers was investigated. Internal quantum efficiency (IQE of p+nn+ SCs was 95% at visible light and was larger than 60% at ultraviolet (UV light when the p+ layer was thinner than 30 nm. At near infrared (NIR, extra increment on IQE was achieved by rear n+ back surface field (BSF layer with a thickness thinner than 100 nm.

  16. Fabrication of High-Frequency pMUT Arrays on Silicon Substrates

    DEFF Research Database (Denmark)

    Pedersen, Thomas; Zawada, Tomasz; Hansen, Karsten

    2010-01-01

    A novel technique based on silicon micromachining for fabrication of linear arrays of high-frequency piezoelectric micromachined ultrasound transducers (pMUT) is presented. Piezoelectric elements are formed by deposition of lead zirconia titanate into etched features of a silicon substrate...

  17. Effect of compressive stress on stability of N-doped p-type ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Chen Xingyou [Key Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dongnanhu Road, Changchun 130033 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Zhang Zhenzhong; Jiang Mingming; Wang Shuangpeng; Li Binghui; Shan Chongxin; Liu Lei; Zhao Dongxu; Shen Dezhen [Key Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dongnanhu Road, Changchun 130033 (China); Yao Bin [State Key Laboratory of Superhard Materials and College of Physics, Jilin University, Changchun 130023 (China)

    2011-08-29

    Nitrogen-doped p-type zinc oxide (p-ZnO:N) thin films were fabricated on a-/c-plane sapphire (a-/c-Al{sub 2}O{sub 3}) by plasma-assisted molecular beam epitaxy. Hall-effect measurements show that the p-type ZnO:N on c-Al{sub 2}O{sub 3} degenerated into n-type after a preservation time; however, the one grown on a-Al{sub 2}O{sub 3} showed good stability. The conversion of conductivity in the one grown on c-Al{sub 2}O{sub 3} ascribed to the faster disappearance of N{sub O} and the growing N{sub 2(O)}, which is demonstrated by x-ray photoelectron spectroscopy (XPS). Compressive stress, caused by lattice misfit, was revealed by Raman spectra and optical absorption spectra, and it was regarded as the root of the instability in ZnO:N.

  18. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  19. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Hamadeh, H., E-mail: scientific@aec.org.sy [Department of Physics, Atomic Energy Commission of Syria (AECS), P.O. Box 6091 Damascus (Syrian Arab Republic)

    2009-08-31

    The effect of low power density of {approx} 5 {mu}W/cm{sup 2} monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  20. Drift mechanism of mass transfer on heterogeneous reaction in crystalline silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, S.A. [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation); Osipov, A.V., E-mail: Andrey.V.Osipov@gmail.com [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation)

    2017-05-01

    This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. In the proposed hydraulic model, the dependences of the film thickness both on the gas pressure and time have been calculated. It was shown that not only the qualitative but also quantitative correspondence between theoretical and experimental results takes place. As one would expect, due to the Einstein relation, at short growth times the drift model coincides with the diffusion one. Consequences of this drift mechanism of epitaxial film growing are discussed. - Graphical abstract: This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. - Highlights: • It is established that the greater pressure, the smaller is the reaction rate. • The reaction product prevents penetration of the reagent into a reaction zone. • For description the hydraulic model of crystal lattice channels is developed. • Theoretical results for polytropic

  1. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  2. Efficient Sub-Bandgap Light Absorption and Signal Amplification in Silicon Photodetectors

    Science.gov (United States)

    Liu, Yu-Hsin

    This thesis focuses on two areas in silicon photodetectors, the first being enhancing the sub-bandgap light absorption of IR wavelenghts in silicon, and the second being intrinsic signal amplification in silicon photodetectors. Both of these are achieved using heavily doped p-n junction devices which create localized states that relax the k-selection rule of indirect bandgap material. The probability of transitions between impurity band and the conduction/valence band would be much more efficient than the one between band-to-band transition. The waveguide-coupled epitaxial p-n photodetector was demonstrated for 1310 nm wavelength detection. Incorporated with the Franz-Keldysh effect and the quasi-confined epitaxial layer design, an absorption coefficient around 10 cm-1 has been measured and internal quantum efficiency nearly 100% at -2.5V. The absorption coefficient is calculated from the wave function of the electron and hole in p-n diode. The heavily doped impurity wave function can be formulated as a delta function, and the quasi-confined conduction band energy states, and the wave function on each level can be obtained from the Silvaco software. The calculated theoretical absorption coefficient increases with the increasing applied bias and the doping concentration, which matches the experimental results. To solve the issues of large excess noise and high operation bias for avalanche photodiodes based on impact ionization, I presented a detector using the Cycling Excitation Process (CEP) for signal amplification. This can be realized in a heavily doped and highly compensated Si p-n junction, showing ultra high gain about 3000 at very low bias (<4 V), and possessing an intrinsic, phonon-mediated regulation process to keep the device stable without any quenching device required in today's Geiger-mode avalanche detectors. The CEP can be formulated with the rate equations in conduction bands and impurity states. The gain expression, which is a function of the

  3. Ultrathin, epitaxial cerium dioxide on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Flege, Jan Ingo, E-mail: flege@ifp.uni-bremen.de; Kaemena, Björn; Höcker, Jan; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); Bertram, Florian [Photon Science, Deutsches Elektronensynchrotron (DESY), Notkestraße 85, 22607 Hamburg (Germany); Wollschläger, Joachim [Department of Physics, University of Osnabrück, Barbarastraße 7, 49069 Osnabrück (Germany)

    2014-03-31

    It is shown that ultrathin, highly ordered, continuous films of cerium dioxide may be prepared on silicon following substrate prepassivation using an atomic layer of chlorine. The as-deposited, few-nanometer-thin Ce{sub 2}O{sub 3} film may very effectively be converted at room temperature to almost fully oxidized CeO{sub 2} by simple exposure to air, as demonstrated by hard X-ray photoemission spectroscopy and X-ray diffraction. This post-oxidation process essentially results in a negligible loss in film crystallinity and interface abruptness.

  4. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlö gl, Udo; Chroneos, Alexander; Grimes, R. W.; Schuster, Cosima

    2010-01-01

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  5. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlögl, Udo

    2010-06-17

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  6. Enhancing the far-UV sensitivity of silicon CMOS imaging arrays

    Science.gov (United States)

    Retherford, K. D.; Bai, Yibin; Ryu, Kevin K.; Gregory, J. A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winter, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2014-07-01

    We report our progress toward optimizing backside-illuminated silicon PIN CMOS devices developed by Teledyne Imaging Sensors (TIS) for far-UV planetary science applications. This project was motivated by initial measurements at Southwest Research Institute (SwRI) of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures described in Bai et al., SPIE, 2008, which revealed a promising QE in the 100-200 nm range as reported in Davis et al., SPIE, 2012. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include: 1) Representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory (LL); 2) Preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; 3) Detector fabrication was completed through the pre-MBE step; and 4) Initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments. Early results suggest that potential challenges in optimizing the UV-sensitivity of silicon PIN type CMOS devices, compared with similar UV enhancement methods established for CCDs, have been mitigated through our newly developed methods. We will discuss the potential advantages of our approach and briefly describe future development steps.

  7. Coaxial-structured ZnO/silicon nanowires extended-gate field-effect transistor as pH sensor

    International Nuclear Information System (INIS)

    Li, Hung-Hsien; Yang, Chi-En; Kei, Chi-Chung; Su, Chung-Yi; Dai, Wei-Syuan; Tseng, Jung-Kuei; Yang, Po-Yu; Chou, Jung-Chuan; Cheng, Huang-Chung

    2013-01-01

    An extended-gate field-effect transistor (EGFET) of coaxial-structured ZnO/silicon nanowires as pH sensor was demonstrated in this paper. The oriented 1-μm-long silicon nanowires with the diameter of about 50 nm were vertically synthesized by the electroless metal deposition method at room temperature and were sequentially capped with the ZnO films using atomic layer deposition at 50 °C. The transfer characteristics (I DS –V REF ) of such ZnO/silicon nanowire EGFET sensor exhibited the sensitivity and linearity of 46.25 mV/pH and 0.9902, respectively for the different pH solutions (pH 1–pH 13). In contrast to the ZnO thin-film ones, the ZnO/silicon nanowire EGFET sensor achieved much better sensitivity and superior linearity. It was attributed to a high surface-to-volume ratio of the nanowire structures, reflecting a larger effective sensing area. The output voltage and time characteristics were also measured to indicate good reliability and durability for the ZnO/silicon nanowires sensor. Furthermore, the hysteresis was 9.74 mV after the solution was changed as pH 7 → pH 3 → pH 7 → pH 11 → pH 7. - Highlights: ► Coaxial-structured ZnO/silicon nanowire EGFET was demonstrated as pH sensor. ► EMD and ALD methods were proposed to fabricate ZnO/silicon nanowires. ► ZnO/silicon nanowire EGFET sensor achieved better sensitivity and linearity. ► ZnO/silicon nanowire EGFET sensor had good reliability and durability

  8. Electrochemical characteristics of bundle-type silicon nanorods as an anode material for lithium ion batteries

    International Nuclear Information System (INIS)

    Nguyen, Si Hieu; Lim, Jong Choo; Lee, Joong Kee

    2012-01-01

    Highlights: ► A metal-assisted chemical etching technique was performed on Si thin films. ► The etching process resulted in the formation of bundle-type Si nanorods. ► The morphology of Si electrodes closely relate to electrochemical characteristics. - Abstract: In order to prepare bundle-type silicon nanorods, a silver-assisted chemical etching technique was used to modify a 1.6 μm silicon thin film, which was deposited on Cu foil by Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition. The bundle-type silicon nanorods on Cu foil were employed as anodes for a lithium secondary battery, without further treatment. The electrochemical characteristics of the pristine silicon thin film anodes and the bundle-type silicon nanorod anodes are different from one another. The electrochemical performance of the bundle-type silicon nanorod anodes exceeded that of the pristine Si thin film anodes. The specific capacity of the bundle-type silicon nanorod anodes is much higher than 3000 mAh g −1 at the first charge (Li insertion) cycle. The coulombic efficiency of bundle-type silicon anodes was stable at more than 97%, and the charge capacity remained at 1420 mAh g −1 , even after 100 cycles of charging and discharging. The results from the differential voltage analysis showed a side reaction at around 0.44–0.5 V, and the specific potential of this side reaction decreased after each cycle. The apparent diffusion coefficients of the two anode types were in the range of 10 −13 –10 −16 cm 2 s −1 in the first cycle. In subsequent charge cycles, these values for the silicon thin film anodes and the silicon nanorod bundle anode were approximately 10 −12 –10 −14 and 10 −13 –10 −15 cm 2 s −1 , respectively.

  9. Laser characterisation of a 3D single-type column p-type prototype module read out with ATLAS SCT electronics

    International Nuclear Information System (INIS)

    Ehrich, T.; Kuehn, S.; Boscardin, M.; Dalla Betta, G.-F.; Eckert, S.; Jakobs, K.; Maassen, M.; Parzefall, U.; Piemonte, C.; Pozza, A.; Ronchin, S.; Zorzi, N.

    2007-01-01

    In this paper measurements of a 3D single-type column (3D-stc) microstrip silicon device are shown. The 3D-stc sensor has n-type columns in p-type substrate. It has been connected to an ATLAS SCT ABCD3T chip and is readout with ATLAS SCT electronics at 40 MHz. Spatial measurements were done with a laser setup to investigate the expected low field region in 3D devices. An influence of the p-stops on the collected charge has been observed

  10. Laser characterisation of a 3D single-type column p-type prototype module read out with ATLAS SCT electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ehrich, T. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany); Kuehn, S. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany)], E-mail: susanne.kuehn@physik.uni-freiburg.de; Boscardin, M.; Dalla Betta, G.-F. [ITC-irst Trento, Microsystems Division, via Sommarive, 18 38050 Povo di Trento (Italy); Eckert, S.; Jakobs, K.; Maassen, M.; Parzefall, U. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany); Piemonte, C.; Pozza, A.; Ronchin, S.; Zorzi, N. [ITC-irst Trento, Microsystems Division, via Sommarive, 18 38050 Povo di Trento (Italy)

    2007-12-11

    In this paper measurements of a 3D single-type column (3D-stc) microstrip silicon device are shown. The 3D-stc sensor has n-type columns in p-type substrate. It has been connected to an ATLAS SCT ABCD3T chip and is readout with ATLAS SCT electronics at 40 MHz. Spatial measurements were done with a laser setup to investigate the expected low field region in 3D devices. An influence of the p-stops on the collected charge has been observed.

  11. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  12. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  13. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  14. (Ga,Fe)Sb: A p-type ferromagnetic semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Tu, Nguyen Thanh; Anh, Le Duc; Tanaka, Masaaki [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Hai, Pham Nam [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Department of Physical Electronics, Tokyo Institute of Technology, 2-12-1 Ookayama, Meguro, Tokyo 152-0033 (Japan)

    2014-09-29

    A p-type ferromagnetic semiconductor (Ga{sub 1−x},Fe{sub x})Sb (x = 3.9%–13.7%) has been grown by low-temperature molecular beam epitaxy (MBE) on GaAs(001) substrates. Reflection high energy electron diffraction patterns during the MBE growth and X-ray diffraction spectra indicate that (Ga,Fe)Sb layers have the zinc-blende crystal structure without any other crystallographic phase of precipitates. Magnetic circular dichroism (MCD) spectroscopy characterizations indicate that (Ga,Fe)Sb has the zinc-blende band structure with spin-splitting induced by s,p-d exchange interactions. The magnetic field dependence of the MCD intensity and anomalous Hall resistance of (Ga,Fe)Sb show clear hysteresis, demonstrating the presence of ferromagnetic order. The Curie temperature (T{sub C}) increases with increasing x and reaches 140 K at x = 13.7%. The crystal structure analyses, magneto-transport, and magneto-optical properties indicate that (Ga,Fe)Sb is an intrinsic ferromagnetic semiconductor.

  15. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  16. Annealing of silicon epitaxial n+-p-structures irradiated with fast electrons

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Turin, P.M.; Gurinovich, V.A.; Zhdanovich, N.E.

    2010-01-01

    Static (forward voltage drop and barrier capacitance) and dynamic (minority charge carriers lifetime in p-base) parameters changes of n + -p-structures irradiated with electrons (6 MeV) have been investigated. It is established that the forward voltage drop and the barrier capacitance of n + -p-junction recover during annealing at about 623 K, but the minority charge carriers lifetime recovery occurs at annealing temperatures above 773 K. The recovery of a forward voltage drop and barrier capacitance is related with annealing of radiation complexes of divacancy-oxygen (V 2 O) and boron-carbon (B i C s ). The recovery of minority charge carriers lifetime in structures is related mainly with annealing of radiation complex of carbonoxygen (C i O i ). (authors)

  17. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  18. Epitaxial Growth of Germanium on Silicon for Light Emitters

    Directory of Open Access Journals (Sweden)

    Chengzhao Chen

    2012-01-01

    Full Text Available This paper describes the role of Ge as an enabler for light emitters on a Si platform. In spite of the large lattice mismatch of ~4.2% between Ge and Si, high-quality Ge layers can be epitaxially grown on Si by ultrahigh-vacuum chemical vapor deposition. Applications of the Ge layers to near-infrared light emitters with various structures are reviewed, including the tensile-strained Ge epilayer, the Ge epilayer with a delta-doping SiGe layer, and the Ge/SiGe multiple quantum wells on Si. The fundamentals of photoluminescence physics in the different Ge structures are discussed briefly.

  19. Nanomechanical properties of thick porous silicon layers grown on p- and p+-type bulk crystalline Si

    International Nuclear Information System (INIS)

    Charitidis, C.A.; Skarmoutsou, A.; Nassiopoulou, A.G.; Dragoneas, A.

    2011-01-01

    Highlights: → The nanomechanical properties of bulk crystalline Si. → The nanomechanical properties of porous Si. → The elastic-plastic deformation of porous Si compared to bulk crystalline quantified by nanoindentation data analysis. - Abstract: The nanomechanical properties and the nanoscale deformation of thick porous Si (PSi) layers of two different morphologies, grown electrochemically on p-type and p+-type Si wafers were investigated by the depth-sensing nanoindentation technique over a small range of loads using a Berkovich indenter and were compared with those of bulk crystalline Si. The microstructure of the thick PSi layers was characterized by field emission scanning electron microscopy. PSi layers on p+-type Si show an anisotropic mesoporous structure with straight vertical pores of diameter in the range of 30-50 nm, while those on p-type Si show a sponge like mesoporous structure. The effect of the microstructure on the mechanical properties of the layers is discussed. It is shown that the hardness and Young's modulus of the PSi layers exhibit a strong dependence on their microstructure. In particular, PSi layers with the anisotropic straight vertical pores show higher hardness and elastic modulus values than sponge-like layers. However, sponge-like PSi layers reveal less plastic deformation and higher wear resistance compared with layers with straight vertical pores.

  20. Wurtzite InP/InAs/InP core-shell nanowires emitting at telecommunication wavelengths on Si substrate

    International Nuclear Information System (INIS)

    Hadj Alouane, M H; Anufriev, R; Chauvin, N; Bru-Chevallier, C; Khmissi, H; Ilahi, B; Maaref, H; Naji, K; Gendry, M; Patriarche, G

    2011-01-01

    Optical properties of wurtzite InP/InAs/InP core-shell nanowires grown on silicon substrates by solid source molecular beam epitaxy are studied by means of photoluminescence and microphotoluminescence. The growth conditions were optimized to obtain purely wurtzite radial quantum wells emitting in the telecom bands with a radiative lifetime in the 5-7 ns range at 14 K. Optical studies on single nanowires reveal that the polarization is mainly parallel to the growth direction. A 20-fold reduction of the photoluminescence intensity is observed between 14 and 300 K confirming the very good quality of the nanowires.

  1. Molecular beam epitaxy of InxGa1-xAs on InP (100) substrates

    International Nuclear Information System (INIS)

    Dvoryankina, G.G.; Dvoryankin, V.F.; Petrov, A.G.; Kudryashov, A.A.; Khusid, L.B.

    1991-01-01

    Heteroepitaxy layers of In x Ga 1-x As in the wide field of compositions (x=0.2-0.8) of 0.2-2.0 μm thick on (100) InP substrates were grown using the methods of epitaxy from molecular beams. Structure, surface morphology and electric properties of layers in relation to their thick and composition were investigated. It was shown that the quality of In x Ga 1-x As layers on (100) InP was more sensitive to tensile strain than compressive strain. Different mechanisms of scattering of free electrons in layers of In x Ga 1-x As(x∼=0.53) on (101) InP were considered

  2. Epitaxial Growth of an Organic p-n Heterojunction: C60 on Single-Crystal Pentacene.

    Science.gov (United States)

    Nakayama, Yasuo; Mizuno, Yuta; Hosokai, Takuya; Koganezawa, Tomoyuki; Tsuruta, Ryohei; Hinderhofer, Alexander; Gerlach, Alexander; Broch, Katharina; Belova, Valentina; Frank, Heiko; Yamamoto, Masayuki; Niederhausen, Jens; Glowatzki, Hendrik; Rabe, Jürgen P; Koch, Norbert; Ishii, Hisao; Schreiber, Frank; Ueno, Nobuo

    2016-06-01

    Designing molecular p-n heterojunction structures, i.e., electron donor-acceptor contacts, is one of the central challenges for further development of organic electronic devices. In the present study, a well-defined p-n heterojunction of two representative molecular semiconductors, pentacene and C60, formed on the single-crystal surface of pentacene is precisely investigated in terms of its growth behavior and crystallographic structure. C60 assembles into a (111)-oriented face-centered-cubic crystal structure with a specific epitaxial orientation on the (001) surface of the pentacene single crystal. The present experimental findings provide molecular scale insights into the formation mechanisms of the organic p-n heterojunction through an accurate structural analysis of the single-crystalline molecular contact.

  3. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  4. Study of araldite in edge protection of n-type and p-type surface barrier detectors

    International Nuclear Information System (INIS)

    Alencar, M.A.V.; Jesus, E.F.O.; Lopes, R.T.

    1995-01-01

    The aim of this work is the realization of a comparative study between the surface barrier detectors performance n and type using the epoxy resin Araldite as edge protection material with the purpose of determining which type of detector (n or p) the use of Araldite is more indicated. The surface barrier detectors were constructed using n and p type silicon wafer with resistivity of 3350Ω.cm and 5850 Ω.cm respectively. In the n type detectors, the metals used as ohmic and rectifier contacts were the Al and Au respectively, while in the p type detectors, the ohmic and rectifier contacts were Au and Al. All metallic contacts were done by evaporation in high vacuum (∼10 -4 Torr) and with deposit of 40 μm/cm 2 . The obtained results for the detectors (reverse current of -350nA and resolution from 21 to 26 keV for p type detectors and reserve current of 1μA and resolution from 44 to 49 keV for n type detectors) tend to demonstrate that use of epoxy resin Araldite in the edge protection is more indicated to p type surface barrier detectors. (author). 3 refs., 4 figs., 1 tab

  5. Surface States and Effective Surface Area on Photoluminescent P-Type Porous Silicon

    Science.gov (United States)

    Weisz, S. Z.; Porras, A. Ramirez; Resto, O.; Goldstein, Y.; Many, A.; Savir, E.

    1997-01-01

    The present study is motivated by the possibility of utilizing porous silicon for spectral sensors. Pulse measurements on the porous-Si/electrolyte system are employed to determine the surface effective area and the surface-state density at various stages of the anodization process used to produce the porous material. Such measurements were combined with studies of the photoluminescence spectra. These spectra were found to shift progressively to the blue as a function of anodization time. The luminescence intensity increases initially with anodization time, reaches a maximum and then decreases with further anodization. The surface state density, on the other hand, increases with anodization time from an initial value of about 2 x 10(exp 12)/sq cm surface to about 1013 sq cm for the anodized surface. This value is attained already after -2 min anodization and upon further anodization remains fairly constant. In parallel, the effective surface area increases by a factor of 10-30. This behavior is markedly different from the one observed previously for n-type porous Si.

  6. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  7. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  8. Characterization of thermal, optical and carrier transport properties of porous silicon using the photoacoustic technique

    International Nuclear Information System (INIS)

    Sheng, Chan Kok; Mahmood Mat Yunus, W.; Yunus, Wan Md. Zin Wan; Abidin Talib, Zainal; Kassim, Anuar

    2008-01-01

    In this work, the porous silicon layer was prepared by the electrochemical anodization etching process on n-type and p-type silicon wafers. The formation of the porous layer has been identified by photoluminescence and SEM measurements. The optical absorption, energy gap, carrier transport and thermal properties of n-type and p-type porous silicon layers were investigated by analyzing the experimental data from photoacoustic measurements. The values of thermal diffusivity, energy gap and carrier transport properties have been found to be porosity-dependent. The energy band gap of n-type and p-type porous silicon layers was higher than the energy band gap obtained for silicon substrate (1.11 eV). In the range of porosity (50-76%) of the studies, our results found that the optical band-gap energy of p-type porous silicon (1.80-2.00 eV) was higher than that of the n-type porous silicon layer (1.70-1.86 eV). The thermal diffusivity value of the n-type porous layer was found to be higher than that of the p-type and both were observed to increase linearly with increasing layer porosity

  9. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei

    2009-03-11

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive atomic force microscope (AFM) in contact mode. The output voltage pulse is generated when the tip contacts the stretched side (positive piezoelectric potential side) of the NW. In contrast, the n-type ZnO NW produces negative output voltage when scanned by the AFM tip, and the output voltage pulse is generated when the tip contacts the compressed side (negative potential side) of the NW. In reference to theoretical simulation, these experimentally observed phenomena have been systematically explained based on the mechanism proposed for a nanogenerator. © 2009 American Chemical Society.

  10. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  11. Observing hot carrier distribution in an n-type epitaxial graphene on a SiC substrate

    International Nuclear Information System (INIS)

    Someya, T.; Ishida, Y.; Yoshida, R.; Iimori, T.; Yukawa, R.; Akikubo, K.; Yamamoto, Sh.; Yamamoto, S.; Kanai, T.; Itatani, J.; Komori, F.; Shin, S.; Matsuda, I.; Fukidome, H.; Funakubo, K.; Suemitsu, M.; Yamamoto, T.

    2014-01-01

    Hot carrier dynamics in the Dirac band of n-type epitaxial graphene on a SiC substrate were traced in real time using femtosecond-time-resolved photoemission spectroscopy. The spectral evolution directly reflects the energetically linear density of states superimposed with a Fermi–Dirac distribution. The relaxation time is governed by the internal energy dissipation of electron–electron scattering, and the observed electronic temperature indicates cascade carrier multiplication

  12. a-Si:H/c-Si heterojunction front- and back contacts for silicon solar cells with p-type base

    Energy Technology Data Exchange (ETDEWEB)

    Rostan, Philipp Johannes

    2010-07-01

    This thesis reports on low temperature amorphous silicon back and front contacts for high-efficiency crystalline silicon solar cells with a p-type base. The back contact uses a sequence of intrinsic amorphous (i-a-Si:H) and boron doped microcrystalline (p-{mu}c-Si:H) silicon layers fabricated by Plasma Enhanced Chemical Vapor Deposition (PECVD) and a magnetron sputtered ZnO:Al layer. The back contact is finished by evaporating Al onto the ZnO:Al and altogether prepared at a maximum temperature of 220 C. Analysis of the electronic transport of mobile charge carriers at the back contact shows that the two high-efficiency requirements low back contact series resistance and high quality c-Si surface passivation are in strong contradiction to each other, thus difficult to achieve at the same time. The preparation of resistance- and effective lifetime samples allows one to investigate both requirements independently. Analysis of the majority charge carrier transport on complete Al/ZnO:Al/a-Si:H/c-Si back contact structures derives the resistive properties. Measurements of the effective minority carrier lifetime on a-Si:H coated wafers determines the back contact surface passivation quality. Both high-efficiency solar cell requirements together are analyzed in complete photovoltaic devices where the back contact series resistance mainly affects the fill factor and the back contact passivation quality mainly affects the open circuit voltage. The best cell equipped with a diffused emitter with random texture and a full-area a-Si:H/c-Si back contact has an independently confirmed efficiency {eta} = 21.0 % with an open circuit voltage V{sub oc} = 681 mV and a fill factor FF = 78.7 % on an area of 1 cm{sup 2}. An alternative concept that uses a simplified a-Si:H layer sequence combined with Al-point contacts yields a confirmed efficiency {eta} = 19.3 % with an open circuit voltage V{sub oc} = 655 mV and a fill factor FF = 79.5 % on an area of 2 cm{sup 2}. Analysis of the

  13. Silicon in Imperata cylindrica (L.) P. Beauv: content, distribution, and ultrastructure.

    Science.gov (United States)

    Rufo, Lourdes; Franco, Alejandro; de la Fuente, Vicenta

    2014-07-01

    Silicon concentration, distribution, and ultrastructure of silicon deposits in the Poaceae Imperata cylindrica (L.) P. Beauv. have been studied. This grass, known for its medicinal uses and also for Fe hyperaccumulation and biomineralization capacities, showed a concentration of silicon of 13,705 ± 9,607 mg/kg dry weight. Silicon was found as an important constituent of cell walls of the epidermis of the whole plant. Silica deposits were found in silica bodies, endodermis, and different cells with silicon-collapsed lumen as bulliforms, cortical, and sclerenchyma cells. Transmission electron microscope observations of these deposits revealed an amorphous material of an ultrastructure similar to that previously reported in silica bodies of other Poaceae.

  14. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  15. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n+p silicon solar cells

    Science.gov (United States)

    Stupica, John; Goradia, Chandra; Swartz, Clifford K.; Weinberg, Irving

    1987-01-01

    Two lithium-counterdoped n+p silicon solar cells with different lithium concentrations were irradiated by 10-MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the highest radiation resistance. Deep level transient spectroscopy which showed two deep level defects that were lithium related. Relating the defect energy levels obtained from this study with those from earlier work using 1-MeV electron irradiation shows no correlation of the defect energy levels. There is one marked similarity: the absence of the boron-interstitial-oxygen-interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell.

  16. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  17. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  18. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs

  19. p-Type modulation doped InGaN/GaN dot-in-a-wire white-light-emitting diodes monolithically grown on Si(111).

    Science.gov (United States)

    Nguyen, H P T; Zhang, S; Cui, K; Han, X; Fathololoumi, S; Couillard, M; Botton, G A; Mi, Z

    2011-05-11

    Full-color, catalyst-free InGaN/GaN dot-in-a-wire light-emitting diodes (LEDs) were monolithically grown on Si(111) by molecular beam epitaxy, with the emission characteristics controlled by the dot properties in a single epitaxial growth step. With the use of p-type modulation doping in the dot-in-a-wire heterostructures, we have demonstrated the most efficient phosphor-free white LEDs ever reported, which exhibit an internal quantum efficiency of ∼56.8%, nearly unaltered CIE chromaticity coordinates with increasing injection current, and virtually zero efficiency droop at current densities up to ∼640 A/cm(2). The remarkable performance is attributed to the superior three-dimensional carrier confinement provided by the electronically coupled dot-in-a-wire heterostructures, the nearly defect- and strain-free GaN nanowires, and the significantly enhanced hole transport due to the p-type modulation doping.

  20. The electronic structure of radial p-n junction silicon nanowires

    Science.gov (United States)

    Chiou, Shan-Haw; Grossman, Jeffrey

    2007-03-01

    Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.

  1. Growth of semiconductor alloy InGaPBi on InP by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, K; Wang, P; Pan, W W; Wu, X Y; Yue, L; Gong, Q; Wang, S M

    2015-01-01

    We report the first successful growth of InGaPBi single crystals on InP substrate with Bi concentration far beyond the doping level by gas source molecular beam epitaxy. The InGaPBi thin films reveal excellent surface and structural qualities, making it a promising new III–V compound family member for heterostructures. The strain can be tuned between tensile and compressive by adjusting Ga and Bi compositions. The maximum achieved Bi concentration is 2.2 ± 0.4% confirmed by Rutherford backscattering spectroscopy. Room temperature photoluminescence shows strong and broad light emission at energy levels much smaller than the InP bandgap. (paper)

  2. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  3. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  4. Proposal of a neutron transmutation doping facility for n-type spherical silicon solar cell at high-temperature engineering test reactor.

    Science.gov (United States)

    Ho, Hai Quan; Honda, Yuki; Motoyama, Mizuki; Hamamoto, Shimpei; Ishii, Toshiaki; Ishitsuka, Etsuo

    2018-05-01

    The p-type spherical silicon solar cell is a candidate for future solar energy with low fabrication cost, however, its conversion efficiency is only about 10%. The conversion efficiency of a silicon solar cell can be increased by using n-type silicon semiconductor as a substrate. This study proposed a new method of neutron transmutation doping silicon (NTD-Si) for producing the n-type spherical solar cell, in which the Si-particles are irradiated directly instead of the cylinder Si-ingot as in the conventional NTD-Si. By using a 'screw', an identical resistivity could be achieved for the Si-particles without a complicated procedure as in the NTD with Si-ingot. Also, the reactivity and neutron flux swing could be kept to a minimum because of the continuous irradiation of the Si-particles. A high temperature engineering test reactor (HTTR), which is located in Japan, was used as a reference reactor in this study. Neutronic calculations showed that the HTTR has a capability to produce about 40t/EFPY of 10Ωcm resistivity Si-particles for fabrication of the n-type spherical solar cell. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. Design and development of a silicon-segmented detector for 2D dose measurements in radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Menichelli, David [Department of Clinical Phisiopathology, University of Florence, v.le Morgagni, 85-50134 Florence (Italy); INFN, Florence division, Via G. Sansone 1, 50019 Sesto Fiorentino (Italy)], E-mail: david.menichelli@cern.ch; Bruzzi, Mara [Department of Energetics, University of Florence, via S. Marta, 3-50139 Florence (Italy); INFN, Florence division, Via G. Sansone 1, 50019 Sesto Fiorentino (Italy); Bucciolini, Marta; Talamonti, Cinzia; Casati, Marta; Marrazzo, Livia [Department of Clinical Phisiopathology, University of Florence, v.le Morgagni, 85-50134 Florence (Italy); INFN, Florence division, Via G. Sansone 1, 50019 Sesto Fiorentino (Italy); Tesi, Mauro [Department of Energetics, University of Florence, via S. Marta, 3-50139 Florence (Italy); Piemonte, Claudio; Pozza, Alberto; Zorzi, Nicola [ITC-irst, via Sommarive, 18-38050 Trento (Italy); Brianzi, Mirko [INFN, Florence division, Via G. Sansone 1, 50019 Sesto Fiorentino (Italy); De Sio, Antonio [Department of Astronomy and Space Science, University of Florence, L.go E. Fermi, 2-50125 Florence (Italy)

    2007-12-11

    Modern radiotherapy treatment techniques, such as intensity Modulated Radiation Therapy (IMRT) and protontherapy, require detectors with specific features, usually not available in conventional dosimeters. IMRT dose measurements, for instance, must face non-uniform beam fluences as well as a time-varying dose rate. Two-dimensional detectors present a great interest for dosimetry in beams with steep dose gradients, but they must satisfy a number of requirements and, in particular, they must exhibit high spatial resolution. With the aim of developing a dosimetric system adequate for 2D pre-treatment dose verifications, we designed a modular dosimetric device based on a monolithic silicon-segmented module. State and results of this work in progress are described in this article. The first 441 pixels, 6.29x6.29 cm{sup 2} silicon module has been produced by ion implantation on a 50 {mu}m thick p-type epitaxial layer. This sensor has been connected to a discrete readout electronics performing current integration, and has been tested with satisfactory results. In the final configuration, nine silicon modules will be assembled together to cover an area close to 20x20 cm{sup 2} with 3969 channels. In this case, the readout electronics will be based on an ASIC capable to read 64 channels by performing current-to-frequency conversion.

  6. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    2014-01-01

    Donor-acceptor co-doped SiC is a promising light converter for novel monolithic all-semiconductor white LEDs due to its broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides sufficiently high doping concentrations in an appropriate ratio yielding...... short radiative lifetimes, long nonradiative lifetimes are crucial for efficient light conversion. The impact of different types of defects is studied by characterizing fluorescent silicon carbide layers with regard to photoluminescence intensity, homogeneity and efficiency taking into account...

  7. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  8. Effects of silicon:carbon P+ layer interfaces on solar cells

    International Nuclear Information System (INIS)

    Jeffrey, F.R.; Vernstrom, G.D.; Weber, M.F.; Gilbert, J.R.

    1987-01-01

    Results are presented showing the effects on amorphous silicon (a-Si) photovoltaic performance of the interfaces associated with a silicon carbide (a-Si:C) p+ layer. Carbon grading into the intrinsic layer from the p+ layer increases open circuit voltage (Voc) from 0.7V to 0.88V. This effect is very similar to the boron profile effect reported earlier and supports the contention that Voc is being limited by an electron current at the p-i interface. The interface between the p+ a-Si:C layer and the transparent conductive oxide (TCO) is shown to be a potential source of high series resistance, with an abrupt interface showing the most serious problem. The effect is explained by electron injection from the TCO into the p+ layer being inhibited as a result of band mismatch

  9. FTIR studies of swift silicon and oxygen ion irradiated porous silicon

    International Nuclear Information System (INIS)

    Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.

    1999-01-01

    Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds

  10. Lifetime degradation of n-type Czochralski silicon after hydrogenation

    Science.gov (United States)

    Vaqueiro-Contreras, M.; Markevich, V. P.; Mullins, J.; Halsall, M. P.; Murin, L. I.; Falster, R.; Binns, J.; Coutinho, J.; Peaker, A. R.

    2018-04-01

    Hydrogen plays an important role in the passivation of interface states in silicon-based metal-oxide semiconductor technologies and passivation of surface and interface states in solar silicon. We have shown recently [Vaqueiro-Contreras et al., Phys. Status Solidi RRL 11, 1700133 (2017)] that hydrogenation of n-type silicon slices containing relatively large concentrations of carbon and oxygen impurity atoms {[Cs] ≥ 1 × 1016 cm-3 and [Oi] ≥ 1017 cm-3} can produce a family of C-O-H defects, which act as powerful recombination centres reducing the minority carrier lifetime. In this work, evidence of the silicon's lifetime deterioration after hydrogen injection from SiNx coating, which is widely used in solar cell manufacturing, has been obtained from microwave photoconductance decay measurements. We have characterised the hydrogenation induced deep level defects in n-type Czochralski-grown Si samples through a series of deep level transient spectroscopy (DLTS), minority carrier transient spectroscopy (MCTS), and high-resolution Laplace DLTS/MCTS measurements. It has been found that along with the hydrogen-related hole traps, H1 and H2, in the lower half of the gap reported by us previously, hydrogenation gives rise to two electron traps, E1 and E2, in the upper half of the gap. The activation energies for electron emission from the E1 and E2 trap levels have been determined as 0.12, and 0.14 eV, respectively. We argue that the E1/H1 and E2/H2 pairs of electron/hole traps are related to two energy levels of two complexes, each incorporating carbon, oxygen, and hydrogen atoms. Our results show that the detrimental effect of the C-O-H defects on the minority carrier lifetime in n-type Si:O + C materials can be very significant, and the carbon concentration in Czochralski-grown silicon is a key parameter in the formation of the recombination centers.

  11. SU-E-J-91: Novel Epitaxial Silicon Array for Quality Assurance in Photon and Proton Therapy

    International Nuclear Information System (INIS)

    Talamonti, C; Zani, M; Scaringella, M; Bruzzi, M; Bucciolini, M; Menichelli, D; Friedl, F

    2014-01-01

    Purpose: to demonstrate suitability of a novel silicon array for measuring the dose properties of highly conformal photon and proton beams. Methods: prototype under test is a 24cm long linear array prototype, although the underlying technology is suitable to construct 2D arrays as well. It is based on a 64pixels monolithic sensor with 1mm pixel pitch, made of epitaxial ptype silicon. Thanks to design modularity, more sensors can be placed side by side without breaking pixel pitch. Flattened and unflattened photon beams, as well as proton radiation from a cyclotron in pencil beam scanning mode, were considered. Measurements of beam characteristics as percentage depth doses, dose profiles, output factors and energy response, which are necessary to deliver radiation with high precision and reliability, were performed. Results: Dose rate independence with photons was verified in the dose per pulse range 0.03 to 2mGy. Results clearly indicate nondependence of the detector sensitivity both for flattened and unflattened beams, with a variation of at most 0.5percentage. OFs were obtained for field with a lateral size ranging from 0.8cm to 16cm and the results are in good agreement with ion chamber A1SL, max difference less than 1.5percentage. Field sizes and beam penumbra were measured and compared to EBT film results. Concerning proton beams, sensitivity independence on dose rate was verified by changing the beam current in the interval 2-130Gy/s. Field sizes and beam penumbra measurements are in agreement with data taken with a scintillating 2D array with 0.5mm resolution IBA Lynx, and a better penumbra definition than an array of ionization chambers IBA MatriXX is reached. Conclusion: The device is a novel and valuable tool for QA both for photon and proton dose delivery. All measurements demonstrated its capability to measure with high spatial resolution many crucial properties of the RT beam

  12. SU-E-J-91: Novel Epitaxial Silicon Array for Quality Assurance in Photon and Proton Therapy

    Energy Technology Data Exchange (ETDEWEB)

    Talamonti, C; Zani, M; Scaringella, M; Bruzzi, M; Bucciolini, M [University of Florence, Firenze (Italy); Menichelli, D; Friedl, F [IBA Dosimetry, Schwarzenbruck, Bavaria (Germany)

    2014-06-01

    Purpose: to demonstrate suitability of a novel silicon array for measuring the dose properties of highly conformal photon and proton beams. Methods: prototype under test is a 24cm long linear array prototype, although the underlying technology is suitable to construct 2D arrays as well. It is based on a 64pixels monolithic sensor with 1mm pixel pitch, made of epitaxial ptype silicon. Thanks to design modularity, more sensors can be placed side by side without breaking pixel pitch. Flattened and unflattened photon beams, as well as proton radiation from a cyclotron in pencil beam scanning mode, were considered. Measurements of beam characteristics as percentage depth doses, dose profiles, output factors and energy response, which are necessary to deliver radiation with high precision and reliability, were performed. Results: Dose rate independence with photons was verified in the dose per pulse range 0.03 to 2mGy. Results clearly indicate nondependence of the detector sensitivity both for flattened and unflattened beams, with a variation of at most 0.5percentage. OFs were obtained for field with a lateral size ranging from 0.8cm to 16cm and the results are in good agreement with ion chamber A1SL, max difference less than 1.5percentage. Field sizes and beam penumbra were measured and compared to EBT film results. Concerning proton beams, sensitivity independence on dose rate was verified by changing the beam current in the interval 2-130Gy/s. Field sizes and beam penumbra measurements are in agreement with data taken with a scintillating 2D array with 0.5mm resolution IBA Lynx, and a better penumbra definition than an array of ionization chambers IBA MatriXX is reached. Conclusion: The device is a novel and valuable tool for QA both for photon and proton dose delivery. All measurements demonstrated its capability to measure with high spatial resolution many crucial properties of the RT beam.

  13. X- and gamma-ray N+PP+ silicon detectors with high radiation resistance

    International Nuclear Information System (INIS)

    Petris, M.; Ruscu, R.; Moraru, R.; Cimpoca, V.

    1998-01-01

    We have investigated the use of p-type silicon detectors as starting material for X-and gamma-ray detectors because of several potential benefits it would bring: 1. high purity p-type silicon grown by the float-zone process exhibits better radial dopant uniformity than n-type float-zone silicon; 2. it is free of radiation damage due to the neutron transmutation doping process and behaves better in a radiation field because mainly acceptor like centers are created through the exposure and the bulk material type inversion does not occur as in the n-type silicon. But the p-type silicon, in combination with a passivating layer of silicon dioxide, leads to a more complex detector layout since the positive charge in the oxide causes an inversion in the surface layer under the silicon dioxide. Consequently, it would be expected that N + P diodes have a higher leakage current than P + N ones. All these facts have been demonstrated experimentally. These features set stringent requirements for the technology of p-type silicon detectors. Our work presents two new geometries and an improved technology for p-type high resistivity material to obtain low noise radiation detectors. Test structures were characterized before and after the gamma exposure with a cumulative dose in the range 10 4 - 5 x 10 6 rad ( 60 Co). Results indicate that proposed structures and their technology enable the development of reliable N + PP + silicon detectors. For some samples (0.8 - 12 mm 2 ), extremely low reverse currents were obtained and, in combination with a low noise charge preamplifier, the splitting of 241 Am X-ray lines was possible and also the Mn Kα line (5.9 keV) was extracted from the noise with a 1.9 keV FWHM at the room temperature. An experimental model of a nuclear probe based on these diodes was designed for X-ray detection applications. (authors)

  14. Hybrid single quantum well InP/Si nanobeam lasers for silicon photonics.

    Science.gov (United States)

    Fegadolli, William S; Kim, Se-Heon; Postigo, Pablo Aitor; Scherer, Axel

    2013-11-15

    We report on a hybrid InP/Si photonic crystal nanobeam laser emitting at 1578 nm with a low threshold power of ~14.7 μW. Laser gain is provided from a single InAsP quantum well embedded in a 155 nm InP layer bonded on a standard silicon-on-insulator wafer. This miniaturized nanolaser, with an extremely small modal volume of 0.375(λ/n)(3), is a promising and efficient light source for silicon photonics.

  15. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  16. Quantitative analysis of the epitaxial recrystallization effect induced by swift heavy ions in silicon carbide

    International Nuclear Information System (INIS)

    Benyagoub, A.

    2015-01-01

    This paper discusses recent results on the recrystallization effect induced by swift heavy ions (SHI) in pre-damaged silicon carbide. The recrystallization kinetics was followed by using increasing SHI fluences and by starting from different levels of initial damage within the SiC samples. The quantitative analysis of the data shows that the recrystallization rate depends drastically on the local amount of crystalline material: it is nil in fully amorphous regions and becomes more significant with increasing amount of crystalline material. For instance, in samples initially nearly half-disordered, the recrystallization rate per incident ion is found to be 3 orders of magnitude higher than what it is observed with the well-known IBIEC process using low energy ions. This high rate can therefore not be accounted for by the existing IBIEC models. Moreover, decreasing the electronic energy loss leads to a drastic reduction of the recrystallization rate. A comprehensive quantitative analysis of all the experimental results shows that the SHI induced high recrystallization rate can only be explained by a mechanism based on the melting of the amorphous zones through a thermal spike process followed by an epitaxial recrystallization initiated from the neighboring crystalline regions if the size of the latter exceeds a certain critical value. This quantitative analysis also reveals that recent molecular dynamics calculations supposed to reproduce this phenomenon are wrong since they overestimated the recrystallization rate by a factor ∼40.

  17. Growth and intercalation of graphene on silicon carbide studied by low-energy electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Speck, Florian; Ostler, Markus; Wanke, Martina; Seyller, Thomas [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Technische Universitaet Chemnitz, Institut fuer Physik (Germany); Besendoerfer, Sven [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Krone, Julia [Technische Universitaet Chemnitz, Institut fuer Physik (Germany)

    2017-11-15

    Based on its electronic, structural, chemical, and mechanical properties, many potential applications have been proposed for graphene. In order to realize these visions, graphene has to be synthesized, grown, or exfoliated with properties that are determined by the targeted application. Growth of so-called epitaxial graphene on silicon carbide by sublimation of silicon in an argon atmosphere is one particular method that could potentially lead to electronic applications. In this contribution we summarize our recent work on different aspects of epitaxial graphene growth and interface manipulation by intercalation, which was performed by a combination of low-energy electron microscopy, low-energy electron diffraction, atomic force microscopy and photoelectron spectroscopy. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Low-field tunnel-type magnetoresistance properties of polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 thin films

    CERN Document Server

    Shim, I B; Choi, S Y

    2000-01-01

    The low-field tunnel-type magnetoresistance (TMB) properties of sol-gel derived polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 (LSMO) thin films were investigated. The polycrystalline thin films were fabricated on Si (100) with a thermally oxidized SiO sub 2 layer while the epitaxial thin films were grown on LaAlO sub 3 (001) single-crystal substrates. The epitaxial thin films displayed both typical intrinsic colossal magnetoresistance (CMR) and abnormal extrinsic tunnel-type magnetoresistance behaviors. Tunnel-type MR ratio as high as 0.4% were observed in the polycrystalline thin films at a field of 120 Oe at room temperature (300 K) whereas the ratios were less than 0.1% for the epitaxial films in the same field range. The low-field tunnel-type MR of polycrystalline LSMO/SiO sub 2 ?Si (100) thin films originated from the behaviors of the grain-boundary properties.

  19. Nuclear radiation detectors using high resistivity neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Gessner, T.; Irmer, K.

    1983-01-01

    A method for the production of semiconductor detectors based on high resistivity n-type silicon is described. The n-type silicon is produced by neutron irradiation of p-type silicon. The detectors are produced by planar technique. They are suitable for the spectrometry of alpha particles and for the pulse count measurement of beta particles at room temperature. (author)

  20. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  1. Surface Passivation for Silicon Heterojunction Solar Cells

    NARCIS (Netherlands)

    Deligiannis, D.

    2017-01-01

    Silicon heterojunction solar cells (SHJ) are currently one of the most promising solar cell technologies in the world. The SHJ solar cell is based on a crystalline silicon (c-Si) wafer, passivated on both sides with a thin intrinsic hydrogenated amorphous silicon (a-Si:H) layer. Subsequently, p-type

  2. Photo and electroluminescence of porous silicon layers

    International Nuclear Information System (INIS)

    Keshmini, S.H.; Samadpour, S.; Haji-Ali, E.; Rokn-Abadi, M.R.

    1995-01-01

    Porous silicon (PSi) layers were prepared by both chemical and electrochemical methods on n- and p-type Si substrates. In the former technique, light emission was obtained from p-type and n-type samples. It was found that intense light illumination during the preparation process was essential for PSi formation on n-type substrates. An efficient electrochemical cell with some useful features was designed for electrochemical etching of silicon. Various preparation parameters were studied and photoluminescence emissions ranging from dark red to light blue were obtained from PSi samples prepared on p-type substrates. N-type samples produced emission ranging from dark red to orange yellow. Electroluminescence of porous silicon samples showed that the color of the emission was the same as the photoluminescence color of the sample, and its intensity and duration depended on the current density passed through the sample. The effects of exposure of samples to air, storage in vacuum and heat treatment in air on luminescence intensity of the samples and preparation of patterned porous layers were also studied. (author)

  3. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  4. Development of edgeless silicon pixel sensors on p-type substrate for the ATLAS high-luminosity upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste and INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2014-11-21

    In view of the LHC upgrade for the high luminosity phase (HL-LHC), the ATLAS experiment is planning to replace the inner detector with an all-silicon system. The n-in-p bulk technology represents a valid solution for the modules of most of the layers, given the significant radiation hardness of this option and the reduced cost. The large area necessary to instrument the outer layers will demand to tile the sensors, a solution for which the inefficient region at the border of each sensor needs to be reduced to the minimum size. This paper reports on a joint R and D project by the ATLAS LPNHE Paris group and FBK Trento on a novel n-in-p edgeless planar pixel design, based on the deep-trench process available at FBK.

  5. Profiling N-Type Dopants in Silicon

    Czech Academy of Sciences Publication Activity Database

    Hovorka, Miloš; Mika, Filip; Mikulík, P.; Frank, Luděk

    2010-01-01

    Roč. 51, č. 2 (2010), s. 237-242 ISSN 1345-9678 R&D Projects: GA ČR GP102/09/P543; GA AV ČR IAA100650803 Institutional research plan: CEZ:AV0Z20650511 Keywords : silicon * dopant contrast * photoemission electron microscopy * scanning electron microscopy Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.779, year: 2010 http://www.jim.or.jp/journal/e/51/02/237.html

  6. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  7. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  8. The study of 1 MeV electron irradiation induced defects in N-type and P-type monocrystalline silicon

    Science.gov (United States)

    Babaee, S.; Ghozati, S. B.

    2017-12-01

    Despite extensive use of GaAs cells in space, silicon cells are still being used. The reason is that not only they provide a good compromise between efficiency and cost, but also some countries do not have the required technology for manufacturing GaAs. Behavior of a silicon cell under any levels of charged particle irradiation could be deducted from the results of a damage equivalent 1 MeV electron irradiation using the NASA EQflux open source software package. In this paper for the first time, we have studied the behavior of a silicon cell before and after 1 MeV electron irradiation with 1014, 1015 and 1016 electrons-cm-2 fluences, using SILVACO TCAD simulation software package. Simulation was carried out at room temperature under AM0 condition. Results reveal that open circuit voltage and efficiency decrease after irradiation while short circuit current shows a slight increase in the trend around 5 × 1016 electrons-cm-2, and short circuit current loss plays an important role on efficiency changes rather than open circuit voltage.

  9. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  10. Nucleation of two-dimensional islands on Si (111) during high-temperature epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Sitnikov, S. V., E-mail: sitnikov@isp.nsc.ru; Kosolobov, S. S.; Latyshev, A. V. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2017-02-15

    The process of two-dimensional island nucleation at the surface of ultra large Si (111) during hightemperature epitaxial growth is studied by in situ ultrahigh-vacuum reflection electron microscopy. The critical terrace size D{sub crit}, at which a two-dimensional island is nucleated in the center, is measured in the temperature range 900–1180°C at different silicon fluxes onto the surface. It is found that the parameter D{sub crit}{sup 2} is a power function of the frequency of island nucleation, with the exponent χ = 0.9 ± 0.05 in the entire temperature range under study. It is established that the kinetics of nucleus formation is defined by the diffusion of adsorbed silicon atoms at temperatures of up to 1180°C and the minimum critical nucleus size corresponds to 12 silicon atoms.

  11. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  12. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  13. Capacity spectroscopy of minority-carrier radiation traps in n-type silicon

    International Nuclear Information System (INIS)

    Kuchinskij, P.V.; Lomako, V.M.; Shakhlevich, L.N.

    1987-01-01

    Minority charge-carrier radiation traps in n-silicon, produced by neutron transmutation doping (NTD) and zone melting method, were studied using unsteady capacity spectroscopy method. Studying the parameters of defects, formed in the lower half of the restricted zone, was performed using minority carrier injection by forward current pulses. Samples were p + -n-structures, produced on the basis of silicon with different oxygen content. It is shown, that a trap with activation energy ≅E v +0.34 eV appears to be the main defect in oxygen p-silicon. Investigation into thermal stability has shown, that centers with E v +0.34 eV and E v +0.27 eV activation energies are annealed within the same temperature interval (300-400 deg C)

  14. Growth and characterization of n-ZnO/p-GaN nanorods on silicon for the fabrication of heterojunction diodes

    Energy Technology Data Exchange (ETDEWEB)

    Guan-Hung Shen [Department of Chemical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Hong, Franklin Chau-Nan, E-mail: hong@mail.ncku.edu.tw [Department of Chemical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Advanced Optoelectronic Technology Center, National Cheng Kung University, Tainan 70101, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 70101, Taiwan (China); NCKU Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 70101, Taiwan (China)

    2014-11-03

    A heterojunction n-ZnO/p-GaN diode device was fabricated and characterized on Si (111) substrate. Vertically-aligned Mg-doped GaN nanorods (NRs) were grown on Si (111) by plasma assisted chemical vapor deposition. Intrinsic n-type ZnO was subsequently grown on top of p-GaN nanorods by hydrothermal method at low temperature. The effects of precursor concentrations on the morphology and optical properties of ZnO nanostructures were investigated. Various ZnO nanostructures could be synthesized to obtain different heterojunction nanostructures. The high resolution transmission electron microscopy and selected area electron diffraction results further verified that the GaN NRs were single crystals with the growth orientation along [0001], and the epitaxial wurtzite ZnO films were grown on GaN NRs. The n-ZnO film/p-GaN NR heterojunction diodes were thus fabricated. Diode-like rectifying behavior was actually observed with a leakage current of less than 2.0 × 10{sup −4} A at − 20 V bias, a forward current of 7.2 × 10{sup −3} A at 20 V bias, and the turn-on voltage at around 5.6 V. - Highlights: • High-quality zinc oxide layer was epitaxially grown on gallium nitride nanorods. • The morphology of zinc oxide can be controlled by varying the growth conditions. • The n-zinc oxide/p-gallium nitride diodes with rectifying behavior were fabricated.

  15. Growth and characterization of n-ZnO/p-GaN nanorods on silicon for the fabrication of heterojunction diodes

    International Nuclear Information System (INIS)

    Guan-Hung Shen; Hong, Franklin Chau-Nan

    2014-01-01

    A heterojunction n-ZnO/p-GaN diode device was fabricated and characterized on Si (111) substrate. Vertically-aligned Mg-doped GaN nanorods (NRs) were grown on Si (111) by plasma assisted chemical vapor deposition. Intrinsic n-type ZnO was subsequently grown on top of p-GaN nanorods by hydrothermal method at low temperature. The effects of precursor concentrations on the morphology and optical properties of ZnO nanostructures were investigated. Various ZnO nanostructures could be synthesized to obtain different heterojunction nanostructures. The high resolution transmission electron microscopy and selected area electron diffraction results further verified that the GaN NRs were single crystals with the growth orientation along [0001], and the epitaxial wurtzite ZnO films were grown on GaN NRs. The n-ZnO film/p-GaN NR heterojunction diodes were thus fabricated. Diode-like rectifying behavior was actually observed with a leakage current of less than 2.0 × 10 −4 A at − 20 V bias, a forward current of 7.2 × 10 −3 A at 20 V bias, and the turn-on voltage at around 5.6 V. - Highlights: • High-quality zinc oxide layer was epitaxially grown on gallium nitride nanorods. • The morphology of zinc oxide can be controlled by varying the growth conditions. • The n-zinc oxide/p-gallium nitride diodes with rectifying behavior were fabricated

  16. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  17. Hydrogen Production Using a Molybdenum Sulfide Catalyst on a Titanium-Protected n+p-Silicon Photocathode

    DEFF Research Database (Denmark)

    Seger, Brian; Laursen, Anders Bo; Vesborg, Peter Christian Kjærgaard

    2012-01-01

    A low-cost substitute: A titanium protection layer on silicon made it possible to use silicon under highly oxidizing conditions without oxidation of the silicon. Molybdenum sulfide was electrodeposited on the Ti-protected n+p-silicon electrode. This electrode was applied as a photocathode for wat...

  18. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  19. Formation of nanosize poly(p-phenylene vinylene) in porous silicon substrate

    International Nuclear Information System (INIS)

    Le Rendu, P.; Nguyen, T.P.; Cheah, K.; Joubert, P.

    2003-01-01

    We report the results of optical investigations in porous silicon (PS)/poly(p-phenylene vinylene) (PPV) systems obtained by filling the pores of silicon wafers with polymer. By scanning electron microscopy (SEM), IR, and Raman spectroscopy, we observed that the porous silicon layer was thoroughly filled by the polymer with no significant change in the structure of the materials. This suggests that there is no interaction between the components. On the other hand, the photoluminescence (PL) spectra of the devices investigated at different temperatures (from 11 to 290 K) showed that both materials are active at low temperatures. Porous silicon has a band located at 398 nm while PPV has two bands at 528 and 570 nm. As the temperature increases, the PL intensity of porous silicon decreases and that PPV is blue shifted. A new band emerging at 473 nm may indicate an energy transfer from the porous silicon to PPV, involving short segments of the polymer. The band of PPV located at 515 nm becomes more dominant and indicates that the nanosize polymer films are formed in the pores of the silicon layer, in agreement with the results obtained by SEM, IR, and Raman analyses

  20. Electrical Characterisation of electron beam exposure induced Defects in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Danga, Helga T., E-mail: helga.danga@up.ac.za; Auret, Francois D.; Coelho, Sergio M.M.; Diale, Mmantsae

    2016-01-01

    The defects introduced in epitaxially grown p-type silicon (Si) during electron beam exposure were electrically characterised using deep level transient spectroscopy (DLTS) and high resolution Laplace-DLTS. In this process, Si samples were first exposed to the conditions of electron beam deposition (EBD) without metal deposition. This is called electron beam exposure (EBE) herein. After 50 minutes of EBE, nickel (Ni) Schottky contacts were fabricated using the resistive deposition method. The defect level observed using the Ni contacts had an activation energy of H(0.55). This defect has an activation energy similar to that of the I-defect. The defect level is similar to that of the HB4, a boron related defect. DLTS depth profiling revealed that H(0.55) could be detected up to a depth of 0.8 μm below the junction. We found that exposing the samples to EBD conditions without metal deposition introduced a defect which was not introduced by the EBD method. We also observed that the damage caused by EBE extended deeper into the material compared to that caused by EBD.

  1. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    Science.gov (United States)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  2. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  3. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  4. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  5. Mid-IR optical properties of silicon doped InP

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Han, Li; Norrman, Kion

    2017-01-01

    of growth conditions on the optical and electrical properties of silicon doped InP (InP:Si) in the wavelength range from 3 to 40 μm was studied. The carrier concentration of up to 3.9 × 1019 cm-3 is achieved by optimizing the growth conditions. The dielectric function, effective mass of electrons and plasma...

  6. Morphological and optical properties of n-type porous silicon

    Indian Academy of Sciences (India)

    type silicon wafer have been reported in the present article. Method of PS fabrication is by photo-assisted electrochemical etching with different etching current densities ( J ). Porosity and PS layer thickness, obtained by the gravimetric method, ...

  7. Wurtzite-Phased InP Micropillars Grown on Silicon with Low Surface Recombination Velocity.

    Science.gov (United States)

    Li, Kun; Ng, Kar Wei; Tran, Thai-Truong D; Sun, Hao; Lu, Fanglu; Chang-Hasnain, Connie J

    2015-11-11

    The direct growth of III-V nanostructures on silicon has shown great promise in the integration of optoelectronics with silicon-based technologies. Our previous work showed that scaling up nanostructures to microsize while maintaining high quality heterogeneous integration opens a pathway toward a complete photonic integrated circuit and high-efficiency cost-effective solar cells. In this paper, we present a thorough material study of novel metastable InP micropillars monolithically grown on silicon, focusing on two enabling aspects of this technology-the stress relaxation mechanism at the heterogeneous interface and the microstructure surface quality. Aberration-corrected transmission electron microscopy studies show that InP grows directly on silicon without any amorphous layer in between. A set of periodic dislocations was found at the heterointerface, relaxing the 8% lattice mismatch between InP and Si. Single crystalline InP therefore can grow on top of the fully relaxed template, yielding high-quality micropillars with diameters expanding beyond 1 μm. An interesting power-dependence trend of carrier recombination lifetimes was captured for these InP micropillars at room temperature, for the first time for micro/nanostructures. By simply combining internal quantum efficiency with carrier lifetime, we revealed the recombination dynamics of nonradiative and radiative portions separately. A very low surface recombination velocity of 1.1 × 10(3) cm/sec was obtained. In addition, we experimentally estimated the radiative recombination B coefficient of 2.0 × 10(-10) cm(3)/sec for pure wurtzite-phased InP. These values are comparable with those obtained from InP bulk. Exceeding the limits of conventional nanowires, our InP micropillars combine the strengths of both nanostructures and bulk materials and will provide an avenue in heterogeneous integration of III-V semiconductor materials onto silicon platforms.

  8. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  9. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides-silicon

  10. Nickel Electroless Plating: Adhesion Analysis for Mono-Type Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Shin, Eun Gu; Rehman, Atteq ur; Lee, Sang Hee; Lee, Soo Hong

    2015-10-01

    The adhesion of the front electrodes to silicon substrate is the most important parameters to be optimized. Nickel silicide which is formed by sintering process using a silicon substrate improves the mechanical and electrical properties as well as act as diffusion barrier for copper. In this experiment p-type mono-crystalline czochralski (CZ) silicon wafers having resistivity of 1.5 Ω·cm were used to study one step and two step nickel electroless plating process. POCl3 diffusion process was performed to form the emitter with the sheet resistance of 70 ohm/sq. The Six, layer was set down as an antireflection coating (ARC) layer at emitter surface by plasma enhanced chemical vapor deposition (PECVD) process. Laser ablation process was used to open SiNx passivation layer locally for the formation of the front electrodes. Nickel was deposited by electroless plating process by one step and two step nickel electroless deposition process. The two step nickel plating was performed by applying a second nickel deposition step subsequent to the first sintering process. Furthermore, the adhesion analysis for both one step and two steps process was conducted using peel force tester (universal testing machine, H5KT) after depositing Cu contact by light induced plating (LIP).

  11. Texturization of as-cut p-type monocrystalline silicon wafer using different wet chemical solutions

    Science.gov (United States)

    Hashmi, Galib; Hasanuzzaman, Muhammad; Basher, Mohammad Khairul; Hoq, Mahbubul; Rahman, Md. Habibur

    2018-06-01

    Implementing texturization process on the monocrystalline silicon substrate reduces reflection and enhances light absorption of the substrate. Thus texturization is one of the key elements to increase the efficiency of solar cell. Considering as-cut monocrystalline silicon wafer as base substrate, in this work different concentrations of Na2CO3 and NaHCO3 solution, KOH-IPA (isopropyl alcohol) solution and tetramethylammonium hydroxide solution with different time intervals have been investigated for texturization process. Furthermore, saw damage removal process was conducted with 10% NaOH solution, 20 wt% KOH-13.33 wt% IPA solution and HF/nitric/acetic acid solution. The surface morphology of saw damage, saw damage removed surface and textured wafer were observed using optical microscope and field emission scanning electron microscopy. Texturization causes pyramidal micro structures on the surface of (100) oriented monocrystalline silicon wafer. The height of the pyramid on the silicon surface varies from 1.5 to 3.2 µm and the inclined planes of the pyramids are acute angle. Contact angle value indicates that the textured wafer's surface fall in between near-hydrophobic to hydrophobic range. With respect to base material absolute reflectance 1.049-0.75% within 250-800 nm wavelength region, 0.1-0.026% has been achieved within the same wavelength region when textured with 0.76 wt% KOH-4 wt% IPA solution for 20 min. Furthermore, an alternative route of using 1 wt% Na2CO3-0.2 wt% NaHCO3 solution for 50 min has been exploited in the texturization process.

  12. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  13. Tailoring the optical characteristics of microsized InP nanoneedles directly grown on silicon.

    Science.gov (United States)

    Li, Kun; Sun, Hao; Ren, Fan; Ng, Kar Wei; Tran, Thai-Truong D; Chen, Roger; Chang-Hasnain, Connie J

    2014-01-08

    Nanoscale self-assembly offers a pathway to realize heterogeneous integration of III-V materials on silicon. However, for III-V nanowires directly grown on silicon, dislocation-free single-crystal quality could only be attained below certain critical dimensions. We recently reported a new approach that overcomes this size constraint, demonstrating the growth of single-crystal InGaAs/GaAs and InP nanoneedles with the base diameters exceeding 1 μm. Here, we report distinct optical characteristics of InP nanoneedles which are varied from mostly zincblende, zincblende/wurtzite-mixed, to pure wurtzite crystalline phase. We achieved, for the first time, pure single-crystal wurtzite-phase InP nanoneedles grown on silicon with bandgaps of 80 meV larger than that of zincblende-phase InP. Being able to attain excellent material quality while scaling up in size promises outstanding device performance of these nanoneedles. At room temperature, a high internal quantum efficiency of 25% and optically pumped lasing are demonstrated for single nanoneedle as-grown on silicon substrate. Recombination dynamics proves the excellent surface quality of the InP nanoneedles, which paves the way toward achieving multijunction photovoltaic cells, long-wavelength heterostructure lasers, and advanced photonic integrated circuits.

  14. Photonic porous silicon as a pH sensor.

    Science.gov (United States)

    Pace, Stephanie; Vasani, Roshan B; Zhao, Wei; Perrier, Sébastien; Voelcker, Nicolas H

    2014-01-01

    Chronic wounds do not heal within 3 months, and during the lengthy healing process, the wound is invariably exposed to bacteria, which can colonize the wound bed and form biofilms. This alters the wound metabolism and brings about a change of pH. In this work, porous silicon photonic films were coated with the pH-responsive polymer poly(2-diethylaminoethyl acrylate). We demonstrated that the pH-responsive polymer deposited on the surface of the photonic film acts as a barrier to prevent water from penetrating inside the porous matrix at neutral pH. Moreover, the device demonstrated optical pH sensing capability visible by the unaided eye.

  15. Photovoltaic properties of ZnO nanorods/p-type Si heterojunction structures

    Directory of Open Access Journals (Sweden)

    Rafal Pietruszka

    2014-02-01

    Full Text Available Selected properties of photovoltaic (PV structures based on n-type zinc oxide nanorods grown by a low temperature hydrothermal method on p-type silicon substrates (100 are investigated. PV structures were covered with thin films of Al doped ZnO grown by atomic layer deposition acting as transparent electrodes. The investigated PV structures differ in terms of the shapes and densities of their nanorods. The best response is observed for the structure containing closely-spaced nanorods, which show light conversion efficiency of 3.6%.

  16. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  17. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    Science.gov (United States)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  18. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  19. Identification of photoluminescence P line in indium doped silicon as In{sub Si}-Si{sub i} defect

    Energy Technology Data Exchange (ETDEWEB)

    Lauer, Kevin, E-mail: klauer@cismst.de; Möller, Christian [CiS Forschungsinstitut für Mikrosensorik und Photovoltaik GmbH, Konrad-Zuse-Str. 14, 99099 Erfurt (Germany); Schulze, Dirk [TU Ilmenau, Institut für Physik, Weimarer Str. 32, 98693 Ilmenau (Germany); Ahrens, Carsten [Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg (Germany)

    2015-01-15

    Indium and carbon co-implanted silicon was investigated by low-temperature photoluminescence spectroscopy. A photoluminescence peak in indium doped silicon (P line) was found to depend on the position of a silicon interstitial rich region, the existence of a SiN{sub x}:H/SiO{sub x} stack and on characteristic illumination and annealing steps. These results led to the conclusion that silicon interstitials are involved in the defect and that hydrogen impacts the defect responsible for the P line. By applying an unique illumination and annealing cycle we were able to link the P line defect with a defect responsible for degradation of charge carrier lifetime in indium as well as boron doped silicon. We deduced a defect model consisting of one acceptor and one silicon interstitial atom denoted by A{sub Si}-Si{sub i}, which is able to explain the experimental data of the P line as well as the light-induced degradation in indium and boron doped silicon. Using this model we identified the defect responsible for the P line as In{sub Si}-Si{sub i} in neutral charge state and C{sub 2v} configuration.

  20. Solar cell fabricated on welded thin flexible silicon

    Directory of Open Access Journals (Sweden)

    Hessmann Maik Thomas

    2015-01-01

    Full Text Available We present a thin-film crystalline silicon solar cell with an AM1.5 efficiency of 11.5% fabricated on welded 50 μm thin silicon foils. The aperture area of the cell is 1.00 cm2. The cell has an open-circuit voltage of 570 mV, a short-circuit current density of 29.9 mA cm-2 and a fill factor of 67.6%. These are the first results ever presented for solar cells on welded silicon foils. The foils were welded together in order to create the first thin flexible monocrystalline band substrate. A flexible band substrate offers the possibility to overcome the area restriction of ingot-based monocrystalline silicon wafers and the feasibility of a roll-to-roll manufacturing. In combination with an epitaxial and layer transfer process a decrease in production costs can be achieved.

  1. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  2. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  3. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  4. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  5. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n/sup +/p silicon solar cells

    International Nuclear Information System (INIS)

    Stupica, J.; Goradia, C.; Swartz, C.K.; Weinberg, I.

    1987-01-01

    Two lithium-counterdoped n/sup +/p silicon solar cells with different lithium concentrations were irradiated by 10 MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the higher radiation resistance. Deep level defects were studied using deep level transient spectroscopy which yielded two defects that were lithium related. Relating the defect energy levels obtained from this study under 10 MeV protons, with an earlier work using 1 MeV electron irradiations shows no correlation of the defect energy levels. There is one marked comparison though. The absence of the boron interstitial-oxygen interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The present results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell

  6. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Appleton, B.R.; Wilson, S.R.

    1980-01-01

    Pulsed laser annealing of ion implanted silicon leads to the formation of supersaturated alloys by nonequilibrium crystal growth processes at the interface occurring during liquid phase epitaxial regrowth. The interfacial distribution coefficients from the melt (k') and the maximum substitutional solubilities (C/sub s//sup max/) are far greater than equilibrium values. Both K' and C/sub s//sup max/ are functions of growth velocity. Mechanisms limiting substitutional solubilities are discussed. 5 figures, 2 tables

  7. Low temperature transport in p-doped InAs nanowires

    DEFF Research Database (Denmark)

    Upadhyay, Shivendra; Jespersen, Thomas Sand; Madsen, Morten Hannibal

    2013-01-01

    We present low temperature electrical measurements of p-type Indium Arsenide nanowires grown via molecular beam epitaxy using Beryllium as a dopant. Growth of p-type wires without stacking faults is demonstrated. Devices in field-effect geometries exhibit ambipolar behavior, and the temperature...

  8. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  9. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  10. High-Tc superconducting antenna-coupled microbolometer on silicon

    Science.gov (United States)

    Rice, Joseph P.; Grossman, Erich N.; Borcherdt, L. J.; Rudman, D. A.

    1994-05-01

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-Tc superconductor YBa2Cu3O7 (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 X 10-6 W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 microsecond(s) , a responsivity of the 1000 V/W range, and a noise-equivalent power in the 10-12 W/Hz1/2 range at 1000 Hz.

  11. Two- to three-dimensional crossover in a dense electron liquid in silicon

    Science.gov (United States)

    Matmon, Guy; Ginossar, Eran; Villis, Byron J.; Kölker, Alex; Lim, Tingbin; Solanki, Hari; Schofield, Steven R.; Curson, Neil J.; Li, Juerong; Murdin, Ben N.; Fisher, Andrew J.; Aeppli, Gabriel

    2018-04-01

    Doping of silicon via phosphine exposures alternating with molecular beam epitaxy overgrowth is a path to Si:P substrates for conventional microelectronics and quantum information technologies. The technique also provides a well-controlled material for systematic studies of two-dimensional lattices with a half-filled band. We show here that for a dense (ns=2.8 ×1014 cm-2) disordered two-dimensional array of P atoms, the full field magnitude and angle-dependent magnetotransport is remarkably well described by classic weak localization theory with no corrections due to interaction. The two- to three-dimensional crossover seen upon warming can also be interpreted using scaling concepts developed for anistropic three-dimensional materials, which work remarkably except when the applied fields are nearly parallel to the conducting planes.

  12. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  13. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  14. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  15. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  16. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  17. Rectification properties of n-type nanocrystalline diamond heterojunctions to p-type silicon carbide at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Masaki; Amano, Ryo; Shimoda, Naotaka [Graduate School of Automotive Science, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Kato, Yoshimine, E-mail: yoshimine.kato@zaiko.kyushu-u.ac.jp [Department of Materials Science and Engineering, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Teii, Kungen [Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan)

    2014-04-14

    Highly rectifying heterojunctions of n-type nanocrystalline diamond (NCD) films to p-type 4H-SiC substrates are fabricated to develop p-n junction diodes operable at high temperatures. In reverse bias condition, a potential barrier for holes at the interface prevents the injection of reverse leakage current from the NCD into the SiC and achieves the high rectification ratios of the order of 10{sup 7} at room temperature and 10{sup 4} even at 570 K. The mechanism of the forward current injection is described with the upward shift of the defect energy levels in the NCD to the conduction band of the SiC by forward biasing. The forward current shows different behavior from typical SiC Schottky diodes at high temperatures.

  18. Technology development of p-type microstrip detectors with radiation hard p-spray isolation

    International Nuclear Information System (INIS)

    Pellegrini, G.; Fleta, C.; Campabadal, F.; Diez, S.; Lozano, M.; Rafi, J.M.; Ullan, M.

    2006-01-01

    A technology for the fabrication of p-type microstrip silicon radiation detectors using p-spray implant isolation has been developed at CNM-IMB. The p-spray isolation has been optimized in order to withstand a gamma irradiation dose up to 50 Mrad (Si), which represents the ionization radiation dose expected in the middle region of the SCT-Atlas detector of the future Super-LHC during 10 years of operation. The best technological options for the p-spray implant were found by using a simulation software package and dedicated calibration runs. Using the optimized technology, detectors have been fabricated in the Clean Room facility of CNM-IMB, and characterized by reverse current and capacitance measurements before and after irradiation. The average full depletion voltage measured on the non-irradiated detectors was V FD =41±3 V, while the leakage current density for the microstrip devices at V FD +20 V was 400 nA/cm 2

  19. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  20. A multiple p-n junction structure obtained from as-grown Czochralski silicon crystals by heat treatment - Application to solar cells

    Science.gov (United States)

    Chi, J. Y.; Gatos, H. C.; Mao, B. Y.

    1980-01-01

    Multiple p-n junctions have been prepared in as-grown Czochralski p-type silicon through overcompensation near the oxygen periodic concentration maxima by oxygen thermal donors generated during heat treatment at 450 C. Application of the multiple p-n-junction configuration to photovoltaic energy conversion has been investigated. A new solar-cell structure based on multiple p-n-junctions was developed. Theoretical analysis showed that a significant increase in collection efficiency over the conventional solar cells can be achieved.

  1. Electrodeposition of cadmium on n-type silicon single crystals of ...

    African Journals Online (AJOL)

    sea

    type silicon have been studied as a function of different potential steps. Within appropriate potential ... including progressive nucleation on active sites and diffusion controlled cluster growth. ..... al CdSe nanocrystals on {111} gold. Surf. Sci.

  2. pH-controlled silicon nanowires fluorescence switch

    International Nuclear Information System (INIS)

    Mu Lixuan; Shi Wensheng; Zhang Taiping; Zhang Hongyan; She Guangwei

    2010-01-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  3. Electrochemical characterization of carbon coated bundle-type silicon nanorod for anode material in lithium ion secondary batteries

    International Nuclear Information System (INIS)

    Halim, Martin; Kim, Jung Sub; Choi, Jeong-Gil; Lee, Joong Kee

    2015-01-01

    Highlights: • Bundle-type silicon nanorods (BSNR) were synthesized by metal assisted chemical etching. • Novel bundle-type nanorods electrode showed self-relaxant characteristics. • The self-relaxant property was enhanced by increasing the silver concentration. • PAA binder enhanced the self-relaxant property of the silicon material. • Carbon coated BSNR (BSNR@C) has evidently provided better cycle performance. - Abstract: Nanostructured silicon synthesis by surface modification of commercial micro-powder silicon was investigated in order to reduce the maximum volume change over cycle. The surface of micro-powder silicon was modified using an Ag metal-assisted chemical etching technique to produce nanostructured material in the form of bundle-type silicon nanorods. The volume change of the electrode using the nanostructured silicon during cycle was investigated using an in-situ dilatometer. Our result shows that nanostructured silicon synthesized using this method showed a self-relaxant characteristic as an anode material for lithium ion battery application. Moreover, binder selection plays a role in enhancing self-relaxant properties during delithiation via strong hydrogen interaction on the surface of the silicon material. The nanostructured silicon was then coated with carbon from propylene gas and showed higher capacity retention with the use of polyacrylic acid (PAA) binder. While the nano-size of the pore diameter control may significantly affect the capacity fading of nanostructured silicon, it can be mitigated via carbon coating, probably due to the prevention of Li ion penetration into 10 nano-meter sized pores

  4. Electrochemical characterization of carbon coated bundle-type silicon nanorod for anode material in lithium ion secondary batteries

    Energy Technology Data Exchange (ETDEWEB)

    Halim, Martin [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Energy and Environmental Engineering, Korea University of Science and Technology, Gwahangno, Yuseong-gu, Daejeon, 305-333 (Korea, Republic of); Kim, Jung Sub [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Material Science & Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Choi, Jeong-Gil [Department of Chemical Engineering, Hannam University, 461-1 Junmin-dong, Yusung-gu, Taejon 305-811 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Energy and Environmental Engineering, Korea University of Science and Technology, Gwahangno, Yuseong-gu, Daejeon, 305-333 (Korea, Republic of)

    2015-04-15

    Highlights: • Bundle-type silicon nanorods (BSNR) were synthesized by metal assisted chemical etching. • Novel bundle-type nanorods electrode showed self-relaxant characteristics. • The self-relaxant property was enhanced by increasing the silver concentration. • PAA binder enhanced the self-relaxant property of the silicon material. • Carbon coated BSNR (BSNR@C) has evidently provided better cycle performance. - Abstract: Nanostructured silicon synthesis by surface modification of commercial micro-powder silicon was investigated in order to reduce the maximum volume change over cycle. The surface of micro-powder silicon was modified using an Ag metal-assisted chemical etching technique to produce nanostructured material in the form of bundle-type silicon nanorods. The volume change of the electrode using the nanostructured silicon during cycle was investigated using an in-situ dilatometer. Our result shows that nanostructured silicon synthesized using this method showed a self-relaxant characteristic as an anode material for lithium ion battery application. Moreover, binder selection plays a role in enhancing self-relaxant properties during delithiation via strong hydrogen interaction on the surface of the silicon material. The nanostructured silicon was then coated with carbon from propylene gas and showed higher capacity retention with the use of polyacrylic acid (PAA) binder. While the nano-size of the pore diameter control may significantly affect the capacity fading of nanostructured silicon, it can be mitigated via carbon coating, probably due to the prevention of Li ion penetration into 10 nano-meter sized pores.

  5. Investigating the effect of silicon surface chemical treatment on Al/Si contact properties in GaP/Si solar cells

    Science.gov (United States)

    Kudryashov, D.; Gudovskikh, A.

    2018-03-01

    In the present work, experimental studies have been carried out to reveal how chemical treatment of a silicon surface affects the properties of the Al/Si contact. It has been shown that for p-type monocrystalline silicon substrates with a resistivity of 10 ohm cm, it is possible to form an ohmic Al/Si contact by magnetron sputtering of an aluminum thin film and its further annealing at temperatures of 400 - 450 °C. In the range of annealing temperatures of 250 - 400 °C, the Si substrate treatment in the HF solution leads to a significant increase in currents on the current-voltage curves of the Al/Si contact, while in the range of 450 - 700 °C, the effect of chemical treatment of the silicon is not detected.

  6. Characterization of carbon, nitrogen, oxygen and refractory metals in binary and ternary silicon-based films using ion beam methods

    International Nuclear Information System (INIS)

    Somatri-Bouamrane, R.

    1996-01-01

    Ion beam methods (non Rutherford backscattering, nuclear reactions) have been carried out in order to characterize silicon-based films. The cross sections for the reactions 12 C(α,α), 14 N(α,α), 16 O(α,α), 28 Si(α,α) and 14 N(α,p) have been measured within 2 and 7 MeV. CVD beta SiC films could be analyzed and the interface between silicon carbide and the (100) silicon substrate was studied. The epitaxial growth of the beta SiC film could be modelled by comparing the results obtained with ion beam analysis, infrared spectroscopy and electron microscopy. Moreover, the stoichiometry of low pressure CVD Me-Si-N (Me=Re, W, Ti, Ta) ternary systems was studied. The evolution of the nitrogen content in W-Si-N systems allowed to study their stability with respect to the annealing conditions. (N.T.)

  7. Effect of cobalt-60 {gamma} radiation and of thermal neutrons on high resistance P and N silicon. Possibility of obtaining a nuclear compensation for P type silicon; Effects du rayonnement {gamma} du cobalt 60 et de neutrons thermiques sur du silicium P et N de haute resistivite. Possibilite de realiser une compensation nucleaire d'un silicium du type P

    Energy Technology Data Exchange (ETDEWEB)

    Messier, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1965-11-01

    Type P silicon has been compensated by the production of a controlled and uniform amount of donor atoms ({sup 31}P) using thermal neutrons to bring about a nuclear transformation. It is shown that it is possible in this way to reduce by a factor of about one hundred the overall concentration of residual ionised impurities in the purest crystals obtained by floating zone purification (2 x 10{sup 12} atoms/cm{sup 3}). The degree compensation obtained is limited by the initial inhomogeneity of acceptor impurities which have to be compensated. Lattice defects which still remain after prolonged annealings reduce the life-time of the material to about 10 {mu}s approximately. Particle detectors having thicknesses of 2 to 5 mm have been built by this process; they give good results, particularly at low temperatures. A study has also been made of the number and of the nature of lattice defects produced by thermal neutrons in high resistivity P and N type crystals. These defects have been compared to those produced by {gamma} rays from {sup 60}Co. A discussion is given of the validity of the Wertheim model concerning pronounced recombination at low temperatures (77 deg. K - 300 deg. K) of primary defect-interstitial pairs. The nature of the defects introducing energy levels into the lower half of the forbidden band has been studied. (author) [French] On a compense du silicium de type P en produisant, au moyen de neutrons thermiques, par transmutation nucleaire une quantite controlee et uniforme d'atomes donneurs ({sup 31}P). On montre qu'on peut ainsi reduire de cent fois environ la densite nette d'impuretes ionisees residuelles subsistant dans les cristaux les plus purs obtenus par purification par zone flottante (2.10{sup 12} atomes/cm{sup 3}). Le degre de compensation obtenu est limite par i'inhomogeneite initiale des impuretes acceptrices a compenser. Des defauts de reseau qui subsistent meme apres des recuits prolonges reduisent la duree de vie du materiau a 10 {mu

  8. Determination of Shear Deformation Potentials from the Free-Carrier Piezobirefringence in Germanium and Silicon

    DEFF Research Database (Denmark)

    Riskaer, Sven

    1966-01-01

    The present investigations of the free-carrier piezobirefringence phenomenon verify that in n-type germanium and silicon as well as in p-type silicon this effect can be ascribed to intraband transitions of the carriers. It is demonstrated how a combined investigation of the low-stress and high......-stress piezobirefringence in these materials provides a direct and independent method for determining deformation-potential constants. For n-type germanium we obtain Ξu=18.0±0.5 eV, for n-type silicon Ξu=8.5±0.4 eV; for p-type silicon a rather crude analytical approximation yields b=-3.1 eV and d=-8.3 eV. Finally...

  9. Amorphous silicon/crystalline silicon heterojunctions for nuclear radiation detector applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Hong, W.S.; Luke, P.N.; Wang, N.W.; Ziemba, F.P.

    1996-01-01

    Results on the characterization of the electrical properties of amorphous silicon films for the three different growth methods, RF sputtering, PECVD, and LPCVD are reported. The performance of these a-Si films as heterojunctions on high resistivity p-type and n-type crystalline silicon is examined by measuring the noise, leakage current and the alpha particle response of 5 mm diameter detector structures. It is demonstrated that heterojunction detectors formed by RF sputtered films and PECVD films are comparable in performance with conventional surface barrier detectors. The results indicate that the a-Si/c-Si heterojunctions have the potential to greatly simplify detector fabrication. Directions for future avenues of nuclear particle detector development are indicated

  10. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  11. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  12. Effect of fabrication parameters on morphological and optical properties of highly doped p-porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Maryam, E-mail: mar.zare@gmail.com [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Shokrollahi, Abbas [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Seraji, Faramarz E. [Optical Communication Group, Iran Telecom Research Center, Tehran (Iran, Islamic Republic of)

    2011-09-01

    Porous silicon (PS) layers were fabricated by anodization of low resistive (highly doped) p-type silicon in HF/ethanol solution, by varying current density, etching time and HF concentration. Atomic force microscopy (AFM) and field emission scanning electron microscope (FESEM) analyses were used to investigate the physical properties and reflection spectrum was used to investigate the optical behavior of PS layers in different fabrication conditions. Vertically aligned mesoporous morphology is observed in fabricated films and with HF concentration higher than 20%. The dependence of porosity, layer thickness and rms roughness of the PS layer on current density, etching time and composition of electrolyte is also observed in obtained results. Correlation between reflectivity and fabrication parameters was also explored. Thermal oxidation was performed on some mesoporous layers that resulted in changes of surface roughness, mean height and reflectivity of the layers.

  13. Effect of layer stacking and p-type doping on the performance of InAs/InP quantum-dash-in-a-well lasers emitting at 1.55 μm

    International Nuclear Information System (INIS)

    Moreau, G.; Azouigui, S.; Cong, D.-Y.; Merghem, K.; Martinez, A.; Patriarche, G.; Ramdane, A.; Lelarge, F.; Rousseau, B.; Dagens, B.; Poingt, F.; Accard, A.; Pommereau, F.

    2006-01-01

    The authors report the growth of 6-, 9-, and 12-layer InAs/InP quantum-dash-in-a-well (DWELL) laser structures using gas source molecular beam epitaxy. Broad area laser performance has been investigated as a function of number of layers. The highest modal gain at 48 cm -1 is achieved for an optimized nine-DWELL layer structure. The effect of layer stacking and p-type doping on the characteristic temperature is also reported. Nine-DWELL layer single mode ridge waveguide lasers showed high slope efficiency (0.2 W/A per facet) and output power (P out =20 mW), close to those of conventional quantum well devices

  14. Buried melting in germanium implanted silicon by millisecond flash lamp annealing

    International Nuclear Information System (INIS)

    Voelskow, Matthias; Yankov, Rossen; Skorupa, Wolfgang; Pezoldt, Joerg; Kups, Thomas

    2008-01-01

    Flash lamp annealing in the millisecond range has been used to induce buried melting in silicon. For this purpose high dose high-energy germanium implantation has been employed to lower the melting temperature of silicon in a predetermined depth region. Subsequent flash lamp treatment at high energy densities leads to local melting of the germanium rich layer. The thickness of the molten layer has been found to depend on the irradiation energy density. During the cool-down period, epitaxial crystallization takes place resulting in a largely defect-free layer

  15. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  16. Determination of the nitrogen concentration in epitaxial layers of GaAs /SUB 1-x/ p /SUB x/ by the optical method

    International Nuclear Information System (INIS)

    Lupal, M.V.; Klot, B; Nikhter, K.; Pikhtin, A.N.; Trapp, M.

    1986-01-01

    This paper determines the dependence of the cross section for absorption in the A /SUB N/ line of a bound exciton on the nitrogen content in the solid solution GaAs /SUB 1-x/ P /SUB x/ by comparing the results of optical measurements with the data from secondary ionic mass spectrometry, and these results are used to study the effect of technological factors on the nitrogen concentration epitaxial layers obtained by the gas-transport method. Doping was carried out with nitrogen by injecting ammonia into the reactor zone; the partial pressure of the ammonia was varied from 1 to 25 kPa. Aside from nitrogen, the authors doped the layers with shallow donor Te. It is established that the solubility of nitrogen in the solid solution decreases as the arsenic content increases when the convenient optical method for determining the nitrogen concentration in epitaxial GaAs /SUB 1-x/ P /SUB x/ layers is used

  17. Temperature dependence of dark current of pSi-n(Si2)1-x(CdS)x structures

    International Nuclear Information System (INIS)

    Usmonov, Sh.N.

    2007-01-01

    Full text: The research of influence of isovalent impurity on electric and photo-electric properties of semiconductors where formative with semiconductor continuous solid solutions (CSS) of substitution presents both the fundamental and the applied application interest at the area of material science and photoelectrical properties of semiconductors. In the given work results of experimental researches (Si 2 ) 1-x (CdS) x epitaxial layers grown on c-Si substrates by a method liquid phase epitaxy are presented. The grown layers had thickness and ∼ 10 micron, n-type of conductivity with specific resistance 0,016 Ohm sm. Dependences of the dark current of pSi-n(Si 2 ) 1-x (CdS) x structures have been investigated at various values of a bias voltage. In experiment it was observed anomaly dependence of current. The current with arising of temperature begun monotonously aroused and reached some minimal value at temperature 100 C and then again starts to arise up to temperature 200 C. Arising of dark current is caused of the band-to-band thermal generation of electron-hole pairs. The voltage drop at the temperature 100 C is caused by the recharging of impurity atoms CdS. It is known, that width of the forbidden band of CdS Eg,CdS=2,48 eV more than Eg,Si=1,1 eV. Covalent bond of atoms CdS is stronger than Si-Si bond. However, when the molecule of CdS replaces two atoms of silicon in tetrahedral lattice of silicon the bonds of Cd-S become weak under influence of surrounding atoms of silicon. It causes to occurrence impurity level CdS located on Ei=1,2 eV below a valence band top of silicon. The generation of electron-hole pairs with participation of CdS impurities at the 100 C temperature is occurred under action thermal phonons. However, holes formed on impurity levels are localized and they will be recombination centers. Therefore drop of the dark current caused by dispersion of carriers on impurity centers. (authors)

  18. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  19. Luminescence properties of In/sub 1-x/PGa/sub x/ layers (0,6 (<=) x (<=) 0. 7) produced by liquid epitaxy on GaAs/sub 1-y/P/sub y/ substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ermakov, O N; Ignatkina, R S; Sushkov, V P; Chukichev, M V

    1977-06-01

    Photoluminescence (PL) and cathodoluminescence (CL) of Insub(1-x)Gasub(x)P (0.6 <=) x (<=) 0.7) layers grown by the method of liquid epitaxy on GaAssub(1-y)Psub(y) (0.2 (<=) y (<=) 0.4) substrates oriented in the (111)-B plane have been investigated. Spectral distribution of PL and CL of the n- and p-type Insub(1-x)Gasub(x)P layers has been studied over the temperature range from 300 to 65 K and at different excitation levels. Radiative ''band-band'' recombination has been shown to participate in formation of the A line. The B line in the luminescence spectra of specially nondoped Insub(1-x)Gasub(x)P is related to recombination through an uncontrolled acceptor centre. The arising of the C band in the CL spectra of Insub(1-x)Gasub(x)P doped with zinc and tellurium is ascribed to donor-acceptor recombination. The external yield of CL of the Insub(0.33)Gasub(0.67)P n-type (specially nondoped) and p-type (doped with Zn adn Te) layers has been determined at 300 K.

  20. Type I Clathrates as Novel Silicon Anodes: An Electrochemical and Structural Investigation

    Science.gov (United States)

    Li, Ying; Raghavan, Rahul; Wagner, Nicholas A.; Davidowski, Stephen K.; Baggetto, Loïc; Zhao, Ran; Cheng, Qian; Yarger, Jeffery L.; Veith, Gabriel M.; Ellis‐Terrell, Carol; Miller, Michael A.; Chan, Kwai S.

    2015-01-01

    Silicon clathrates contain cage‐like structures that can encapsulate various guest atoms or molecules. An electrochemical evaluation of type I silicon clathrates based on Ba8AlySi46−y as the anode material for lithium‐ion batteries is presented here. Postcycling characterization with nuclear magnetic resonance and X‐ray diffraction shows no discernible structural or volume changes even after electrochemical insertion of 44 Li (≈1 Li/Si) into the clathrate structure. The observed properties are in stark contrast with lithiation of other silicon anodes, which become amorphous and suffer from large volume changes. The electrochemical reactions are proposed to occur as single phase reactions at approximately 0.2 and 0.4 V versus Li/Li+ during lithiation and delithiation, respectively, distinct from diamond cubic or amorphous silicon anodes. Reversible capacities as high as 499 mAh g−1 at a 5 mA g−1 rate were observed for silicon clathrate with composition Ba8Al8.54Si37.46, corresponding to ≈1.18 Li/Si. These results show that silicon clathrates could be promising durable anodes for lithium‐ion batteries. PMID:27980951

  1. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  2. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  3. Photoluminescence of heterostructures with GaP1−xNx and GaP1−x−yNxAsy layers grown on GaP and Si substrates by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lazarenko, A. A.; Nikitina, E. V.; Sobolev, M. S.; Pirogov, E. V.; Denisov, D. V.; Egorov, A. Yu.

    2015-01-01

    The structural and optical properties of heterostructures containing GaP 1−x N x ternary and GaP 1−x−y N x As y quaternary alloy layers are discussed. The heterostructures are grown by molecular-beam epitaxy on GaP and Si substrates. The structures are studied by the high-resolution X-ray diffraction technique and photoluminescence measurements in a wide temperature range from 10 to 300 K. In the low-temperature photoluminescence spectra of the alloys with a low nitrogen fraction (x < 0.007), two clearly resolved narrow lines attributed to the localized states of nitrogen pairs and the phonon replicas of these lines are observed

  4. Ultrathin film, high specific power InP solar cells on flexible plastic substrates

    International Nuclear Information System (INIS)

    Shiu, K.-T.; Zimmerman, Jeramy; Wang Hongyu; Forrest, Stephen R.

    2009-01-01

    We demonstrate ultrathin-film, single-crystal InP Schottky-type solar cells mounted on flexible plastic substrates. The lightly p-doped InP cell is grown epitaxially on an InP substrate via gas source molecular beam epitaxy. The InP substrate is removed via selective chemical wet-etching after the epitaxial layers are cold-welded to a 25 μm thick Kapton sheet, followed by the deposition of an indium tin oxide top contact that forms the Schottky barrier with InP. The power conversion efficiency under 1 sun is 10.2±1.0%, and its specific power is 2.0±0.2 kW/kg. The ultrathin-film solar cells can tolerate both tensile and compressive stress by bending over a <1 cm radius without damage.

  5. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.

  6. The performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate

    Directory of Open Access Journals (Sweden)

    Shulong Wang

    2016-11-01

    Full Text Available In this study, the performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate is studied with the help of atomic layer deposition (ALD and magnetron sputtering technology. The surface morphology of the bilayer films with different structures are observed after rapid thermal annealing (RTA by atomic force microscopy (AFM. The results show that Y2O3/Al2O3/Si structure has a larger number of small spikes on the surface and its surface roughness is worse than Al2O3/Y2O3/Si structure. The reason is that the density of Si substrate surface is much higher than that of ALD growth Al2O3. With the help of high-frequency capacitance-voltage(C-V measurement and conductivity method, the density of interface traps can be calculated. After a high temperature annealing, the metal silicate will generate at the substrate interface and result in silicon dangling bond and interface trap charge, which has been improved by X-ray photoelectron spectroscopy (XPS and interface trap charge density calculation. The interface trapped charge density of La2O3/Al2O3/Si stacked gate structure is lower than that of La2O3/Y2O3/Si gate structure. If Y2O3 is used to replace Al2O3 as the interfacial layer, the accumulation capacitance will increase obviously, which means lower equivalent oxide thickness (EOT. Our results show that interface layer Y2O3 grown by magnetron sputtering can effectively ensure the interface traps near the substrate at relative small level while maintain a relative higher dielectric constant than Al2O3.

  7. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  8. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  9. Modification of the properties of porous silicon on adsorption of iodine molecules

    International Nuclear Information System (INIS)

    Vorontsov, A. S.; Osminkina, L. A.; Tkachenko, A. E.; Konstantinova, E. A.; Elenskii, V. G.; Timoshenko, V. Yu.; Kashkarov, P. K.

    2007-01-01

    Infrared spectroscopy and electron spin resonance measurements are used to study the properties of porous silicon layers on adsorption of the I 2 iodine molecules. The layers are formed on the p-an n-Si single-crystal wafers. It is established that, in the atmosphere of I 2 molecules, the charge-carrier concentration in the layers produced on the p-type wafers can be noticeably increased: the concentration of holes can attain values on the order of ∼10 18 -10 19 cm -3 . In porous silicon layers formed on the n-type wafers, the adsorption-induced inversion of the type of charge carriers and the partial substitution of silicon-hydrogen bonds by silicon-iodine bonds are observed. A decrease in the concentration of surface paramagnetic defects, P b centers, is observed in the samples with adsorbed iodine. The experimental data are interpreted in the context of the model in which it is assumed that both deep and shallow acceptor states are formed at the surface of silicon nanocrystals upon the adsorption of I 2 molecules

  10. Photoelectrochemistry of III-V epitaxial layers and nanowires for solar energy conversion

    Science.gov (United States)

    Parameshwaran, Vijay; Enck, Ryan; Chung, Roy; Kelley, Stephen; Sampath, Anand; Reed, Meredith; Xu, Xiaoqing; Clemens, Bruce

    2017-05-01

    III-V materials, which exhibit high absorption coefficients and charge carrier mobility, are ideal templates for solar energy conversion applications. This work describes the photoelectrochemistry research in several IIIV/electrolyte junctions as an enabler for device design for solar chemical reactions. By designing lattice-matched epitaxial growth of InGaP and GaP on GaAs and Si, respectively, extended depletion region electrodes achieve photovoltages which provide an additional boost to the underlying substrate photovoltage. The InGaP/GaAs and GaP/Si electrodes drive hydrogen evolution currents under aqueous conditions. By using nanowires of InN and InP under carefully controlled growth conditions, current and capacitance measurements are obtained to reveal the nature of the nanowire-electrolyte interface and how light is translated into photocurrent for InP and a photovoltage in InN. The materials system is expanded into the III-V nitride semiconductors, in which it is shown that varying the morphology of GaN on silicon yields insights to how the interface and light conversion is modulated as a basis for future designs. Current extensions of this work address growth and tuning of the III-V nitride electrodes with doping and polarization engineering for efficient coupling to solar-driven chemical reactions, and rapid-throughput methods for III-V nanomaterials synthesis in this materials space.

  11. Schottky barriers based on metal nanoparticles deposited on InP epitaxial layers

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Yatskiv, Roman

    2013-01-01

    Roč. 28, č. 4 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Colloidal graphite * Epitaxial growth * Schottky barrier diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013

  12. Radiation damage studies for the DOe silicon detector

    International Nuclear Information System (INIS)

    Lehner, Frank

    2004-01-01

    We report on irradiation studies performed on spare production silicon detector modules for the current DOe silicon detector. The lifetime expectations due to radiation damage effects of the existing silicon detector are reviewed. A new upgrade project was started with the goal of a complete replacement of the existing silicon detector. In that context, several investigations on the radiation hardness of new prototype silicon microstrip detectors were carried out. The irradiation on different detector types was performed with 10 MeV protons up to fluences of 10 14 p/cm 2 at the J.R. Mcdonald Laboratory at Kansas State University. The flux calibration was carefully checked using different normalisation techniques. As a result, we observe roughly 40-50% less radiation damage in silicon for 10 MeV p exposure than it is expected by the predicted NIEL scaling

  13. Self-assembled magnetic nanostructures: Epitaxial Ni nanodots on TiN/Si (001) surface

    International Nuclear Information System (INIS)

    Zhou, H.; Narayan, J.

    2006-01-01

    Systems containing single domain magnetic particles are of great interest in view of their possible applications in ultrahigh-density data storage and magnetoelectronic devices. The focus of this work is plan-view STEM Z-contrast imaging study of the self-assembly growth of magnetic nickel nanostructures by domain matching epitaxy under Volmer-Weber (V-W) mode. The growth was carried out using pulsed laser deposition (PLD) technique with epitaxial titanium nitride film as the template, which was in turn grown on silicon (001) substrate via domain matching epitaxy. Our results show that the base of nickel islands is rectangular with the two principal edges parallel to two orthogonal directions, which is [110] and [1-bar 1 0] for [001] oriented growth. The size distribution of the islands is relatively narrow, comparable to that obtained from self-assembled islands grown under Stranski-Krastanow (S-K) mode. A certain degree of self-organization was also found in the lateral distribution of islands: island chains were observed along the directions close to , which are also the edge directions. The interaction between neighboring islands through the island edge-induced strain field is believed to be responsible for the size uniformity and the lateral ordering

  14. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  15. Fabrication and characterization of silicon nanowires by means of molecular beam epitaxy; Herstellung und Charakterisierung von Silizium-Nanodraehten mittels Molekularstrahlepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Luise

    2007-06-19

    In this work, basic processes of silicon whisker growth were examined. For the first time, Si nanowhiskers were produced under UHV conditions by Molecular Beam Epitaxy (MBE) and characterized by different analysis methods afterwards. The existence of Au/Si droplets on a Si(111) substrate surface is a precondition of this growth method. Analyses of the temporal development of the Au/Si droplets during the whisker growth show a decrease of the number of small droplets resp. whiskers during the whisker growth with increasing growth time. This behaviour, i.e. the dissolution of smaller droplets/whiskers and the growth of larger ones in parallel can be explained by Ostwald ripenning. The diffusion-determined material transition of gold, which occurs during this process, is theoretically described by the Lifshitz-Slyozov-Wagner (LSW)-Theory. After this theory only whiskers grow which radii are larger than the critical radius. The whisker radii are temperature dependend whereas analogous whisker radii exist for identical growth times. Electron microscopy analysis show that all whiskers possess a hexagonal but no cylindrical habitus. The planes that form during the growth are crystallographic (111) planes. The growth of Si nanowhiskers under MBE conditions is determined by the Vapour Liquid Solid (VLS) mechanism and by surface diffusion of Si atoms. (orig.)

  16. Silicon diode for measurement of integral neutron dose and method of its production

    International Nuclear Information System (INIS)

    Frank, H.; Seda, J.; Trousil, J.

    1978-01-01

    The silicon diode consists of an N or P type silicon plate having a specific resistance exceeding 10 ohm.cm and minority carrier life exceeding 100μs. The plate thickness is a quintuple to a ten-tuple of the diffusion length and the plate consists of layers. Ions of, eg., boron, at a concentration exceeding 10 14 cm -2 are implanted into the P + type silicon layer and a layer of a metal, eg., nickel, is deposited onto it. Ions of eg., phosphorus, at a concentration exceeding 10 14 cm -2 are implanted in the N + type layer and a metal layer, eg., nickel is again depositeJ onto it. Implantation proceeds at an ion acceleration voltage of 10 to 200 kV. Metal layer deposition follows, and simultaneously with annealing of the P + and N + types of silicon layers, the metal layers are annealed at 600 to 900 degC for 1 to 60 minutes with subsequent temperature decrease at a rate less than 10 degC/min, down to a temperature of 300 degC. (J.P.)

  17. Microstructure and oxidative degradation behavior of silicon carbide fiber Hi-Nicalon type S

    International Nuclear Information System (INIS)

    Takeda, M.; Urano, A.; Sakamoto, J.; Imai, Y.

    1998-01-01

    Polycarbosilane-derived SiC fibers, Nicalon, Hi-Nicalon, and Hi-Nicalon type S were exposed for 1 to 100 h at 1273-1773 K in air. Oxide layer growth and tensile strength change of these fibers were examined after the oxidation test. As a result, three types of SiC fibers decreased their strength as oxide layer thickness increased. Fracture origins were determined at near the oxide layer-fiber interface. Adhered fibers arised from softening of silicon oxide at high temperature were also observed. In this study, Hi-Nicalon type S showed better oxidation resistance than other polycarbosilane-derived SiC fibers after 1673 K or higher temperature exposure in air for 10 h. This result was explained by the poreless silicon oxide layer structure of Hi-Nicalon type S. (orig.)

  18. High-{Tc} superconducting antenna-coupled microbolometer on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Rice, J.P.; Grossman, E.N.; Borcherdt, L.J.; Rudman, D.A. [National Inst. of Standards and Technology, Boulder, CO (United States). Cryoelectronic Metrology Group

    1994-12-31

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-{Tc} superconductor YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 {times} 10{sup {minus}6} W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 {micro}s, a responsivity in the 1,000 V/W range, and a noise-equivalent power (NEP) in the 10{sup {minus}12} W/Hz{sup 1/2} range at 1,000 Hz.

  19. Gallium Phosphide Integrated with Silicon Heterojunction Solar Cells

    Science.gov (United States)

    Zhang, Chaomin

    It has been a long-standing goal to epitaxially integrate III-V alloys with Si substrates which can enable low-cost microelectronic and optoelectronic systems. Among the III-V alloys, gallium phosphide (GaP) is a strong candidate, especially for solar cells applications. Gallium phosphide with small lattice mismatch ( 0.4%) to Si enables coherent/pseudomorphic epitaxial growth with little crystalline defect creation. The band offset between Si and GaP suggests that GaP can function as an electron-selective contact, and it has been theoretically shown that GaP/Si integrated solar cells have the potential to overcome the limitations of common a-Si based heterojunction (SHJ) solar cells. Despite the promising potential of GaP/Si heterojunction solar cells, there are two main obstacles to realize high performance photovoltaic devices from this structure. First, the growth of the polar material (GaP) on the non-polar material (Si) is a challenge in how to suppress the formation of structural defects, such as anti-phase domains (APD). Further, it is widely observed that the minority-carrier lifetime of the Si substrates is significantly decreased during epitaxially growth of GaP on Si. In this dissertation, two different GaP growth methods were compared and analyzed, including migration-enhanced epitaxy (MEE) and traditional molecular beam epitaxy (MBE). High quality GaP can be realized on precisely oriented (001) Si substrates by MBE growth, and the investigation of structural defect creation in the GaP/Si epitaxial structures was conducted using high resolution X-ray diffraction (HRXRD) and high resolution transmission electron microscopy (HRTEM). The mechanisms responsible for lifetime degradation were further investigated, and it was found that external fast diffusors are the origin for the degradation. Two practical approaches including the use of both a SiNx diffusion barrier layer and P-diffused layers, to suppress the Si minority-carrier lifetime degradation

  20. Temperature-dependent thermal and thermoelectric properties of n -type and p -type S c1 -xM gxN

    Science.gov (United States)

    Saha, Bivas; Perez-Taborda, Jaime Andres; Bahk, Je-Hyeong; Koh, Yee Rui; Shakouri, Ali; Martin-Gonzalez, Marisol; Sands, Timothy D.

    2018-02-01

    Scandium Nitride (ScN) is an emerging rocksalt semiconductor with octahedral coordination and an indirect bandgap. ScN has attracted significant attention in recent years for its potential thermoelectric applications, as a component material in epitaxial metal/semiconductor superlattices, and as a substrate for defect-free GaN growth. Sputter-deposited ScN thin films are highly degenerate n -type semiconductors and exhibit a large thermoelectric power factor of ˜3.5 ×10-3W /m -K2 at 600-800 K. Since practical thermoelectric devices require both n- and p-type materials with high thermoelectric figures-of-merit, development and demonstration of highly efficient p-type ScN is extremely important. Recently, the authors have demonstrated p-type S c1 -xM gxN thin film alloys with low M gxNy mole-fractions within the ScN matrix. In this article, we demonstrate temperature dependent thermal and thermoelectric transport properties, including large thermoelectric power factors in both n- and p-type S c1 -xM gxN thin film alloys at high temperatures (up to 850 K). Employing a combination of temperature-dependent Seebeck coefficient, electrical conductivity, and thermal conductivity measurements, as well as detailed Boltzmann transport-based modeling analyses of the transport properties, we demonstrate that p-type S c1 -xM gxN thin film alloys exhibit a maximum thermoelectric power factor of ˜0.8 ×10-3W /m -K2 at 850 K. The thermoelectric properties are tunable by adjusting the M gxNy mole-fraction inside the ScN matrix, thereby shifting the Fermi energy in the alloy films from inside the conduction band in case of undoped n -type ScN to inside the valence band in highly hole-doped p -type S c1 -xM gxN thin film alloys. The thermal conductivities of both the n- and p-type films were found to be undesirably large for thermoelectric applications. Thus, future work should address strategies to reduce the thermal conductivity of S c1 -xM gxN thin-film alloys, without affecting

  1. Mobility of charge carriers in porous silicon layers

    International Nuclear Information System (INIS)

    Forsh, P. A.; Martyshov, M. N.; Latysheva, A. P.; Vorontsov, A. S.; Timoshenko, V. Yu.; Kashkarov, P. K.

    2008-01-01

    The (conduction) mobility of majority charge carriers in porous silicon layers of the n and p types is estimated by joint measurements of electrical conductivity and free charge carrier concentration, which is determined from IR absorption spectra. Adsorption of donor and acceptor molecules leading to a change in local electric fields in the structure is used to identify the processes controlling the mobility in porous silicon. It is found that adsorption of acceptor and donor molecules at porous silicon of the p and n types, respectively, leads to a strong increase in electrical conductivity, which is associated with an increase in the concentration of free carrier as well as in their mobility. The increase in the mobility of charge carriers as a result of adsorption indicates the key role of potential barriers at the boundaries of silicon nanocrystals and may be due to a decrease in the barrier height as a result of adsorption

  2. Radiation damage in silicon. Defect analysis and detector properties

    Energy Technology Data Exchange (ETDEWEB)

    Hoenniger, F.

    2008-01-15

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after {gamma}-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO{sub i}, C{sub i}O{sub i}, C{sub i}C{sub s}, VP or V{sub 2} several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO{sub 2} defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep

  3. Radiation damage in silicon. Defect analysis and detector properties

    International Nuclear Information System (INIS)

    Hoenniger, F.

    2008-01-01

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after γ-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO i , C i O i , C i C s , VP or V 2 several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO 2 defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep acceptor, a model has been introduced to

  4. Type I Clathrates as Novel Silicon Anodes: An Electrochemical and Structural Investigation

    OpenAIRE

    Li, Ying; Raghavan, Rahul; Wagner, Nicholas A.; Davidowski, Stephen K.; Baggetto, Lo?c; Zhao, Ran; Cheng, Qian; Yarger, Jeffery L.; Veith, Gabriel M.; Ellis?Terrell, Carol; Miller, Michael A.; Chan, Kwai S.; Chan, Candace K.

    2015-01-01

    Silicon clathrates contain cage?like structures that can encapsulate various guest atoms or molecules. An electrochemical evaluation of type I silicon clathrates based on Ba8Al y Si46?y as the anode material for lithium?ion batteries is presented here. Postcycling characterization with nuclear magnetic resonance and X?ray diffraction shows no discernible structural or volume changes even after electrochemical insertion of 44 Li (?1 Li/Si) into the clathrate structure. The observed properties ...

  5. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  6. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  7. UV laser incorporation of dopants in silicon: comparison of two processes

    International Nuclear Information System (INIS)

    Fogarassy, E.P.; Narayan, J.; Lowndes, D.H.; White, C.H.

    1985-01-01

    The rapid deposition of pulsed-laser energy into the near-surface region of silicon leads to melting of crystal, followed by liquid phase epitaxial regrowth from the underlying substrate at a growth velocity of several meters per second. During rapid solidification, implanted or deposited group III and V impurities can be incorporated into substitutional sites in the silicon lattice with concentrations far in excess of their equilibrium solubility limit. The authors have investigated and compared the incorporation of implanted or deposited antimony into the silicon lattice during laser annealing with a pulsed KrF UV laser (lambda = 0.249 μm, Z = 35 ns). The surface melting dynamics resulting from laser irradiation have been studied by time-resolved reflectivity. In the two cases, the sharp transition to the high reflectivity phase (R = 72%, at lambda = 0.633 μm of the HeNe laser probe) is characteristic of molten silicon. Surface melt durations measured on implanted Sb (150 KeV, 5 x 10 16 cm -2 ) and deposited Sb (80 A thick on crystalline silicon) are significantly larger than those for virgin silicon

  8. Development of n.sup.+./sup.-in-p large-area silicon microstrip sensors for very high radiation environments – ATLAS12 design and initial results

    Czech Academy of Sciences Publication Activity Database

    Unno, Y.; Edwards, S.O.; Pyatt, S.; Böhm, Jan; Mikeštíková, Marcela

    2014-01-01

    Roč. 765, Nov (2014), s. 80-90 ISSN 0168-9002 R&D Projects: GA MŠk(CZ) LG13009 Institutional support: RVO:68378271 Keywords : silicon strip * n + -in-p * P-type * Radiation-tolerant * HL- LHC * PTP Subject RIV: BF - Elementary Particles and High Energy Physics Impact factor: 1.216, year: 2014

  9. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  10. Nanostructured silicon ferromagnet collected by a permanent neodymium magnet.

    Science.gov (United States)

    Okuno, Takahisa; Thürmer, Stephan; Kanoh, Hirofumi

    2017-11-30

    Nanostructured silicon (N-Si) was prepared by anodic electroetching of p-type silicon wafers. The obtained magnetic particles were separated by a permanent neodymium magnet as a magnetic nanostructured silicon (mN-Si). The N-Si and mN-Si exhibited different magnetic properties: the N-Si exhibited ferromagnetic-like behaviour, whereas the mN-Si exhibited superparamagnetic-like behaviour.

  11. Enhancement of the Si p-n diode NIR photoresponse by embedding β-FeSi2 nanocrystallites.

    Science.gov (United States)

    Shevlyagin, A V; Goroshko, D L; Chusovitin, E A; Galkin, K N; Galkin, N G; Gutakovskii, A K

    2015-10-05

    By using solid phase epitaxy of thin Fe films and molecular beam epitaxy of Si, a p(+)-Si/p-Si/β-FeSi2 nanocrystallites/n-Si(111) diode structure was fabricated. Transmission electron microscopy data confirmed a well-defined multilayered structure with embedded nanocrystallites of two typical sizes: 3-4 and 15-20 nm, and almost coherent epitaxy of the nanocrystallites with the Si matrix. The diode at zero bias conditions exhibited a current responsivity of 1.7 mA/W, an external quantum efficiency of about 0.2%, and a specific detectivity of 1.2 × 10(9) cm × Hz(1/2)/W at a wavelength of 1300 nm at room temperature. In the avalanche mode, the responsivity reached up to 20 mA/W (2% in terms of efficiency) with a value of avalanche gain equal to 5. The data obtained indicate that embedding of β-FeSi2 nanocrystallites into the depletion region of the Si p-n junction results in expansion of the spectral sensitivity up to 1600 nm and an increase of the photoresponse by more than two orders of magnitude in comparison with a conventional Si p-n junction. Thereby, fabricated structure combines advantage of the silicon photodiode functionality and simplicity with near infrared light detection capability of β-FeSi2.

  12. Study of porous silicon morphologies for electron transport

    International Nuclear Information System (INIS)

    Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized

  13. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  14. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  15. Formation of photoluminescent n-type macroporous silicon: Effect of magnetic field and lateral electric potential

    Energy Technology Data Exchange (ETDEWEB)

    Antunez, E.E. [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico); Estevez, J.O. [Instituto de Física, B. Universidad Autónoma de Puebla, A.P. J-48, Puebla 72570 (Mexico); Campos, J. [Instituto de Energías Renovables, UNAM, Priv. Xochicalco S/N, Temixco, Morelos, CP 62580 (Mexico); Basurto-Pensado, M.A. [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico); Agarwal, V., E-mail: vagarwal@uaem.mx [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico)

    2014-11-15

    Metal electrode-free electrochemical etching of low doped n-type silicon substrates, under the combined effect of magnetic and lateral electric field, is used to fabricate photoluminescent n-type porous silicon structures in dark conditions. A lateral gradient in terms of structural characteristics (i.e. thickness and pore dimensions) along the electric field direction is formed. Enhancement of electric and magnetic field resulted in the increase of pore density and a change in the shape of the macropore structure, from circular to square morphology. Broad photoluminescence (PL) emission from 500 to 800 nm, with a PL peak wavelength ranging from 571 to 642 nm, is attributed to the wide range of microporous features present on the porous silicon layer.

  16. In situ monitoring of the surface reconstructions on InP(001) prepared by molecular beam epitaxy

    Science.gov (United States)

    Ozanyan, K. B.; Parbrook, P. J.; Hopkinson, M.; Whitehouse, C. R.; Sobiesierski, Z.; Westwood, D. I.

    1997-07-01

    Reflection anisotropy spectroscopy (RAS) and reflection high-energy electron diffraction (RHEED) were applied to study clean InP(001) surfaces prepared by molecular beam epitaxy (MBE). At phosphorus beam equivalent pressures (BEPs) between 3.5×10-7 and 3.5×10-6 mbar and substrate temperature (Ts) falling from 590 to 150 °C, (2×4), (2×1), (2×2), and c(4×4) RHEED patterns are observed. The main RAS features, observed at 1.7-1.9 and 2.6-2.9 eV are assigned to In and P dimers, respectively. The above reconstruction sequence is associated closely with transformations identified in RAS signatures that are induced by progressively increasing the P surface coverage. The RAS results also imply the existence of (2×4)α and (2×4)β phases. A surface-phase diagram for MBE-grown (001) InP, in the whole range of Ts and phosphorus BEPs is proposed.

  17. Roadmap for integration of InP based photonics and silicon electronics

    NARCIS (Netherlands)

    Williams, K.A.

    2015-01-01

    We identify the synergies and a roadmap for the intimate integration of InP photonic integrated circuits and Silicon electronic ICs using wafer-scale processes. Advantages are foreseen in terms of bandwidth, energy savings and package simplification.

  18. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  19. Quantum conductance in silicon quantum wires

    CERN Document Server

    Bagraev, N T; Klyachkin, L E; Malyarenko, A M; Gehlhoff, W; Ivanov, V K; Shelykh, I A

    2002-01-01

    The results of investigations of electron and hole quantum conductance staircase in silicon quantum wires are presented. The characteristics of self-ordering quantum wells of n- and p-types, which from on the silicon (100) surface in the nonequilibrium boron diffusion process, are analyzed. The results of investigations of the quantum conductance as the function of temperature, carrier concentration and modulation degree of silicon quantum wires are given. It is found out, that the quantum conductance of the one-dimensional channels is observed, for the first time, at an elevated temperature (T >= 77 K)

  20. Surface wave photonic device based on porous silicon multilayers

    International Nuclear Information System (INIS)

    Guillermain, E.; Lysenko, V.; Benyattou, T.

    2006-01-01

    Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported